KR20160145062A - 디바이스 변경된 기판 물품 및 제조 방법 - Google Patents

디바이스 변경된 기판 물품 및 제조 방법 Download PDF

Info

Publication number
KR20160145062A
KR20160145062A KR1020167030912A KR20167030912A KR20160145062A KR 20160145062 A KR20160145062 A KR 20160145062A KR 1020167030912 A KR1020167030912 A KR 1020167030912A KR 20167030912 A KR20167030912 A KR 20167030912A KR 20160145062 A KR20160145062 A KR 20160145062A
Authority
KR
South Korea
Prior art keywords
substrate
glass
carrier
device substrate
laminate
Prior art date
Application number
KR1020167030912A
Other languages
English (en)
Inventor
카베 아딥
로버트 앨런 벨맨
로버트 조지 맨리
프랜틱 마줌더
Original Assignee
코닝 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 코닝 인코포레이티드 filed Critical 코닝 인코포레이티드
Publication of KR20160145062A publication Critical patent/KR20160145062A/ko

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B7/00Layered products characterised by the relation between layers; Layered products characterised by the relative orientation of features between layers, or by the relative values of a measurable parameter between layers, i.e. products comprising layers having different physical, chemical or physicochemical properties; Layered products characterised by the interconnection of layers
    • B32B7/04Interconnection of layers
    • B32B7/06Interconnection of layers permitting easy separation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B17/00Layered products essentially comprising sheet glass, or glass, slag, or like fibres
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B17/00Layered products essentially comprising sheet glass, or glass, slag, or like fibres
    • B32B17/06Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B27/00Layered products comprising a layer of synthetic resin
    • B32B27/06Layered products comprising a layer of synthetic resin as the main or only constituent of a layer, which is next to another layer of the same or of a different material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B3/00Layered products comprising a layer with external or internal discontinuities or unevennesses, or a layer of non-planar form; Layered products having particular features of form
    • B32B3/26Layered products comprising a layer with external or internal discontinuities or unevennesses, or a layer of non-planar form; Layered products having particular features of form characterised by a particular shape of the outline of the cross-section of a continuous layer; characterised by a layer with cavities or internal voids ; characterised by an apertured layer
    • B32B3/266Layered products comprising a layer with external or internal discontinuities or unevennesses, or a layer of non-planar form; Layered products having particular features of form characterised by a particular shape of the outline of the cross-section of a continuous layer; characterised by a layer with cavities or internal voids ; characterised by an apertured layer characterised by an apertured layer, the apertures going through the whole thickness of the layer, e.g. expanded metal, perforated layer, slit layer regular cells B32B3/12
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B38/00Ancillary operations in connection with laminating processes
    • B32B38/0008Electrical discharge treatment, e.g. corona, plasma treatment; wave energy or particle radiation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B43/00Operations specially adapted for layered products and not otherwise provided for, e.g. repairing; Apparatus therefor
    • B32B43/006Delaminating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B7/00Layered products characterised by the relation between layers; Layered products characterised by the relative orientation of features between layers, or by the relative values of a measurable parameter between layers, i.e. products comprising layers having different physical, chemical or physicochemical properties; Layered products characterised by the interconnection of layers
    • B32B7/04Interconnection of layers
    • B32B7/12Interconnection of layers using interposed adhesives or interposed materials with bonding properties
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B9/00Layered products comprising a layer of a particular substance not covered by groups B32B11/00 - B32B29/00
    • B32B9/005Layered products comprising a layer of a particular substance not covered by groups B32B11/00 - B32B29/00 comprising one layer of ceramic material, e.g. porcelain, ceramic tile
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B9/00Layered products comprising a layer of a particular substance not covered by groups B32B11/00 - B32B29/00
    • B32B9/04Layered products comprising a layer of a particular substance not covered by groups B32B11/00 - B32B29/00 comprising such particular substance as the main or only constituent of a layer, which is next to another layer of the same or of a different material
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C15/00Surface treatment of glass, not in the form of fibres or filaments, by etching
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C23/00Other surface treatment of glass not in the form of fibres or filaments
    • C03C23/0005Other surface treatment of glass not in the form of fibres or filaments by irradiation
    • C03C23/006Other surface treatment of glass not in the form of fibres or filaments by irradiation by plasma or corona discharge
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2250/00Layers arrangement
    • B32B2250/022 layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2255/00Coating on the layer surface
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2307/00Properties of the layers or laminate
    • B32B2307/30Properties of the layers or laminate having particular thermal properties
    • B32B2307/308Heat stability
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2307/00Properties of the layers or laminate
    • B32B2307/50Properties of the layers or laminate having particular mechanical properties
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2307/00Properties of the layers or laminate
    • B32B2307/50Properties of the layers or laminate having particular mechanical properties
    • B32B2307/546Flexural strength; Flexion stiffness
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2307/00Properties of the layers or laminate
    • B32B2307/70Other properties
    • B32B2307/702Amorphous
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2307/00Properties of the layers or laminate
    • B32B2307/70Other properties
    • B32B2307/732Dimensional properties
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2307/00Properties of the layers or laminate
    • B32B2307/70Other properties
    • B32B2307/748Releasability
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2307/00Properties of the layers or laminate
    • B32B2307/70Other properties
    • B32B2307/75Printability
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2309/00Parameters for the laminating or treatment process; Apparatus details
    • B32B2309/02Temperature
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2309/00Parameters for the laminating or treatment process; Apparatus details
    • B32B2309/04Time
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2309/00Parameters for the laminating or treatment process; Apparatus details
    • B32B2309/08Dimensions, e.g. volume
    • B32B2309/10Dimensions, e.g. volume linear, e.g. length, distance, width
    • B32B2309/105Thickness
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2310/00Treatment by energy or chemical effects
    • B32B2310/14Corona, ionisation, electrical discharge, plasma treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2315/00Other materials containing non-metallic inorganic compounds not provided for in groups B32B2311/00 - B32B2313/04
    • B32B2315/08Glass
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2457/00Electrical equipment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2457/00Electrical equipment
    • B32B2457/20Displays, e.g. liquid crystal displays, plasma displays
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2218/00Methods for coating glass
    • C03C2218/10Deposition methods
    • C03C2218/15Deposition methods from the vapour phase
    • C03C2218/152Deposition methods from the vapour phase by cvd
    • C03C2218/153Deposition methods from the vapour phase by cvd by plasma-enhanced cvd
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2218/00Methods for coating glass
    • C03C2218/30Aspects of methods for coating glass not covered above
    • C03C2218/355Temporary coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02079Cleaning for reclaiming

Abstract

디바이스 기판의 제1 표면의 적어도 일부분, 유리 캐리어의 제1 표면의 적어도 일부분, 또는 그의 조합을 처리하고, 여기서 처리는 규소; 산소: 탄소: 및 플루오린; 및 본원에서 정의된 바와 같은 금속 대 플루오린 비를 갖는 표면을 생성하고; 처리된 표면을 처리되지 않거나 또는 유사하게 처리된 대응 디바이스 기판 또는 유리 캐리어 기판과 접촉시켜 유리 캐리어 기판에 결합된 디바이스 기판으로 구성된 라미네이트를 형성하고; 라미네이트의 디바이스 기판의 결합되지 않은 제2 표면의 적어도 일부분을 하나 이상의 디바이스 표면 변경 처리로 변경하고; 디바이스 변경된 제2 표면을 갖는 디바이스 기판을 유리 캐리어 기판으로부터 분리하는 것을 포함하는, 유리 캐리어 기판 상에 지지된 디바이스 변경된 기판을 갖는 디바이스 기판 물품의 제조 방법이 제공된다.

Description

디바이스 변경된 기판 물품 및 제조 방법 {DEVICE MODIFIED SUBSTRATE ARTICLE AND METHODS FOR MAKING}
<관련 출원과의 교차 참조>
본 출원은 "DEVICE MODIFIED SUBSTRATE ARTICLE AND METHODS FOR MAKING"이라는 명칭으로 2014년 4월 9일에 출원된 US 특허 출원 번호 61/977364에 대해 우선권의 이익을 주장하고, 상기 출원의 내용은 그 전문이 본원에 참조로 포함된다.
본 출원은 공통으로 소유되고 양도된 동시계속 특허 출원인, "PROCESSING FLEXIBLE GLASS WITH A CARRIER"라는 명칭으로 2012년 2월 8일에 출원된 U.S. 일련번호 61/596727; "ARTICLES WITH LOW-ELASTIC MODULUS LAYER AND RETAINED STRENGTH"라는 명칭으로 2013년 10월 14일에 출원된 U.S. 일련번호 14/053093; "LAMINATE ARTICLES WITH MODERATE ADHESION AND RETAINED STRENGTH"라는 명칭으로 2013년 10월 14일에 출원된 U.S. 일련번호 14/053139; "FACILITATED PROCESSING FOR CONTROLLING BONDING BETWEEN SHEET AND CARRIER"라는 명칭으로 2012년 12월 13일에 출원된 U.S. 일련번호 61/736880; 및 "GLASS AND METHODS OF MAKING GLASS ARTICLES"라는 명칭으로 2012년 12월 12일에 출원된 U.S. 일련번호 61/736887에 관한 것이지만 이들에 대해 우선권을 주장하지 않는다.
본 출원은 "GLASS ARTICLES HAVING FILMS WITH MODERATE ADHESION AND RETAINED STRENGTH"라는 명칭으로 2014년 4월 9일에 출원된 USSN 14/248,868에 관한 것이지만 이에 대해 우선권을 주장하지 않는다.
본원에서 언급된 임의의 공개 또는 특허 문헌의 전체 개시내용은 그 전문이 참조로 포함된다.
<배경>
개시내용은 라미네이트 물품, 라미네이트 물품의 제조 및 사용, 및 예를 들어 전기 또는 전자 디바이스에서 또는 그것으로서 사용되기 위한 디바이스 변경된 표면을 갖는 유리 시트 물품 또는 비-유리 시트 물품에 관한 것이다. 부가적으로, 개시내용은 유리 시트 물품 또는 비-유리 시트 물품과 캐리어 기판을 결합 및 탈결합시키는 방법에 관한 것이다.
<요약>
실시양태에서, 개시내용은 디바이스 변경된 표면을 갖는 유리 디바이스 기판 또는 비-유리 디바이스 기판을 제공한다.
실시양태에서, 개시내용은 재사용 가능한 캐리어에 가역적으로 결합된 유리 디바이스 기판 작업 단편을 포함하며 계면에서 규소 및 산소가 고갈되고 플루오린 및 탄소가 농후화된 유리 표면을 갖는 탄소 라미네이트 물품을 제공한다.
실시양태에서, 개시내용은 유리 디바이스 기판 작업 단편 및 작업 단편을 위한 캐리어 기판을 포함하는 라미네이트를 결합 및 탈결합시키는 방법을 제공한다.
실시양태에서, 개시내용은 캐리어와 얇은 유리 디바이스 기판 사이에 적당한 또는 중간적인 강도의 결합 또는 접착을 형성하여, 예를 들어 박막 트랜지스터 (TFT) 가공을 견디기에 충분히 강하지만 디바이스 기판의 표면 가공이 완결된 후에 캐리어 기판과 유리 디바이스 기판의 시기 적절한 탈결합 또는 분리를 허용하기에 충분히 약한 일시적 결합을 생성하는 방법을 제공한다. 중간적인 강도의 결합 또는 적당한 결합 특성은 재사용 가능한 캐리어 기능 및 제조 사이클이 가능하게 한다. 예를 들어, 캐리어 기판과 디바이스 기판 작업 단편 사이의 영역의 하나 이상의 일부분, 또는 캐리어 기판과 디바이스 기판 작업 단편 사이의 전체 영역이 적당한 결합 특성을 가질 수 있다.
개시내용의 실시양태에서,
도 1은 개시된 재사용 가능한 캐리어 공정(100)의 개략도를 도시한다.
도 2a 및 2b는 CF4 및 CHF3 플라즈마 중합체 처리된 유리 표면의 경우에 접촉 각도의 변화 (도 2a) 및 표면 에너지의 변화 (도 2b)를 도시한다.
도 3a 및 3b는 다양한 CF4 및 CHF3 몰분율로 처리된 플루오로탄소 플라즈마 처리된 유리 표면의 경우에 XPS에 의해 측정된 바와 같은 플루오린(310) 및 탄소(320) 및 산소(330)의 표면 농도 (도 3a) 및 XPS에 의해 측정된 바와 같은 (금속에 결합된) 무기 플루오린 원자(340)의 농도 및 (플루오로중합체 내의) 무기 플루오린 원자(350)의 농도 (도 3b)를 도시한다.
도 4는 개별 CHF3 또는 CF4 플라즈마 처리의 경우에 중합체 형성 플라즈마 처리 시간이 증가함에 따른 XPS에 의해 측정된 바와 같은 유리 표면 원소 조성 (원자%)의 변화를 도시한다.
도 5a 및 5b는 선택된 표면 처리의 경우에 어닐링 온도 (도 5a) 및 어닐링 시간 (도 5b)의 함수로서의 접착 또는 결합 에너지의 변화를 도시한다.
도 6은 인터포저(interposer)를 갖는 얇은 시트 및 캐리어의 평면도이다.
도 7은 도 6의 선 7-7을 따라 취해진 바와 같은 얇은 시트 및 캐리어의 단면도이다.
도 8은 얇은 시트 상에 배치된 부가적인 디바이스를 갖는, 도 20의 것과 유사한 단면도이다.
개시내용의 다양한 실시양태는, 도면이 있다면, 도면을 참조하여 상세하게 기술될 것이다. 다양한 실시양태에 관한 내용이, 본원에 첨부된 청구범위에 의해서만 제한되는 본 발명의 범위를 제한하지는 않는다. 부가적으로, 본 명세서에 제시된 임의의 예는 제한하기 위한 것이 아니며 청구된 본 발명의 많은 가능한 실시양태 중 일부를 제시할 뿐이다.
정의
"At%", "at%" 등은 원자 백분율을 가리킨다.
"일시적 결합"은 개시된 라미네이트의 유리 디바이스 기판과 캐리어 기판 사이의 비파괴적이고 가역적인 접착을 가리키고, 상기 결합은 추가의 표면 변경 가공을 견디기에 충분하지만, 상기 결합은 예를 들어 가공된 얇은 유리 디바이스 기판과 캐리어 기판의 분리를 허용하는 기계적 힘에 의해 와해될 수 있다.
"얇은 유리 디바이스 기판"은, 예를 들어, 본원에서 정의된 바와 같은 두께를 갖는, 코닝? 윌로우(Willow)? 유리를 가리킨다.
"포함한다", "포함하는" 등의 용어는 포괄적이지만 제한하지는 않는, 즉 포함적이며 배타적이지는 않음을 의미한다.
"약"은, 예를 들어, 개시내용의 실시양태를 기술하는 데 사용되는, 조성물 내의 요소의 양, 농도, 부피, 공정 온도, 공정 시간, 수율, 유속, 압력, 점도, 및 유사한 값, 및 그의 범위, 또는 성분의 크기, 및 유사한 값, 및 그의 범위를 수식하는 경우에, 예를 들어, 물질, 조성물, 복합체, 농축물, 구성 부품, 제품, 또는 사용 배합물을 제조하는 데 사용되는 전형적인 측정 및 취급 절차를 통해; 이들 절차에서 생긴 우연한 오류를 통해; 제조, 공급원, 또는 방법을 수행하는 데 사용되는 출발 물질 또는 요소의 순도의 차이를 통해; 및 유사한 고려사항을 통해 일어날 수 있는 수치적 양의 변동을 가리킨다. 용어 "약"은 또한 조성물 또는 배합물의 노화로 인해 특정한 초기 농축물 또는 혼합물과 상이한 양, 및 조성물 또는 배합물의 혼합 또는 가공으로 인해 특정한 초기 농축물 또는 혼합물과 상이한 양을 망라한다.
"임의적" 또는 "임의로"는 후속적으로 기술되는 사건 또는 상황이 일어날 수 있거나 일어날 수 없다는 것을 의미하고, 기술 내용이 사건 또는 상황이 일어나는 경우 및 그것이 일어나지 않는 경우를 포함한다는 것을 의미한다.
본원에서 사용되는 바와 같은 단수 표현은, 달리 구체적으로 기재되지 않은 한, 하나 이상, 또는 하나 또는 그 초과를 의미한다.
관련 기술분야의 통상의 기술자에게 널리 공지되어 있는 약어가 사용될 수 있다 (예를 들어, 시간 또는 시간들에 대해 "h" 또는 "hr", 그램(들)에 대해 "g" 또는 "gm", 밀리리터에 대해 "mL", 및 실온에 대해 "rt", 나노미터에 대해 "㎚", 및 유사한 약어).
성분, 요소, 첨가제, 크기, 상태, 시간 등의 측면에 대해 개시된 구체적이고 바람직한 값, 및 그의 범위는 단지 예시를 위한 것이며; 그것은 다른 정의된 값 또는 정의된 범위 내의 다른 값을 배제하지 않는다. 개시내용의 장치 및 방법은, 명시되거나 명시되지 않은 중간 값 및 범위를 포함하는, 임의의 값 또는 값들의 임의의 조합, 구체적인 값, 보다 구체적인 값, 및 본원에서 기술되는 바람직한 값을 포함할 수 있다.
실시양태에서, 개시내용은 작업 단편 기판으로부터 디바이스 변경된 기판을 제조하는 데 사용되기 위한 라미네이트를 제조하도록 작업 단편 기판의 표면의 적어도 일부분과 유리 캐리어 기판의 표면의 적어도 일부분 사이에 적당한 또는 중간적인 접착을 생성하는 표면 변경을 통해 일시적 결합을 형성하는 방법을 제공한다.
라미네이트에서 일시적 결합 상태는, 도 1에 개략적으로 도시된 바와 같이 제조업자가 얇은 유리 시트 상에서의 디바이스 제작을 위해 캐리어 부재를 재사용하는 것을 허용함으로써, 폐기물을 없애고 제조 비용을 저감시킬 수 있다.
한 실시양태에서, 개시내용은 작업 단편, 예컨대 유리 시트, 유리 기판, 또는 비-유리 기판 (예를 들어, 가요성 유리 시트 또는 규소 웨이퍼)의 적어도 일부분과 유리 캐리어 기판 사이에 일시적 결합을 형성하는 것을 포함하는, 라미네이트 물품의 제조 방법을 제공한다.
일시적 결합의 형성은, 예를 들어, 작업 단편과 캐리어 기판 사이에 적당한 접착을 생성하는 표면 변경을 구현하는 처리에 의해 달성될 수 있다.
중간적인 또는 적당한 접착은 규소 및 산소 원자의 표면 층의 고갈 및 플루오린 및 탄소 원자의 표면 층의 농후화에 의해 달성될 수 있다. 규소 원자의 고갈은 또한, 유리 작업 단편 또는 캐리어 기판 내의, 규소 원자와 함께 고갈되지 않는 다른 양이온의 상대적인 농후화를 야기한다. 예를 들어, 처리된 표면 층 내의 실리카와 같은 규소 원자의 고갈은 알루미나 및 알칼리토류 원자의 상대적인 농후화를 야기한다. 실시양태에서, 변경된 표면은 예를 들어 에치 공정에 의해 형성될 수 있다. 그러나, 증착 또는 에치 공정은 유리 작업 단편의 표면에서 실리카, 붕소, 및 다른 유리 성분을 고갈시킬 수 있고, 결과적으로 상대적인 의미에서 유리 작업 단편의 표면을 알칼리토류 및 플루오린 반응 생성물로 농후화시킬 수 있다.
변경된 표면의 표면 에너지는, 예를 들어, 테플론(Teflon)?과 유리 사이에서 에치 기체 대 중합체 형성제의 상대적 비에 따라 조절될 수 있다. 바람직한 실시양태에서, 높은 에치 기체 대 중합체 형성제 비를 갖는 플라즈마에의 노출은, 변경된 유리 표면이 탄소 기재의 중합체로 드문드문 덮이고 대부분의 금속 대 산소 결합이 금속 대 플루오린 결합으로 대체된, 고도로 극성인 표면을 생성할 수 있다. 이러한 고도로 극성인 표면은, 표면 조도가 작다는, 예를 들어, 약 2 ㎚ 미만이라는 전제 하에, 유리와 용이하게 결합하는 고에너지 표면을 나타낸다. 일시적 결합은 바람직하게는, 예를 들어, 습식 초음파, 진공, 및 열 공정을 포함하는, 평판 디스플레이 (FPD) 가공을 견디기에 충분히 강하고, 충분한 박리력의 적용에 의해 여전히 탈결합될 수 있다. 탈결합은 라미네이트의 해체, 즉 예를 들어 중간 가공으로부터 초래된 얇은 유리 시트의 표면 상에 디바이스 또는 구조체를 갖는 가공된 얇은 유리 시트로부터의 유리 캐리어 기판의 분리를 허용한다. 분리된 유리 캐리어는, 요망된다면, 개시된 방법의 많은 사이클에서 반복적으로 재사용될 수 있다.
실시양태에서, 개시된 방법은 유리 캐리어에의 가요성 유리 시트 (즉 작업 단편)의 적당한 결합을 사용하고, 상기 적당한 결합은 후속적으로 캐리어로부터 얇은 유리 시트를 제거하여 재사용 가능한 캐리어를 제공하는 것을 허용한다.
개시된 방법은 예를 들어
가요성 유리 시트와 유리 캐리어 기판 사이의, 종래 방법에서 개시된 공극을 제거함으로써, 진공, 초음파, 및 습식 가공에 있어서 보다 우수한 제조 신뢰성을 제공하고;
라미네이트 내에서의 높이 변동을 감소시킴으로써, 작업 단편 상에서의 회로 또는 디바이스의 구축 및 가공 (예를 들어, 리소그래피)에 있어서의 사용자의 허용 범위를 개선할 수 있는 보다 평탄한 외면 작업 표면을 갖는 작업 단편인, 가요성의, 얇은, 또는 유사한 유리 시트, 또는 비-유리 시트를 제공하고;
보다 간단한 결합 표면 제조 및 결합 표면 가공을 제공하고;
캐리어 기판으로부터의 작업 단편의 보다 용이한 탈결합 또는 분리를 제공하고;
재사용 가능한 캐리어 기판을 제공하고;
상업적으로 입수 가능한 중합체 웨이퍼 결합 방안보다 높은 열 안정성을 제공하는 작업 단편과 캐리어 기판 사이의 결합 표면을 제공한다는 것
을 포함하여, 여러 면에서 유리하다.
실시양태에서, 개시된 가요성 기판은, 예를 들어, 롤-대-롤(roll-to-roll) 가공을 사용하여 보다 저렴한 비용으로 디바이스를 제조할 기회, 및 보다 얇고, 보다 가볍고, 보다 가요성 및 내구성인 디스플레이를 제조할 잠재성을 제공한다. 그러나, 고품질 디스플레이의 롤-대-롤 가공에 요구되는 기술, 장비, 및 공정은 아직 충분히 개발되어 있지 않다. 패널 제조회사는 대형 유리 시트를 가공하는 도구 세트에 이미 많이 투자했기 때문에, 시트-대-시트(sheet-to-sheet) 공정을 통해 가요성 기판을 캐리어 기판에 라미네이팅하여 디스플레이 디바이스를 제조하는 것은 보다 얇고, 보다 가볍고, 보다 가요성인 디스플레이의 가치 제안을 개발하는 보다 단기적인 해결책을 제공한다. 디스플레이는, 디바이스 제작이 유리 캐리어에 라미네이팅된 폴리에틸렌 나프탈레이트 (PEN)를 사용한 시트-대-시트인 경우에, PEN과 같은 중합체 시트 상에 제조되어 왔다. PEN의 온도 상한은 디바이스 품질 및 사용 가능한 공정을 제한한다. 부가적으로, 중합체 기판의 높은 투과성은 거의 기밀성인 패키지가 전형적으로 요구되는 OLED 디바이스의 환경적 열화를 야기한다. 박막 봉지는 이러한 한계를 극복할 유망한 잠재적인 해결책을 제공하지만, 큰 부피의 경우에 허용 가능한 수율을 제공하는 데 있어서 봉지 방안은 아직 입증되지 않았다.
유사한 방식으로, 하나 이상의 가요성 유리 기판에 라미네이팅된 유리 캐리어 기판을 사용하여 디스플레이 디바이스를 제조할 수 있다. 가요성 유리 기판의 낮은 투과성 및 개선된 온도 및 화학 내성은 보다 우수한 성능, 보다 긴 수명, 및 가요성 디스플레이를 가능하게 할 것으로 기대된다.
상기에 언급된, 공통으로 소유되고 양도된 USSN 61596727에는, 얇은 시트, 예를 들어, 가요성 유리 시트를 초기에 반데르발스력을 통해 캐리어에 결합시키고, 이어서 얇은 시트 또는 캐리어의 가공 후의 얇은 시트의 제거 능력을 유지하면서, 특정한 구역에서의 결합 강도를 증가시키고, 그 위에 디바이스, 예를 들어, 전자 또는 디스플레이 디바이스, 전자 또는 디스플레이 디바이스의 성분, OLED 물질, 광기전력 (PV) 구조체, 또는 박막 트랜지스터를 형성하는 것이 언급되어 있다. 디바이스 공정 유체가 얇은 시트와 캐리어 사이에 들어가는 것이 방지되어 하류 공정의 오염 가능성이 감소되도록, 얇은 유리의 적어도 일부분은 캐리어 기판에 결합되고, 즉 얇은 유리와 캐리어 사이의 결합된 밀봉부가 기밀성이고, 일부 바람직한 실시양태에서, 이러한 밀봉부는 물품의 외부를 망라함으로써 밀봉된 물품의 임의의 구역으로의 또는 그로부터의 액체 또는 기체 침입을 방지한다.
아사히(Asahi)에 양도된 JP2013184346 및 WO2013179881에는 제1 무기 층을 갖는 지지체 기판 및 제2 무기 층이 제공된 무기 층을 갖는 유리 기판을 갖는 박리 가능한 유리 라미네이팅된 물체가 언급되어 있고, 제1 무기 층과 제2 무기 층 중 하나 또는 둘 다는 금속 산화물, 금속 질화물, 금속 산질화물, 금속 탄화물, 금속 탄질화물, 금속 규화물, 또는 금속 플루오린화물 중 하나 이상을 함유한다.
저온 폴리실리콘 (LTPS) 디바이스 제작 공정에서, 600℃에 가깝거나 그보다 높은 온도, 진공, 및 습식 에치 환경이 사용될 수 있다. 이들 조건은 사용 가능한 물질을 제한하고, 캐리어 기판 및 시트 기판으로 구성된 라미네이트의 성분에 대해 상당한 안정성을 요구한다.
실시양태에서, 개시내용은 가요성 유리 시트를 캐리어 기판에 결합시켜 라미네이트를 형성하고, 라미네이트를 가공하고, 예컨대 회로 또는 디바이스를 가요성 유리 시트의 결합되지 않은 외부 표면 상에 생성하고, 가요성 유리 시트를 캐리어로부터 탈결합시키는 방법을 제공한다. 상기 방법은 기존 자본 인프라를 사용할 수 있고, 보다 높은 가공 온도에서 얇은 가요성 유리와 캐리어 기판 사이의 결합 강도의 오염 또는 손실 없이, 얇은 가요성 유리, 예를 들어, 0.3 ㎜ 이하의 두께를 갖는 유리의 가공을 가능하게 하고, 결과물인 표면 또는 디바이스 변경된 얇은 가요성 유리는 공정이 끝날 즈음에 캐리어 기판으로부터 용이하게 탈결합된다.
실시양태에서, 개시내용은, 예를 들어, 결합을 용이하게 하기 위해 가요성 유리 시트 및 캐리어 기판을 세정하고 표면 제조하고; 결합 영역에서의 가요성 시트와 캐리어 기판 사이의 적절한 결합 강도를 유지하고; 캐리어 기판으로부터의 가요성 시트의 탈착성을 유지하고; 분리된 캐리어 기판을 방법에서 재사용하기 위해 임의로 세정하는 것을 포함하는, 상당한 운영상의 융통성을 갖는 방법을 제공한다.
실시양태에서, 유리-대-유리(glass-to-glass) 결합 공정에서, 모든 외래 금속, 유기 물질, 및 입자상 잔류물을 제거하고 대부분 실란올로 종결된 접촉 표면을 남기기 위해 라미네이트 접합 전에 작업 단편 및 캐리어 기판 유리 표면을 세정할 수 있다.
우선 유리 접촉 표면이 열 및 임의로 압력과 긴밀하게 접촉하면, 그들이 반데르발스력을 통해 서로 끌어당기는 경우에, 표면 실란올 기들은 축합하여, 대향하는 유리 표면들 사이의 계면 전체에 걸쳐 강한 Si-O-Si 결합을 형성함으로써 대향하는 유리 단편들을 영구적으로 융합시켜, 대향하는 단편들이 파단되지 않고서는 분리될 수 없게 할 수 있다. 금속, 유기 물질, 및 입자상 잔류물은 하나 이상의 유리 표면을 덮어 감추고 긴밀한 접촉을 방지함으로써, 대향하는 유리 단편들의 결합을 방지 또는 제한하는 데 사용될 수 있고, 상기 덮어 감추는 것은 작업 단편 기판과 캐리어 기판 유리 사이의 추가의 적당한 결합을 위해 요구될 수 있다.
높은 실란올 표면 농도는 대향하는 유리 단편들 사이에 바람직하지 못하게 강한 결합을 형성할 수 있다. 단위 면적 당 결합의 개수는 대향하는 표면들 상의 두 개의 실란올 종이 반응하여 축합함으로써 물을 방출할 가능성에 의해 결정될 것이다. 주라블레브(Zhuravlev)는 잘 수화된 실리카의 경우에 ㎚2 당 히드록실의 평균 개수가 4.6 내지 4.9라고 하였다 (문헌(Zhuravlev, L. T., The Surface Chemistry of Amorphous Silika, Zhuravlev Model, Colloids and Surfaces A: Physiochemical Engineering Aspects, 173 (2000) 1-38)을 참조).
상기에 언급된 동시계속 USSN 61/596727에 따르면, 결합된 주변부 내에 결합되지 않은 구역이 형성되고, 이러한 결합되지 않은 영역을 형성하는 것으로 기술된 주요 수단은 표면 조도를 증가시키는 것이다. 결합 공정의 승온 상 동안에 대향하는 유리-대-유리 결합이 형성되는 것을 방지하기 위해 2 ㎚ Ra 초과의 조도가 요구된다. 동시계속 USSN 61/736880에서는, 캐리어와 얇은 유리 사이의 반데르발스 결합을 약화시킴으로써 결합되지 않은 영역을 형성한다.
열, 진공, 용매, 산성, 및 초음파 평판 디스플레이 (FPD) 공정은 캐리어와 그에 결합된 얇은 가요성 유리 사이의 단단한 결합을 요구한다.
세 가지의 트랜지스터 기술이, 무정형 규소 (aSi) 하부 게이트 TFT; 다결정질 규소 (pSi) 상부 게이트 TFT; 및 무정형 산화물 (IGZO) 하부 게이트 TFT를 포함하는, FPD 백플레인(backplane) 제작을 위한 대량 제조에 사용된다. 일반적인 공정은 유리 기판을 전형적으로 뜨거운 알칼리성 용액에서 초음파 또는 메가소닉 교반을 사용하여 세정한 후에 DI수로 헹구는 것으로부터 시작된다.
디바이스 구조체는 물질 증착 및 포토리소그래피적 패턴화 및 뒤이은 물질 에칭으로 이루어진 다수의 절삭식 사이클을 통해 제작될 수 있다. 금속, 유전체, 및 반도체 물질은 진공 공정에 의해 증착될 수 있다 (예를 들어, 금속, 투명 전도성 산화물 및 산화물 반도체의 스퍼터링, 승온인 150 내지 450℃에서의 무정형 규소, 질화규소, 및 이산화규소의 화학적 증기 증착 (CVD) 증착). 다른 열 공정 단계는, 예를 들어, 최대 600℃ p-Si 결정화, 350 내지 450℃ 산화물 반도체 어닐링, 최대 650℃ 도판트 어닐링, 및 약 200 내지 350℃ 접촉 어닐링을 포함할 수 있다. 층은 중합체 레지스트의 포토리소그래피적 패턴화, 에칭, 및 뒤이은 레지스트 탈거에 의해 패턴화될 수 있다. 진공 플라즈마 (건식) 에치 공정과 산성 습식 에치 공정 둘 다가 사용될 수 있다. FPD 가공에서, 포토레지스트는 전형적으로 뜨거운 용매, 및 이와 더불어, 예를 들어, 초음파 또는 메가소닉 교반에 의해 탈거된다.
접착 웨이퍼 결합이, 공정이 덜 혹독한 후공정(back end) 단계를 위해 마이크로전자기계 시스템 (MEMS) 및 반도체 가공에서 널리 사용되어 왔다. 브루어 사이언스 앤드 헨켈(Brewer Science and Henkel)에 의한 상업적 접착제는 전형적으로 약 5 내지 200 마이크로미터의 두꺼운 중합체 접착제 층이다. 이들 층의 두꺼운 두께는 다량의 휘발성 물질, 포획된 용매, 및 흡착된 종이 FPD 공정을 오염시킬 잠재성을 제공할 수 있다. 이들 물질은 약 250℃보다 높은 온도에서 열분해될 수 있고 탈기(outgas)할 수 있다. 상기 물질은 또한 후속 공정 또는 공정 단계에서 탈기할 수 있는 기체, 용매, 산에 대한 흡수원(sink)으로서 작용함으로써 하류 단계에서 오염을 유발할 수 있다.
얇은 유리 작업 단편과 유리 캐리어 사이의 지나치게 강한 공유 결합은 캐리어의 완전한 분리 및 재사용성을 배제할 수 있다.
상기에 언급된 동시계속 USSN 61/596727 출원인은 캐리어에 결합된 얇은 유리 시트의 주변부 주위에 강한 공유 결합을 형성함으로써 FPD를 제조하고 캐리어에 결합된 얇은 유리 시트는 FPD 가공을 견디기에 충분히 내구성이었다는 것을 입증하였다. 그러나, 캐리어는 재사용 가능하지 않았다. 주변부는, 약 2500 mJ/㎡인 유리의 파괴 강도와 거의 같은, 약 2000 mJ/㎡의 접착력을 갖는 Si-O-Si 공유 결합에 의해 영구적으로 결합되었다. 들어올리거나 박리하는 것으로는 가요성 유리 단편과 캐리어를 분리할 수 없다. 그 대신에, 결합되지 않은 중심부 및 표면 구축된 디바이스는 스크라이빙되고 추출되어 결합된 주변부를 캐리어 상에 스크랩으로서 남긴다.
이론에 의해 얽매이지는 않지만, 표면 접착 이론이 널리 연구되어 왔고 연관되어 있다 (문헌("A theory for the estimation of surface and interfacial energies. I. derivation and application to interfacial tension", L. A. Girifalco and R. J. Good, J. Phys. Chem., 61, 904 (1957))을 참조).
동시계속 USSN 61/736887에서, 얇은 플라즈마 플루오로중합체 표면 처리는 테플론?과 물 사이에서 유리의 표면 에너지를 변동시킬 수 있다는 것이 입증되었다.
요망되는 접착 에너지를 달성하기 위해 표면 변경을 통해 반데르발스 및 공유 결합 상호작용 둘 다를 그의 분수 값에서 이렇게 정밀하게 제어하는 것이 본 개시내용의 측면이다.
실시양태에서, 개시내용은
유리 디바이스 기판의 제1 표면의 적어도 일부분, 유리 캐리어 기판의 제1 표면의 적어도 일부분, 또는 그의 조합을 처리하고, 여기서 처리된 유리 표면은
약 0.1 내지 약 14 at%의 규소;
약 1 내지 약 40 at%의 산소:
약 3 내지 60 at%의 탄소:
약 5 내지 65 at%의 플루오린; 및
약 1:1 내지 약 1:3의 금속 대 플루오린 (M:F) 원자비
를 가지며;
처리된 유리 표면을 처리되지 않거나 또는 유사하게 처리된 대응 유리 디바이스 기판 또는 유리 캐리어 기판과 접촉시켜 유리 캐리어 기판에 결합된 유리 디바이스 기판으로 구성된 라미네이트를 형성하고;
라미네이트의 유리 디바이스 기판의 결합되지 않은 제2 표면의 적어도 일부분을 하나 이상의 디바이스 표면 변경 처리로 변경하고;
임의로 디바이스 변경된 제2 표면을 갖는 유리 디바이스 기판을 유리 캐리어 기판으로부터 분리하는 것
을 포함하는, 유리 캐리어 기판 상에 지지된 디바이스 변경된 기판의 제조 방법을 제공한다.
실시양태에서, 디바이스 변경된 기판이 유리, 예를 들어, 코닝? 이글(Eagle) XG? ("EXG")인 경우에, 처리된 표면 또는 계면은 바람직하게는, XPS에 의해 결정된 바와 같은,
약 2 내지 약 9 at%의 규소;
약 7 내지 약 14 at%의 산소;
약 4 내지 10 at%의 탄소; 및
약 45 내지 60 at%의 플루오린
을 가질 수 있다.
측정된 원자%는, 예를 들어, 표면의 최외부 10 ㎚, 예컨대 2 내지 6 ㎚를 탐지할 수 있는 XPS 또는 ESCA로부터 선택되는 표면 측정 방법에 따라 변동할 수 있다.
실시양태에서, 방법은 하나 이상의 디바이스 표면 변경 처리에서 라미네이트를 약 200 내지 약 700℃에서 약 1초 내지 1200분 동안 가열하는 것을 추가로 포함할 수 있다.
실시양태에서, 처리는 예를 들어 유리 표면의 적어도 하나의 일부분을 중합제 또는 에칭제, 또는 그의 조합으로 구성된 플루오로탄소 플라즈마와 접촉시키는 것을 포괄 또는 포함할 수 있다.
실시양태에서, 중합제는, 예를 들어, CHF3, C4F8, C3F6, C3F8, H2, CH4, 알칸, 알켄, 알킬, 방향족화합물, 또는 그의 조합으로 이루어진 군으로부터 선택되는 3 내지 12개의 탄소 원자를 갖고 플루오린 원자를 갖지 않는 탄화수소 중 하나 이상을 포괄 또는 포함할 수 있고, 에칭제는 CF4, C2F6, NF3, SF6, HF, 또는 그의 조합 중 하나 이상을 포함한다.
실시양태에서, 라미네이트는 유리 디바이스 기판과 유리 캐리어 기판 사이에 약 100 내지 약 2,000 mJ/㎡의 접착 강도를 갖는 계면 결합을 가질 수 있다.
실시양태에서, 처리를, 예를 들어, 유리 디바이스 기판과 유리 캐리어 기판 둘 다 상에서 수행할 수 있거나, 대안으로서, 유리 디바이스 기판 또는 유리 캐리어 기판 중 단지 하나 상에서 수행할 수 있다.
실시양태에서, 유리 디바이스 기판은, 예를 들어, 약 10 내지 약 500 마이크로미터의 두께를 가질 수 있고, 캐리어 유리 기판은, 예를 들어, 약 200 마이크로미터 내지 3 ㎜의 두께를 갖고, 유리 디바이스 기판과 유리 캐리어 기판 사이의 계면 결합 층의 두께는, 예를 들어, 약 단일 원자 층 내지 약 100 나노미터이다.
실시양태에서, 하나 이상의 디바이스 표면 변경 처리로의 변경은, 예를 들어, 에칭, 코팅, 인쇄, 도금, 증기 증착, 스퍼터링, 및 유사한 변경 처리, 또는 그의 조합 중 하나 이상으로부터 선택된다.
실시양태에서, 분리는, 예를 들어,
디바이스 표면 변경된 유리 디바이스 기판을 유리 캐리어 기판으로부터 박리하는 것, 유리 캐리어 기판을 유리 디바이스 기판으로부터 박리하는 것, 또는 둘 다; 및
유리 디바이스 기판 또는 유리 캐리어 기판 중 하나 이상을 흡인 디바이스, 그리퍼(gripper) 디바이스, 나이프 에지(knife edge), 또는 그의 조합과 접촉시키는 것
중 하나 이상일 수 있다.
실시양태에서, 방법은, 예를 들어, 분리된 유리 캐리어 기판을 방법에서 반복적으로 재사용하기 위해 세정하는 것을 추가로 포함할 수 있다.
실시양태에서, 유리 캐리어 기판, 유리 디바이스 기판, 또는 둘 다는, 예를 들어, 가요성, 강성일 수 있거나, 또는 중간적인 가요성 또는 강성을 가질 수 있다.
실시양태에서, 개시내용은
플루오로중합체;
플루오린화된 에칭제의 반응 생성물;
또는 그의 조합
으로부터 선택된 플라즈마로 처리된 하나 이상의 결합된 표면을 갖는 유리 캐리어 기판에 결합된 유리 디바이스 기판
을 포함하고,
여기서 유리 디바이스 기판과 캐리어 유리 기판 사이의 계면 결합 강도가 약 100 내지 약 1,000 mJ/㎡이고, 유리 디바이스 기판과 유리 캐리어 사이의 계면이 약 1 내지 약 14 at%의 규소 함량 및 약 5 내지 60 at%의 플루오린 함량을 갖고, 유리 디바이스 기판과 유리 캐리어 기판 사이의 계면이 약 1:1 내지 1:3의 금속 대 플루오린 (M:F) 원자비를 갖는 것인
라미네이트 유리 물품을 제공한다.
실시양태에서, 유리 디바이스 기판 또는 디바이스 변경된 기판은, 유리 캐리어 기판의 외면 접촉 영역에 비해, 보다 작거나, 보다 크거나, 동일한 크기인 외면 접촉 영역을 갖는다.
실시양태에서, 물품은, 예를 들어, 하나 이상의 디바이스 변경된 표면 영역을 갖는 라미네이트 물품 내에 유리 디바이스 기판의 결합되지 않은 제2 표면을 추가로 포함할 수 있다.
실시양태에서, 유리 디바이스 기판은 약 20 내지 약 500 마이크로미터의 두께를 가질 수 있고, 캐리어 유리 기판은 약 200 마이크로미터 내지 약 3 ㎜의 두께를 갖고, 유리 디바이스 기판과 유리 캐리어 기판 사이의 계면 결합 층의 두께는, 예를 들어, 약 단일 원자 층 내지 약 100 나노미터일 수 있다.
실시양태에서, 개시내용은
유리 캐리어 기판의 제1 표면의 적어도 일부분을 처리하고, 여기서 처리된 제1 표면은
약 0.1 내지 약 14 at%의 규소;
약 1 내지 약 40 at%의 산소:
약 3 내지 60 at%의 탄소:
약 5 내지 65 at%의 플루오린; 및
약 1:1 내지 약 1:3의 금속 대 플루오린 (M:F) 원자비
를 가지며;
처리된 제1 표면을 디바이스 반도체 기판과 접촉시켜 유리 캐리어 기판의 처리된 제1 표면에 결합된 디바이스 반도체 기판으로 구성된 라미네이트를 형성하고;
라미네이트의 디바이스 반도체 기판의 결합되지 않은 제2 표면의 적어도 일부분을 하나 이상의 디바이스 표면 변경 처리로 변경하고;
임의로 디바이스 변경된 제2 표면을 갖는 디바이스 반도체 기판을 유리 캐리어 기판으로부터 분리하는 것
을 포함하는, 유리 캐리어 기판 상에 지지된 디바이스 변경된 반도체 기판을 갖는 디바이스 기판을 포함하는 라미네이트 물품의 제조 방법을 제공한다.
실시양태에서, 유리 디바이스 기판은 약 20 내지 약 500 마이크로미터의 두께를 가질 수 있고, 캐리어 유리 기판은 약 200 마이크로미터 내지 약 3 ㎜의 두께를 갖고, 유리 디바이스 기판과 유리 캐리어 기판 사이의 계면 결합 층의 두께는, 예를 들어, 약 단일 원자 층 내지 약 100 나노미터일 수 있다.
실시양태에서, 방법은, 예를 들어, 하나 이상의 디바이스 표면 변경 처리에서 라미네이트를 약 200 내지 약 700℃에서 1초 내지 1200분 동안 가열하는 것을 추가로 포함할 수 있다.
실시양태에서, 처리는 유리 표면을 중합제, 에칭제, 또는 그의 조합으로 구성된 플루오로탄소 플라즈마와 접촉시키는 것을 포함할 수 있고;
디바이스 기판은 규소 (Si), 비소화갈륨 (GaAs), 또는 조합 기판으로부터 선택된다.
실시양태에서, 중합제는, 예를 들어, CHF3, C4F8, C3F6, C3F8, H2, CH4, 히드로카르빌 모이어티로 이루어진 군으로부터 선택되는 3 내지 12개의 탄소 원자를 갖고 플루오린 원자를 갖지 않는 탄화수소, 예컨대 알칸, 알켄, 알킬, 방향족화합물, 또는 그의 조합 중 하나 이상일 수 있고; 에칭제는 CF4, C2F6, NF3, SF6, HF, 또는 그의 조합 중 하나 이상을 포함한다.
실시양태에서, 라미네이트는 디바이스 반도체 기판과 유리 캐리어 기판 사이에 약 100 내지 약 1,000 mJ/㎡의 접착 강도를 갖는 계면 결합을 가질 수 있다.
실시양태에서, 디바이스 반도체 기판은 약 20 내지 약 1000 마이크로미터의 두께를 갖고, 캐리어 유리 기판은 약 200 마이크로미터 내지 3 ㎜의 두께를 갖고, 디바이스 반도체 기판과 유리 캐리어 기판 사이의 계면 결합 층의 두께는 약 단일 원자 층 내지 약 100 나노미터이다.
실시양태에서, 하나 이상의 디바이스 표면 변경 처리로의 변경은, 예를 들어, 에칭, 코팅, 인쇄, 도금, 증기 증착, 스퍼터링, 또는 그의 조합 중 하나 이상일 수 있다.
실시양태에서, 디바이스 반도체 기판의 제조 방법은, 예를 들어, 분리된 유리 캐리어 기판을 방법에서 반복적으로 재사용하기 위해 세정하는 것을 추가로 포함할 수 있다.
실시양태에서, 유리 캐리어 기판은, 예를 들어, 가요성, 강성일 수 있거나, 또는 중간적인 가요성 또는 강성을 가질 수 있다.
실시양태에서, 디바이스 기판은, 예를 들어, 가요성, 강성일 수 있거나, 또는 중간적인 가요성 또는 강성을 가질 수 있다.
실시양태에서, 라미네이트 유리 물품은, 예를 들어,
플루오로중합체; 플루오린화된 에칭제와 유리 기판의 반응 생성물; 또는 그의 조합 중 하나 이상으로부터의 플라즈마 처리에 의해 변경된 표면을 갖는 유리 캐리어 기판에 결합된 디바이스 반도체 기판을 포함할 수 있고;
여기서 디바이스 반도체 기판과 캐리어 유리 기판 사이의 계면 결합 강도가 약 100 내지 약 1,000 mJ/㎡이고, 디바이스 반도체 기판과 유리 캐리어 사이의 계면이 약 0.1 내지 약 14 at%의 규소 함량 및 약 5 내지 60 at%의 플루오린 함량을 갖고, 가요성 유리 시트 물품과 유리 캐리어 사이의 계면이 약 1:1 내지 1:3의 금속 대 플루오린 (M:F) 원자비를 갖는다.
실시양태에서, 디바이스 반도체 기판은, 유리 캐리어 기판의 외면 접촉 영역에 비해, 보다 작거나, 보다 크거나, 동일한 크기인 외면 접촉 영역을 갖는다.
실시양태에서, 물품은 하나 이상의 디바이스 변경된 표면을 갖는 라미네이트 내에 디바이스 반도체 기판의 결합되지 않은 제2 표면을 추가로 포함할 수 있다.
실시양태에서, 디바이스 반도체 기판은 약 20 내지 약 1000 마이크로미터의 두께를 갖고, 캐리어 유리 기판은 약 200 마이크로미터 내지 약 3 ㎜의 두께를 갖고, 디바이스 반도체 기판과 유리 캐리어 기판 사이의 계면 결합 층의 두께는, 예를 들어, 약 단일 원자 층 내지 약 100 나노미터일 수 있다.
도면을 참조하자면, 도 1은 개시된 재사용 가능한 캐리어 공정(100)의 개략도를 도시한다. 재사용 가능한 공정(100)은, 예를 들어, 캐리어 기판(105) 기판, 예컨대 새로운(105) 또는 재사용된(140) 기판을 세정(110)에 적용하여 세정되거나 예비-컨디셔닝된 캐리어(105 또는 140)를 제조하는 캐리어 세정 또는 예비-컨디셔닝 단계(110)를 포함할 수 있다. 캐리어(105)는, 예를 들어, 유리, 세라믹 및 유사한 물질, 또는 물질의 조합으로 제조된 시트 또는 롤 스톡일 수 있다. 결과물인 캐리어(105 또는 140)를 개시된 접착 표면 컨디셔닝 또는 접착 표면 처리(115)에 적용하여, 예를 들어, 광범위한 처리를 통해 또는 보다 바람직하게는 보다 짧은 처리를 통해, 접착 층을 증착시켜, 처리된 캐리어(106) 상의 화학적으로 바뀐 계면(117)을 생성할 수 있다. 접착 처리는, 예를 들어, 본원에서 기술된 바와 같은, 적층식(additive) 플라즈마 플루오로중합체 처리, 절삭식 에칭제 처리, 또는 그의 조합일 수 있다. 이어서, 접착 컨디셔닝된 표면 처리부(117)를 갖는 캐리어(106)는 결합 또는 조합(120) 단계에서 작업 단편(122), 예컨대 가요성 유리 시트를 수용하여, 라미네이트를 제공한다. 접착 컨디셔닝 또는 표면 처리는 작업 단편(122)의 존재 또는 부재 하에서 컨디셔닝된 표면(117), 캐리어(106) 또는 둘 다를 가열하는 것을 추가로 포함할 수 있다. 결합 또는 조합된 작업 단편(122) 및 캐리어(106)로 구성된 라미네이트를, 예를 들어, 가요성 유리 시트 작업 단편(122)의 외부 작업 표면, 즉 캐리어(106)와 대면하지 않는 또는 직접 접촉하지 않는 또는 계면 접착 층(117)과 직접 접촉하지 않는 작업 단편의 표면을 변경하는 하나 이상의 디바이스 표면 변경 단계 또는 단계들(125)에 적용하여, 작업 단편(122) 상에 디바이스 변경된 작업 표면(127)을 갖는 조합된 작업 단편(122) 및 캐리어(106)를 포함하는 외부 표면 변경부를 갖는 라미네이트를 제공할 수 있다. 디바이스 또는 작업 표면 변경은, 작업 표면의 적어도 일부분을 실질적으로 변화시키는 임의의 하나 이상의 상용성 단계, 예를 들어, 적층식 가공, 절삭식 가공, 또는 그의 조합, 예를 들어, 코팅, 증착, 제어된 위상 변화, 스코어링, 절단, 드릴링, 기계가공, 회로 생성, 디바이스 생성, 평판 디스플레이 (FPD) 제작, 및 유사한 표면 변경, 또는 그의 조합을 포함할 수 있다. 변경된 작업 표면(127)을 갖는 조합된 작업 표면(122) 및 캐리어(106)를 포함하는 라미네이트를, 임의의 적합한 수단, 방법, 또는 기기를 사용하여, 예를 들어, 기계적 힘 또는 접촉을 사용하여, 예컨대 나이프 에지 또는 블레이트, 흡반 또는 그리퍼, 에어 나이프, 및 유사한 도구 또는 기술을 사용하여, 분리 또는 탈결합시킴으로써(130), 디바이스 표면 변경된 유리 시트와 같은 작업 단편(135)을 캐리어(106)로부터 분리할 수 있다. 사용된 캐리어(140)를 세정하여, 상기에 기술된 재사용된 캐리어(105)를 제조할 수 있고, 상기에 기술된 공정을 계속 반복할 수 있다.
도 2a 및 2b는 CF4 및 CHF3 플라즈마 중합체 처리된 유리 표면의 경우에 접촉 각도의 변화 (도 2a) 및 표면 에너지의 변화 (도 2b)를 도시한다. 도 2a에서 접촉 각도 측정에서는 다양한 용매가 사용되었다 (210, 물; 215, 디아이오도메탄; 및 220, 헥사데칸). 도 2b에서 표면 에너지 측정에서는 다양한 에너지 측정 기준이 사용되었다 (230, 총계; 240, 극성; 및 250, 분산; 여기서 총 에너지(230)는 극성 에너지와 분산 에너지의 합이고, 즉 "240 + 250"임). 고체-액체 계면 에너지의 우(Wu) 모델(문헌(S. Wu, J. Polym. Sci C 34, 19 (1971))을 참조)을 세 가지의 시험 액체인 물, 디아이오도메탄, 및 헥사데칸의 세 개의 접촉 각도에 피팅함으로써 표면 에너지 및 극성 및 분산 성분을 측정한다.
도 3a 내지 3b는 플라즈마 내 다양한 CF4 및 CHF3 몰분율을 갖는 CF4 및 CHF3 플라즈마 플루오로중합체 처리된 이글 XG? 유리 표면의 경우에 XPS에 의해 측정된 바와 같은 플루오린(310), 탄소(320) 및 산소(330)의 표면 농도 (도 3a), 및 금속 플루오린화물로 인한 플루오린 원자의 농도(340) 및 플루오로중합체로 인한 플루오린 원자의 농도(350) (도 3b)를 도시한다. 플루오린의 표면 농도는 CF4 및 CHF3 기체 혼합물 전체에 걸쳐 거의 변동하지 않는 반면에 (예를 들어, 49 내지 52 at%), 탄소 농도는 CF4가 첨가됨에 따라 감소한다. 높은 플루오린 농도 (49 내지 52 at%) 및 낮은 산소 농도 (7.5 내지 12 at%)는 금속 플루오린화물 표면을 형성하는 CHF3 및 CF4 플라즈마 중합체 표면 처리에 부합된다.
도 4는 다양한 플라즈마 처리 시간의 함수로서의, XPS에 의해 측정된 바와 같은 원소 표면 조성의 변화를 도시한다. 각각의 경우에, 기체의 유속은 50 밀리토르의 압력에서 50 표준세제곱센티미터/분 (sccm)이었다. 플라즈마 처리는 순수한 CHF3 또는 순수한 CF4였다 (400, 410, 및 420은 각각 6초, 60초, 및 600초의 CHF3 플라즈마 처리를 가리키고, 430, 440, 및 450은 각각 6초, 60초, 및 600초의 CF4 플라즈마 처리 시간을 가리킴). 처리되지 않은 평탄한 유리의 표면 조성이 또한 비교를 위해 제시되어 있다 (460; 음성 대조군). 데이터를 보아, 6초의 플라즈마 처리의 경우에, 탄소의 표면 농도는 10 at% 미만인 반면에, 플루오린의 표면 농도는 40 at%를 초과한다는 것을 알 수 있다.
도 4는 또한 CF4 플라즈마 중합체 표면 처리의 경우에 6초 후에 탄소 또는 플루오린 농도가 거의 증가하지 않았는다는 것을 보여준다. CF4 플라즈마 노출 시간이 증가함에 따라 규소, 산소, 및 붕소 농도가 감소한 반면에, CF4 플라즈마 노출 시간이 증가함에 따라 Al, Mg, Ca, 및 Sr 농도는 증가한다. 이는 유리 표면을 에칭시키고 표면에서 규소, 산소, 및 붕소를 고갈시키는 CF4 플라즈마 처리에 부합한다.
SC1 세정된 얇은 유리에 결합된 CF4 및 CHF3 플라즈마 중합체 처리된 이글 XG? 캐리어의 경우에 결합 에너지의 변화 대 어닐링 온도 및 어닐링 시간이 도 5a에 도시되어 있고, SC1 처리된 얇은 유리에 결합된 SC1 처리된 이글 XG? 캐리어의 경우가 도 5b에 도시되어 있다.
도 5a는 도 2에 기술된 바와 같이 기체 비를 변화시킴으로써 제조한 세 가지의 다양한 표면 에너지를 갖는 RIE 모드 CF4 및 CHF3 처리된 이글 XG? 캐리어의 경우에 어닐링 온도의 함수로서의 접착 또는 결합 에너지의 변화를 도시하는데: 40 mJ/㎡ 표면 에너지(510)에서 결합 에너지는 저온 폴리규소 (LTPS) 가공을 견디기에 너무 약하고 부적절하다. 55 mJ/㎡ 표면 에너지(511)에서 결합 에너지는 LPTS 가공을 견디기에 적절하고; 72 mJ/㎡ 표면 에너지(512)에서 여전히 LTPS 가공을 견디기에 적절하지만 가요성 유리 시트를 캐리어로부터 박리하기는 보다 어렵다. 결합 에너지 (SE) 또는 접착 한계(515)는 약 2500 mJ/제곱미터이고, 이때 분리가 시도된다면 유리 파단이라는 실패가 초래된다.
도 5b는 100℃ (520), 150℃ (521), 200℃ (522), 250℃ (523) 및 300℃ (524)에서의 어닐링 시간의 함수로서의, 라미네이트 내 SC1 처리된 얇은 유리에 대한 SC1 처리된 이글 XG? 캐리어의 결합 에너지 (SE) 또는 캐리어에 대한 가요성 유리 시트의 접착을 도시한다. 온도가 상승함에 따라 결합 에너지는 급속히 증가하는 것으로 관찰된다. 300℃ (524)에서, 얇은 유리는 영구적으로 결합되었고, 10분 이상의 어닐링 시간에서 파단되지 않고서는 제거될 수가 없었다.
결합 에너지 (BE) 측정을 웨지(wedge) 시험 방법을 사용하여 수행하였다 (문헌(Tong, Q.Y., et al. "Semiconductor Wafer Bonding", Annu Rev Mater Sci, vol. 28, no. 1, pp. 215-241, 1998)을 참조). 표면 처리된 캐리어와 깨끗한 가요성 유리 사이의 결합 에너지 또는 접착을, 얇은 블레이드를 삽입하고 균열 길이를 측정함으로써, 결정할 수 있다. 결합 에너지 γ는 하기 등식에 의해 캐리어의 영 모듈러스(Young's modulus) E1, 캐리어 두께 tw1, 가요성 유리 모듈러스 E2, 가요성 유리 두께 tw2, 블레이드 두께 tb, 및 균열 길이 L과 관련된다:
Figure pct00001
도 5a에서의 예를 통해, 유리 표면의 불균일한 에칭 및 임의로 그와 조합된 중합체 증착을 통해, 규소 및 산소가 고갈되고 플루오린 및 탄소가 농후화되고 알칼리토류 플루오린화물이 풍부한 표면을 생성하면, 유리, 특히 얇은 유리와 같은 다른 고에너지 표면에 대해 일시적인 제거 가능한 또는 탈착 가능한 결합을 용이하게 형성할 수 있다는 것을 알 수 있다.
실시양태에서, 가요성 유리 시트는 약 50 내지 약 300 마이크로미터의 두께를 가질 수 있고, 캐리어 유리 물품은 약 200 마이크로미터 내지 3 ㎜의 두께를 가질 수 있다. 뜻밖에도, 이러한 규소 및 산소가 고갈되고 플루오린 및 탄소가 농후화된 표면은 약 600℃ 미만의 온도에서는 유리 표면에 영구적으로 결합되지 않는다.
본원에서 기술된 표면 처리는 반도체 및/또는 인터포저 가공에서 얇은 웨이퍼를 가공하는 데 사용될 수 있다. 본 발명의 일부 예는 일반적으로 보다 얇은 기판의 가공을 허용하도록 보다 얇은 기판에 결합되고 그로부터 제거되는 캐리어에 관한 것이다. 보다 특히, 본 발명의 일부 예는 반도체 및/또는 인터포저 가공을 위해 캐리어에 웨이퍼를 결합시키고 이어서 이러한 가공 후에 웨이퍼를 캐리어로부터 탈결합시키는 방법 및 장치에 관한 것이다.
반도체 디바이스는 반도체 웨이퍼 상에 또는 내에 능동 디바이스를 형성하는 것에 의해 제작된다. 반도체 웨이퍼는, 예를 들어, 유리, 규소, 폴리규소, 단결정 규소, 산화규소, 산화알루미늄, 이들의 조합, 및/또는 유사한 것을 포함할 수 있다. 수백 개 또는 수천 개의 집적 회로 (IC) 또는 다이가 전형적으로 단일 웨이퍼 상에 제조된다. 전형적으로, 복수의 절연, 전도성, 및 반도성 물질 층이 웨이퍼 상에 순차적으로 증착되고 패턴화됨으로써 IC를 형성한다. 최상부에 형성된 물질 층 중 하나는 전형적으로 웨이퍼 내의 하부 활성 영역 및 성분에 전기적으로 접속하게 하는 결합 패드를 위한 층을 포함한다.
IC가 형성된 후에, 웨이퍼는 배면 가공에 적용될 수 있다. 배면 가공은 웨이퍼를 박화시켜서 패키징을 위한 웨이퍼를 제조하는 것을 포함할 수 있다. 예를 들어, 일부 기술에서, 배면 가공은 배면 접촉을 제공하기 위해 웨이퍼를 통해 형성된 기판-관통 비아에의 전기적 접속을 형성하는 것을 포함할 수 있다. 이러한 예에서, 웨이퍼의 배면 상에 전도성 비아를 노출시키기 위해 웨이퍼의 배면은 그린딩과 같은 공정을 통해 박화된다. 이러한 웨이퍼의 박화 공정은 웨이퍼의 가장자리를 손상시킬 수 있고 웨이퍼를 더욱 보다 깨지기 쉽게 만들 수 있고 웨이퍼의 후속 이송 및 가공 동안에 손상에 취약하게 만들 수 있다.
이들 유형의 손상을 줄이는 것을 돕기 위해, 캐리어가 웨이퍼에 부착될 수 있다. 전형적으로, 이전에는, 이러한 캐리어는 접착제를 통해 부착되었고, 캐리어를 취급함으로써 웨이퍼의 취급을 허용하도록 의도되었다. 부가적으로, 부가된 캐리어의 강도가 웨이퍼를 지지하므로, 이송 및/또는 가공에 의해 유발된 응력이 웨이퍼를 손상시키지 않을 것이다. 전형적인 캐리어는 접착제에 의해 웨이퍼에 부착된 유리 기판일 수 있다. 그러나, 웨이퍼는 가공 동안에 휘어질 수 있고, 전형적인 캐리어는 휘어짐을 방지하기에 충분한 지지를 제공하지 못 한다는 것이 밝혀졌다. 웨이퍼가 휘어짐으로 인해, 공정은 실패할 수 있고/있거나 경보 상태를 유발할 수 있다. 능동 트랜지스터, 레지스터 및 RC 회로, 및 트랜지스터를 상호접속시키기 위한 국부 배선을 반도체 내에 패턴화하는 IC 제작의 첫 번째 일부분은 전공정(front-end-of-line; FEOL) 가공이라고 불린다. FEOL 가공은 또한 웰 형성; 게이트 모듈 형성; 소스 및 드레인 모듈 형성; DRIE (건식 반응성 이온 에치); PVD, Ti 또는 Cu, 또는 기타; CVD TiN 또는 기타; PECVD SiO2, 또는 기타; 전해질 Cu (또는 기타) 도금; Cu (또는 기타) 어닐링; 계측 (X선 또는 기타); Cu (또는 기타) CMP (화학 기계적 연마); Cu (H2O2 + H2SO4) + Ti (DHF) 습식 에치; 스퍼터 접착 층 (Ti 또는 기타); 스퍼터 시드 층 (Cu 또는 기타); 리소그래피 (포토레지스트, 노출, 탈거, 에치 Cu)를 포함할 수 있다. FEOL 가공과 연관된 고온 (예를 들어, ≥ 500℃, 일부 경우에, 500℃ 내지 650℃, 및 일부 경우에 최대 700℃) 공정의 일부 때문에, 많은 접착제 기반 해결책은 사용될 수 없는데, 왜냐하면 그것이 결합을 유지하는 데 실패할 수 있거나, 오염물질을 탈기할 수 있거나, 둘 다일 수 있기 때문이다. 많은 접착제는 심지어는 훨씬 보다 저온, 예를 들어 대략 300℃에서도 탈기한다. 개별 칩을 가로질러 보다 긴 거리를 접속하고 오프 칩 위치로 향하는 조악한 배선이 웨이퍼 상의 배선과 상호접속되는 IC 제작 라인의 일부분은 후공정(back-end-of-line; BEOL) 배선이라고 불린다. BEOL 가공은 또한 접촉, 절연 층, 상호접속 배선, RF 차폐, 패시베이션, ESD 보호, 결합 패드 및 칩-대-패키지 해결책을 위한 다른 결합 부위의 형성 중 하나 이상을 포함할 수 있다. BEOL 가공 온도는 일반적으로 FEOL 가공 온도보다 낮지만, 유전체 증착은 전형적으로 350 내지 450℃에서 일어나고, 대부분의 접착제는 이들 보다 저온에서 탈기한다. 더욱이, 대부분의 일시적 접착제는 웨이퍼 및 캐리어 물질과 어울리지 않는 높은 CTE를 갖고, 웨이퍼 상에 정교한 마이크로구조체를 온전하게 남기면서 제거되기 어렵다. 부가적으로, 접착제 및 웨이퍼 및/또는 캐리어 물질 사이의 어울리지 않는 CTE는 웨이퍼의 바람직하지 않은 휘어짐을 유발할 수 있다. 여전히 추가로, 접착제는 캐리어에 결합될 때 인터포저의 비아 내로 들어갈 수 있고 바람직하지 않게도 비아의 적어도 일부의 금속화를 방지할 수 있다.
따라서, 가공 조건, 특히 FEOL 가공의 고온 요구를 견딜 수 있는 개선된 캐리어-기판 해결책이 필요하다. 부가적으로, FEOL의 가혹함을 견딜 수 있으면서도 그 후 용이한 탈결합을 제공하는 캐리어-기판 해결책은 보다 얇은 초기 기판을 처음부터 사용하는 것을 허용할 것이며, 그럼으로써 후공정 박화가 덜 필요해질 것이다. 즉, 전형적인 기존 반도체 도구는 웨이퍼를 대략 500 마이크로미터 이상으로 가공하도록 설계되어 있다. 그러나, 캐리어가 웨이퍼를 지지하면, 합해진 두께는 도구의 가공 두께 범위 내에만 있을 필요가 있다. 따라서, 예를 들어, 100 마이크로미터의 웨이퍼를 지지하기 위해 400 마이크로미터의 두께를 갖는 캐리어가 사용될 수 있고, 그 조합이 기존 반도체 도구에서 가공된다. 본 해결책을 사용하면, 고온 가공 후에도 용이한 분리를 허용하는 제어된 결합으로 인해, 100 마이크로미터 웨이퍼가 기판으로서 사용될 수 있고, 그럼으로써 웨이퍼 상에 디바이스가 형성된 후에 박화의 폐기물 및 잠재적 수율 감소가 회피될 수 있다. FEOL 가공을 견디는 능력은 ≤ 200 마이크로미터, 예를 들어, 200, 190, 180, 170, 160, 150, 140, 130, 120, 110, 100, 90, 80, 70, 60, 50, 40, 30, 또는 20 마이크로미터의 두께를 갖는 웨이퍼를 사용하여 캐리어-기판 해결책을 개시하는 것을 허용할 것이다. 이러한 두께 (예를 들어 ≤ 200 마이크로미터)의 웨이퍼는 캐리어에 부착되고, 가공되고, 이어서 캐리어로부터 제거될 수 있다. 예를 들어 폴리규소 또는 단결정 규소 웨이퍼가 기판으로서 사용되는 경우에, 매우 값비싼 물질의 제거 및 폐기가 회피될 수 있고; 상기 물질은 형성된 두께대로 간단히 가공될 수 있기 때문에, 이는 주요 이점일 수 있다.
부가적으로, 3D IC 기술은, 더욱 보다 값비싼 진보된 리소그래피 해결책을 요구하거나 보다 많은 회로를 수용하기 위해 보다 큰 칩 크기를 요구하지 않고서 반도체의 성능을 개선하기 위한 주요 기술 동향으로서 반도체 산업에 의해 널리 받아들여져 왔다. 3D IC를 위한 이러한 기술은 박화된 규소 IC, 및 또한 평면 구성의 단일 인터포저 바로 위에 놓인 IC들 사이에 전기적 신호를 재분배하기 위한 인터포저 (2.5D IC) 뿐만 아니라 박화된 IC를 적재하기 위한 인터포저 (3D IC)에 의존한다.
폴리규소, 단결정 규소 또는 유리로 제조될 수 있는 이들 인터포저는 경로 길이를 수밀리미터로부터 수마이크로미터로 감소시킴으로써 통신 속도를 극적으로 개선하는 것을 허용한다. 이러한 새로운 기술을 위한 선두 적용은, 예를 들어, 자일링스(Xilinx) (미국 캘리포니아주 산호세)에 의해 제조된 최고급 특화 기능인, 필드 프로그램가능한 게이트 어레이 (FPGA)이다.
인터포저는 특징적으로 두께가 대략 50 um 내지 100 um이고, 오늘날 크기가 200 ㎜ OD 내지 300 ㎜ OD이며, 장기적으로 보다 큰 크기의 패널로의 경향이 있다. 금속화 후 이를 통해 전기적 신호가 가공되는 것인 비아는 설계 및 적용에 따라 5 um OD 내지 150 um OD이며, 밀도는 전형적으로 제곱밀리미터당 1 내지 20개 비아이다. 두꺼운 인터포저는 허용되지 않는 형태 인자 (높이) 및 성능 (열) 장애를 유발하기 때문에 인터포저는 정의에 의하면 얇다. 얇은 것은 일반적으로 대략 100 마이크로미터인 것으로 간주되지만, 일반적으로 200 마이크로미터를 초과하지 않는 것으로 간주된다. 한편, 반도체 국제 기술 로드맵 (ITRS)은 50 um까지 얇은 두께를 허용한다. 또한, 이들 두께의 기판은 일반적으로 기존 도구에서 가공될 수 없다. 따라서, 본 개시내용은 캐리어의 유리한 사용, 및 고온 가공 동안에도 웨이퍼와 부착된 채로 있을 수 있으면서도 이러한 가공 후에 웨이퍼의 용이한 탈착을 여전히 허용하는 것을 고려한다.
인터포저 기술은 새롭지만, 유리가 대안으로 부상하면서, 우세한 인터포저 기판은 단결정 규소이다. 유리의 매력은 성능 및 비용이지만, 유리에 대한 이들 이점을 실현하기 위한 해결책은 오늘날 아직 존재하지 않는다. 본 개시내용의 개념은 규소 및 유리를 포함하는 다양한 얇은 기판을 웨이퍼로서뿐만 아니라 FEOL 및 BEOL을 포함하는 다양한 조건 하에 가공하여, IC, RC 회로 및 인터포저를 포함하는 다양한 디바이스를 제공하는 것을 허용할 것이다.
본 개시내용의 결합 해결책은 높은 수율 및 짧은 가공 시간으로 모든 기존의 요구되는 공정 단계를 통해 최종 두께 유리뿐만 아니라 박화된 규소에서 얇은 형태의 가공을 허용한다. 얇은 웨이퍼를 금속화, 분배 층 배치를 통해 가공한 후, 그것을 박화되고 가공된 인터포저 및/또는 IC를 온전하게 남기면서 탈결합시킬 수 있다. 더욱이, 캐리어를 이미 박화된 (대략 ≤ 200 마이크로미터) 규소 웨이퍼와 함께 사용하는 것은 임의의 디바이스를 그 위에 가공하기 전에 웨이퍼를 가리는 것을 허용한다. 따라서, 비용이 저감되고/되거나 수율이 개선될 수 있다.
상기 관점에서, (사용될 반도체 또는 디스플레이 제조 공정과 비상용성일 수 있는 탈기 없이) 고온 가공을 포함하는 FEOL 가공의 가혹함을 견딜 수 있지만, 얇은 시트의 전체 영역이 캐리어로부터 (모두 한 번에 또는 나누어서) 제거되는 것을 허용하는 얇은 시트-캐리어 물품이 필요하다. 본 명세서에는 캐리어와 얇은 시트 사이의 접착을 제어하여 FEOL 가공 (고온 가공을 포함함)을 견디기에 충분히 강하지만 고온 가공 후에도 캐리어로부터 시트의 탈결합을 허용하기에 충분히 약한 일시적 결합을 생성하는 표면 처리가 기술되어 있다. 보다 구체적으로, 본 개시내용은 얇은 시트와 캐리어 사이의 실온 반데르발스 및/또는 수소 결합과 고온 공유 결합 둘 다를 제어하기 위해 얇은 시트, 캐리어 또는 둘 다에 제공될 수 있는 표면 처리를 제공한다. 더욱 보다 구체적으로, 실온 결합은 진공 가공, 습식 가공 및/또는 초음파 세정 가공 동안에 얇은 시트와 캐리어를 하나로 묶기에 충분하도록 제어될 수 있다. 그리고 동시에, 고온 공유 결합은 고온 가공 동안에 얇은 시트와 캐리어 사이의 영구적 결합을 방지하도록뿐만 아니라 고온 가공 동안에 층간박리를 방지하기에 충분한 결합을 유지하도록 제어될 수 있다.
일시적 결합 상태를 형성하는 표면 처리의 또 다른 용도는, 예를 들어 FEOL 가공에서와 같이, 온도 ≥ 400℃ (예를 들어 ≥ 450℃, ≥ 500℃, ≥ 550℃, ≥ 600℃)를 요구하는 공정에서 얇은 시트를 가공하기 위해 캐리어 상의 얇은 시트의 사용을 제공하는 것이다. 즉, 얇은 시트는 이후에 박화되지 않아도 되는 두께로 가공되는 웨이퍼일 수 있다. 본원에서 기술되는 바와 같은 표면 처리는 이러한 온도 조건 하에 캐리어의 재사용을 제공하기 위해 사용될 수 있다. 구체적으로, 이들 표면 처리는 얇은 시트와 캐리어 사이에 일시적 결합을 형성하는 데 사용될 수 있고, 그럼으로써 전체 얇은 시트는 가공 후에 캐리어로부터 분리될 수 있다. 얇은 시트를 모두 한 번에 분리할 수 있거나, 예를 들어, 우선 얇은 시트의 일부분 상에 제조된 디바이스를 제거하고 그 후에 임의의 남아있는 일부분을 제거하고 캐리어를 예를 들어 재사용을 위해 세정하는 경우와 같이, 나누어서 분리할 수도 있다. 얇은 시트를 통째로 제거함으로써, 또는 합해지면 전체 얇은 시트가 되도록 다이싱된(diced) 얇은 시트의 구획을 제거함으로써, 전체 얇은 시트를 캐리어로부터 제거하는 경우에, 간단히 캐리어 상에 또 다른 얇은 시트를 놓음으로써 캐리어를 그대로 재사용할 수 있다. 대안으로서, 캐리어는 세정되고 표면 처리부가 새로 형성됨으로써 또 다시 얇은 시트를 지니도록 제조될 수 있다. 표면 처리는 얇은 시트와 캐리어 사이에 일시적 결합을 제공하기 때문에, 그것은 온도가 ≥ 600℃인 공정을 위해 사용될 수 있다. 물론, 이들 표면 처리는 온도 ≥ 600℃에서의 가공 동안에 결합 표면 에너지를 제어할 수 있지만, 그것은 또한 보다 저온, 예를 들어 온도 ≥ 400℃ (예를 들어 ≥ 450℃, ≥ 500℃, ≥ 550℃)에서의 가공을 견디는 얇은 시트와 캐리어의 조합을 제조하는 데 사용될 수 있고, 이러한 보다 저온 적용에서, 예를 들어 BEOL 가공에서, 결합을 제어하는 데 사용될 수 있다. 얇은 시트는 ≤ 200 마이크로미터의 두께를 갖는 폴리규소 또는 단결정 규소 웨이퍼, 규소 웨이퍼, 유리, 세라믹, 유리-세라믹, 석영, 사파이어일 수 있고, 예를 들어, FEOL 가공에서 그 위에 RC 회로, IC, 또는 다른 전자 디바이스를 형성하기 위해 예를 들어 온도 ≥ 500℃에서 가공될 수 있다. FEOL 가공 후에, 웨이퍼는 전자 디바이스를 손상시키지 않으면서 캐리어로부터 용이하게 제거될 수 있다. 그러나, 제거 전에, 웨이퍼는, 예를 들어 BEOL 가공에서와 같이, 보다 저온의 가공을 추가로 겪을 수 있다.
일시적 결합을 제공하는 표면 처리의 두 번째 용도는 인터포저의 제작이다. 이러한 경우에, 얇은 시트는 인터포저이고, 그것은 예를 들어 규소, 폴리규소, 단결정 규소, 유리, 세라믹, 유리-세라믹, 석영, 사파이어를 포함하는 임의의 적합한 물질로부터 제조된, 예를 들어 ≤200 마이크로미터의 두께를 가질 수 있는 웨이퍼일 수 있다.
인터포저의 예 및 그의 제작은 이제 도 6 내지 8을 참조하여 기술될 것이다.
도 6을 참조하자면, 얇은 시트(20)는 제어된 결합 영역(40), 즉 얇은 시트(20)와 캐리어(10) 사이에 일시적 결합이 형성된 영역에 의해 캐리어(10)에 결합될 수 있다.
이러한 실시양태에서, 캐리어(10)는 유리 기판 또는 유리와 유사한 표면 에너지를 갖는 또 다른 적합한 물질, 예를 들어, 규소, 폴리규소, 단결정 규소, 세라믹, 유리-세라믹, 사파이어 또는 석영일 수 있다. 유리 기판을 사용하는 것의 이점은 최소 두께 변동을 갖는 평탄한 시트를, 값비싼 캐리어 기판에 대한 필요를 회피하면서, 상대적으로 저렴한 비용으로 수득할 수 있다는 것이다. 부가적으로, 유리를 사용하면, 고품질이 비용 효과적인 방식으로 달성될 수 있다. 즉, 매우 균일한 두께의 유리 기판이 매우 저렴하게 제조될 수 있고, 캐리어로서 사용될 수 있다. 그러나, 본 개시내용의 표면 처리를 사용하면, 캐리어는, 웨이퍼가 최종 두께로 박화될 것인 경우에서와 같이 낮은 총 두께 변동을 갖는 고정밀 캐리어일 필요가 없다. 즉, 캐리어 상의 웨이퍼가 박화될 때, 캐리어 내의 임의의 변동이 박화 시 박화된 웨이퍼 내에 존재할 것이기 때문에, 캐리어는 총 두께 변동에 대해 매우 엄격하게 제어되어야 한다. 웨이퍼가 이미 최종 두께를 가질 때 웨이퍼 상에 디바이스를 형성하는 것을 허용하는 본 개시내용의 표면 처리를 사용하면, 캐리어의 총 두께 변동은 훨씬 덜 중요하다.
이러한 실시양태에서, 얇은 시트(20)는 인터포저(56)를 형성하는 데 사용된다. 시트는 예를 들어 폴리규소 또는 단결정 규소 웨이퍼를 포함하는 규소, 석영, 사파이어, 세라믹 또는 유리일 수 있다. 시트(20)는 ≤200 마이크로미터의 두께를 가질 수 있다. 인터포저(56)는 각각 주변부(52) 및 비아의 어레이(50)를 갖고, 여기서 어레이(50)는 주변부(57)를 갖는다. 10개의 인터포저(56)가 도시되어 있지만, 하나를 포함하여, 임의의 적합한 개수의 인터포저가 하나의 얇은 시트(20) 상에 배치될 수 있다. 설명의 편의를 위해, 각각의 인터포저(56)는 단지 하나의 비아의 어레이(50)를 갖는 것으로 도시되어 있지만, 그럴 필요는 없고; 그 대신에 임의의 인터포저(56)는 하나 초과의 어레이(50)를 가질 수 있다. 추가로, 각각의 인터포저가 동일한 개수의 어레이(50)를 갖는 것으로 도시되어 있지만, 그럴 필요는 없고; 임의의 개수 (0개를 포함함)의 인터포저가 동일한 개수의 어레이(50)를 가질 수 있다. 부가적으로, 어레이(50)는 전형적으로 비아의 동일한 개수 및 패턴을 가질 것이지만, 그럴 필요는 없다. 설명의 편의를 위해, 비아(60)는 단지 인터포저(56) 중 하나의 어레이(50) 중 하나 상에만 도시되어 있지만, 그럴 필요는 없고, 즉 남아 있는 인터포저(56) 중 임의의 하나 이상은 하나 이상의 비아(60)의 어레이(50)를 가질 수 있다.
이제, 도 6의 선 7-7을 따라 취해진 바와 같은 단면도인 도 7을 참조하고자 한다. 비아(60)는 관통 비아 또는 블라인드 비아, 즉 시트(20)의 두께 내에서 종결되는 비아를 포함할 수 있다. 비아(60)는 직경(62)을 갖고, 피치(64)로 이격된다. 직경(62)은 동일한 것으로 도시되어 있지만, 그럴 필요는 없고, 즉 하나의 인터포저(56) 상의 하나의 어레이(50) 또는 다양한 어레이(50)에서 다양한 직경의 비아가 있을 수 있다. 직경(62)은 예를 들어 5 마이크로미터 내지 150 마이크로미터일 수 있다. 유사하게, 비아(62)는 동일한 피치(64)로 이격되어 있지만, 그럴 필요는 없고, 즉 다양한 피치가 하나의 얇은 시트(20) 상의 하나의 인터포저(56) 또는 다양한 인터포저(56) 상의 하나의 어레이(50) 또는 다양한 어레이(50) 내에 존재할 수 있다. 피치는 예를 들어 제곱밀리미터 당 1 내지 20개의 비아가 있도록 하는 것일 수 있고, 인터포저의 설계 및 적용에 따라 좌우될 것이다. 부가적으로, 물질(61)이 비아(60) 중 임의의 하나 이상에 존재할 수 있다. 물질(61)은 전기 전도성 물질, 전기 절연 물질, 또는 그의 조합일 수 있다. 예를 들어, 전도성 물질이 비아의 주변부 상에, 즉 그의 외부 직경(62)에 형성될 수 있고, 다양한 전도성 물질 또는 절연 물질이 나머지 비아를 충전하는 데 사용될 수 있다.
이제, 도 7과 유사한 도면이지만, 인터포저(56) 상에 배치되고 비아(들)(60)에 접속된 디바이스/구조체를 갖는 도 8을 참조하고자 한다. 도 8에 도시된 바와 같이, 디바이스(66)는 복수의 비아(60) 상에 배치될 수 있고 그것과 접속될 수 있다. 디바이스(66)는 집적 회로; MEMS; 마이크로센서; 전력 반도체; 발광 다이오드; 광자 회로; CPU; SRAM; DRAM, eDRAM; ROM, EEPROM; 플래쉬 메모리; 인터포저; 내장된 수동 디바이스; 및 규소, 규소-게르마늄, 비소화갈륨, 및 질화갈륨 상에 제작된 또는 그것으로부터 제작된 마이크로디바이스를 포함할 수 있다. 단지 하나의 디바이스(66)가 도시되어 있지만, 디바이스(56)의 어레이를 포함하는, 하나의 인터포저(56) 상에 임의의 적합한 개수의 디바이스(66)가 있을 수 있다. 대안으로서, 구조체(68)는 단지 하나의 비아(60) 상에 배치될 수 있고 그것과 접속될 수 있다. 구조체(68)는 땜납 범프; 금속 포스트; 금속 필라; 상호접속 라우팅; 상호접속 라인; 절연 산화물 층; 및 규소, 폴리규소, 이산화규소, (산)질화규소, 금속 (예를 들어, Cu, Al, W), 저 k 유전체, 중합체 유전체, 금속 질화물, 및 금속 규화물로 이루어진 군으로부터 선택되는 물질로부터 형성된 구조체를 포함할 수 있다. 단지 하나의 구조체(68)가 도시되어 있지만, 구조체(56)의 어레이(들)를 포함하는, 하나의 인터포저(56) 상에 임의의 적합한 개수의 구조체(68)가 있을 수 있다. 추가로, 하나 이상의 구조체(68)가 디바이스(66) 상에 배치될 수 있다.
제어된 결합 영역(40)에서, 전체 중첩 영역 상에서 캐리어(10) 및 얇은 시트(20)가 접속되지만, 고온 가공, 예를 들어 온도 ≥ 400℃, 예를 들어 ≥ 450℃, ≥ 500℃, ≥ 550℃, ≥ 600℃, 및 최대 약 650℃, 또는 일부 경우에 700℃까지에서의 가공 후에도 서로로부터 분리될 수 있도록, 캐리어(10) 및 얇은 시트(20)는 서로 결합된다.
본원에 개시된 표면 처리는 캐리어(10)와 얇은 시트(20) 사이의 일시적 결합 영역(40)을 제공하는 계면 결합 층(30)을 초래한다. 구체적으로, 이들 표면 처리부는 캐리어(10) 또는 얇은 시트(20) 상의 어레이(50)의 주변부(52) 내에 형성될 수 있다. 따라서, 물품(2)이 디바이스 가공 동안에 고온에서 가공되는 경우에, 주변부(52)에 의해 한정된 영역 내에서 캐리어(10)와 얇은 시트(20) 사이에 일시적 결합이 제공될 수 있고, 그럼으로써 분리력은 (얇은 시트 또는 캐리어에 대한 치명적인 손상 없이) 이러한 구역에서 얇은 시트와 캐리어를 분리할 수 있지만, 얇은 시트 및 캐리어는 초음파 가공을 포함하는 가공 동안에 층간박리되지 않을 것이다. 부가적으로, 계면 결합 층(30)의 매우 얇은 두께, 즉 100나노미터 미만, 40나노미터 미만, 10나노미터 미만, 및 일부 경우에 약 2나노미터의 두께 때문에, (보다 두꺼운, 즉 대략 40 내지 60 마이크로미터 또는 그 초과의 접착제 층의 경우와 같이) 웨이퍼와 표면 처리부 사이의 어울리지 않는 CTE로 인해 웨이퍼에 미쳐지는 영향은 없다.
이어서, 주변부(52)를 갖는 인터포저(56) (각각 비아(60)의 어레이(50)를 가짐)의 추출 동안에, 주변부(52) 내의 얇은 시트(20)의 일부분은, 가공 후에 및 주변부(52)를 따라 얇은 시트가 분리된 후에, 캐리어(10)로부터 간단히 분리될 수 있다. 대안으로서, 얇은 시트(20) (및 대안으로서 얇은 시트(20)와 캐리어(10) 둘 다)는 선(5)을 따라 다이싱될 수 있으며, 그럼으로써 인터포저(56) 주변부(52)보다 큰 얇은 시트(20)의 구획이 캐리어(10)로부터, 또는 캐리어가 얇은 시트(20)와 함께 다이싱되는 경우에서와 같이, 캐리어(10)의 구획으로부터 제거될 수 있다. 표면 처리는 얇은 시트와 캐리어의 일시적 결합을 제공하기 때문에, 그것은 온도가 ≥ 600℃인 공정에서 사용될 수 있다. 물론, 이들 표면 처리는 온도 ≥ 600℃에서의 가공 동안에 결합 표면 에너지를 제어할 수 있지만, 그것은 또한 보다 저온, 예를 들어 ≥ 400℃ (예를 들어 ≥ 450℃, ≥ 500℃, ≥ 550℃)에서의 가공을 견디는 얇은 시트와 캐리어의 조합을 제조하는 데 사용될 수 있고, 이러한 보다 저온 적용에서 사용될 수 있다.
실시양태에서, 시트(20)는 규소, 석영, 사파이어, 세라믹, 또는 유리를 포함한다.
실시양태에서, 시트(20) 두께는 ≤ 200 마이크로미터이다.
실시양태에서, 시트(20)는 그 내부에 하나 이상의 비아를 추가로 포함한다. 실시양태에서, 하나 이상의 비아는 ≤ 150 마이크로미터의 직경을 갖는다. 실시양태에서, 하나 이상의 비아는 그 내부에 전기 전도성 물질을 포함할 수 있다.
실시양태에서, 시트(20)는 캐리어(10)에 일시적으로 결합된 표면과 대향하는 디바이스 표면을 포함하고, 디바이스 표면은 집적 회로; MEMS; CPU; 마이크로센서; 전력 반도체; 발광 다이오드; 광자 회로; 인터포저; 내장된 수동 디바이스; 및 규소, 규소-게르마늄, 비소화갈륨, 및 질화갈륨 상에 제작된 또는 그것으로부터 제작된 마이크로디바이스로 이루어진 군으로부터 선택되는 디바이스의 어레이를 포함한다.
실시양태에서, 시트(20)는 캐리어(10)에 일시적으로 결합된 표면과 대향하는 디바이스 표면을 포함하고, 디바이스 표면은 땜납 범프; 금속 포스트; 금속 필라; 상호접속 라우팅; 상호접속 라인; 절연 산화물 층; 및 규소, 폴리규소, 이산화규소, (산)질화규소, 금속, 저 k 유전체, 중합체 유전체, 금속 질화물, 및 금속 규화물로 이루어진 군으로부터 선택되는 물질로부터 형성된 구조체로 이루어진 군으로부터 선택되는 하나 이상의 구조체를 포함한다.
실시양태에서, 계면 결합 층(30)은 두께가 0.1 내지 100 ㎚이다.
실시양태에서, 캐리어 및 시트는 ≥ 100 제곱㎝의 영역 상에 일시적으로 결합된다.
실시양태에서, 함께 일시적으로 결합된 시트(20) 및 캐리어(10)는 FEOL 가공에 적용된다. 실시양태에서, FEOL 가공은 500℃ 내지 700℃의 가공-챔버 온도를 포함한다. 실시양태에서, FEOL 가공은 DRIE (건식 반응성 이온 에치); PVD; CVD TiN; PECVD SiO2; 전해질 Cu 도금; Cu 어닐링; 계측; Cu CMP; Cu (H2O2 + H2SO4) + Ti (DHF) 습식 에치; 스퍼터 접착 층; 스퍼터 시드 층; 리소그래피 (포토레지스트, 노출, 탈거, 에치 Cu) 중 하나 이상을 포함한다.
실시예
하기 실시예는 상기 일반적 절차에 따른 개시된 라미네이트 유리 생성물의 제조, 사용, 및 분석을 입증한다. 하기 실시예는 또한 결과물인, 라미네이트 물품 내의 성분 단편들 사이의 계면 결합의 강도를 제어하는 능력을 입증한다.
실시예 1
캐리어 세정
캐리어를, 개시된 공정에서 사용하기 전에, 유리 작업 단편의 탈결합 또는 분리에 뒤이은 개시된 공정에서 사용한 후에, 또는 둘 다에서, 세정할 수 있다. 세정 단계는, 예를 들어, DI수 헹굼; NH4OH:H2O2:H2O 혼합물, O2 플라즈마 처리, 산 헹굼 (즉 HCl 또는 HCl:H2O2) 및 유사한 헹굼, 또는 그의 조합 중 하나 이상을 포함할 수 있다. 세정 단계는 주로 세정된 표면 상의 입자의 밀도를 현저하게 최소화하는 것과 관계가 있다.
실시예 2
표면 처리
개시내용의 적층식 표면 처리 공정에서, 캐리어 또는 유리 작업 단편의 표면을, 공유 결합을 제거하거나 최소화하면서 반데르발스 결합을 허용하도록, 변경할 수 있다. 처리된 표면은 적당한 공정 온도 (예를 들어, 600℃)까지에서 캐리어와 작업 단편 사이의 접착 안정성을 제공한다. 표면 처리를, 예를 들어, 50 mTorr의 진공 압력, 25 sccm CHF3 및 25 sccm CF4의 반응물 유속 및 농도, 200W RF 전력, 및 60초 플루오린 플라즈마 노출 또는 처리 시간의 공정 조건을 갖는 플루오린 플라즈마를 사용하여 수행할 수 있다. 대안으로서, 유사한 표면을, 에칭제로서 CF4를 사용하고 플루오로중합체 형성제로서 C4F8를 사용하는 ICP 플라즈마 구성에서, 또는 에칭제로서 CF4를 사용하고 플루오로중합체 형성제로서 CH4를 사용하는 RIE 챔버에서, 또는 에칭제로서 CF4를 사용하고 중합체 형성제로서 H2를 사용하는 RIE 챔버에서 제조할 수 있다.
또 다른 예에서, 결합될 대응 작업 단편은, 예를 들어, 반도체 기판 또는 에피택셜 성장을 위한 기판, 예컨대 규소, 비소화갈륨, 또는 사파이어일 수 있다. 이들 기판은 수동 결합 또는 롤러 라미네이션에 의해 상기에 기술된 얇은 유리 기판과 유사한 처리된 캐리어 유리에 결합된다. 이들 기판 물질의 접착은, 결합 상태일 때 및 열 가공 후 둘 다에서, 상기 유리 기판의 것과 유사하였다.
실시예 3
얇은 유리 작업 단편을 캐리어 기판에 결합시킴
실시예 결합 절차에서, 얇은 유리 작업 단편을 이전의 실시예에서 임의의 처리에 의해 처리된 캐리어 표면과 가볍게 접촉시켰다. 예를 들어 기계적 공급원, 또는 유사한 공급원으로부터 유래된, 접촉 압력을 얇은 유리 작업 단편과 캐리어 중 하나 또는 둘 다 상의 하나 이상의 지점에 가할 수 있다. 가볍게 가해진 압력은 결합 파면을 개시할 수 있다.
또 다른 실시예 결합 절차에서, 결합을, 예를 들어, 처리되지 않거나 또는 처리된 얇은 유리 작업 단편을 롤러 라미네이션 조립체를 사용하여 처리된 캐리어에 적층시킴으로써 전통적인 기계적 라미네이션 방법을 통해 수행할 수 있다.
실시예 4
결합된 얇은 유리 작업 단편 상에서의 디바이스 (예를 들어, 평판 디스플레이) 제작
생성, 제작, 변경 등인 디바이스 가공을, 결합되지 않은 얇은 유리 작업 단편 표면의 디바이스, 회로, 또는 유사한 구조 또는 표면 변경을 이행하는 통상적인 공정 기술을 사용하여, 결합된 얇은 유리 작업 단편의 외부의 결합되지 않은 표면 상에서 수행할 수 있다. 디바이스 가공은, 예를 들어, 산, 염기, 진공, 필름 증착, 플라즈마 에칭, 고온 어닐링 진공에의 노출, 및 유사한 가공 단계를 포함할 수 있다.
실시예 5
탈결합
탈결합 가공은, 이제 디바이스 가공된 외부 표면, 즉 디바이스 변경된 유리 기판을 갖게 된, 결합된 얇은 유리 작업 단편이 캐리어 기판으로부터 기계적으로 및 상대적으로 용이하게 제거되는 것을 허용한다. 캐리어로부터의 제거를, 임의의 적합한 디바이스 또는 방법을 사용하여, 예를 들어 진공 흡인을 사용하여 모서리를 쥐고 결합된 얇은 유리 작업 단편을 당겨서 그것을 캐리어로부터 예를 들어 박리하여 제거함으로써, 수행할 수 있다.
실시예 6
표면 조성을 결정하는 XPS 방법
X-선 광전자 분광법 (XPS)을 사용하여 모든 표면의 표면 조성 및 종 분리(speciation)를 연구하고 측정하였다. 모든 XPS 데이터를 AlKα 방사선 및 45°의 테이크오프(take off) 각도를 사용하는 상업적인 XPS 기기 (PHI)를 사용하여 수집하였다. XPS 기기 공급업체에 의해 제공된 소프트웨어 및 감도 인자를 사용하여 스펙트럼을 분석하였다. 하기 오비탈을 사용하여 표면 조성을 결정하였다: Si 2p, Al 2p, O 1s, C 1s, Mg 2s, Ca 2s, B 1s, F 1s, 및 Sr 3d. 기록된 이들 원소의 농도는 XPS 신호의 전체 탐지 깊이에 걸친 각각의 이들 원소의 농도의 평균이었다.
실시예 7
CHF3 (플루오로중합체 형성제) 및 CF4 (에칭제) 비의 함수로서의 표면 조성의 변화
표 1은 처리되지 않은 EXG 유리 및 다양한 CF4 및 CHF3 비로 플라즈마 처리된 후의 EXG 유리에 대한 도 4로부터 수득된 선택된 원자의 표면 원자비 (Al:Si, Ca:Si, Mg:Si, Sr:Si)를 보여준다. 데이터를 보아, 플라즈마 표면 처리가, 처리되지 않은 EXG 표면에 비해 처리된 EXG 표면에 있어서 Al:Si, Ca:Si, Mg:Si, 및 Sr:Si 원자비의 상당한 증가를 초래한다는 것을 분명히 알 수 있고, 이 결과는 Al, Ca, Mg, 및 Sr에 비해 Si가 상대적으로 고갈됨을 암시한다.
<표 1> 처리되지 않은 EXG의 표면 조성에 있어서 동일한 비와 비교된, 도 4로부터 수득된 선택된 원소의 원자비
Figure pct00002
탄소질 덧층의 존재는 다양한 오비탈로부터 유래된 XPS 신호의 선택적인 감쇠로 인해 하부 원소의 원자비에 영향을 미치는 것으로 공지되어 있다. Ca:Si의 비에서 측정 설비로 인한 변화는 작고, Al:Si, Mg:Si, 및 Sr:Si의 비에서 이들 변화는 최소이고 본질적으로 검출 한계보다 작다.
실시예 8
유리 표면 상의 플루오린화물 종의 형성의 결정
XPS를 또한 사용하여 표면 상의 검출된 플루오린의 종 분리를 수행하였다. F 1s 스펙트럼은 약 1.9 eV에 의해 분리된 두 개의 상이한 피크와 피팅된 피크일 수 있다. 보다 낮은 결합 에너지 피크는 금속 플루오린화물 종으로서 존재하는 플루오린에 할당된 반면에, 보다 높은 결합 에너지 피크는 탄소질 층과 반응하는 플루오린화물에 할당되었다. 이렇게 하여, XPS에 의해 표면 상에서 검출된, 금속 플루오린화물로 인한 플루오린 농도의 분율 및 플루오로중합체 층 내에 존재하는 플루오린의 분율을 수득할 수 있다.
금속 플루오린화물의 형태를 갖는 플루오린의 농도를 알고, XPS에 의해 검출된 양이온의 총 농도를 알면, 총 플루오린 대 양이온 비를 계산할 수 있다. 이러한 경우에, 총 양이온 농도는 실시예 7에서 정의된 조건 하에서 XPS에 의해 검출된 바와 같은 B, Al, Mg, Sr, Si, 및 Ca의 총 농도로서 정의된다. 순수한 CHF3 및 순수한 CF4 플라즈마 조건의 실시예에 대한 이러한 분석 결과는 표 2에 제시되어 있다.
표 2의 결과는, 순수한 CHF3의 실시예의 경우에 표면 상에서 XPS에 의해 검출된 플루오린 농도의 대부분은 플루오로중합체의 형태를 갖는 반면에, 순수한 CF4의 실시예에서 XPS에 의해 검출된 플루오린 농도의 적은 부분은 플루오로중합체의 형태를 갖는다는 것을 암시한다.
<표 2> 순수한 CHF3 또는 순수한 CF4 플라즈마 조성에 있어서의, 표면 상에서 검출된 플루오린의 총 농도, 금속 플루오린화물로서 존재하는 플루오린의 총 분량, 및 플루오린 대 유리 양이온 비
Figure pct00003
개시내용은 다양한 구체적인 실시양태 및 기술을 참조하여 기술되었다. 그러나, 여전히 개시내용의 범위에 포함되는 많은 변형 및 변경이 가능하다는 것을 이해해야 한다.

Claims (16)

  1. 디바이스 기판의 제1 표면의 적어도 일부분, 유리 캐리어 기판의 제1 표면의 적어도 일부분, 또는 둘 다를 처리하고, 여기서 처리된 표면은
    약 0.1 내지 약 14 at%의 규소;
    약 1 내지 약 40 at%의 산소:
    약 3 내지 60 at%의 탄소:
    약 5 내지 65 at%의 플루오린; 및
    약 1:1 내지 약 1:3의 금속 대 플루오린 (M:F) 원자비
    를 가지며;
    처리된 표면을 처리되지 않거나 또는 유사하게 처리된 대응 디바이스 기판 또는 유리 캐리어 기판과 접촉시켜 유리 캐리어 기판에 결합된 디바이스 기판으로 구성된 라미네이트를 형성하고;
    라미네이트의 디바이스 기판의 결합되지 않은 제2 표면의 적어도 일부분을 하나 이상의 디바이스 표면 변경 처리로 변경하여 디바이스 기판 물품을 형성하는 것
    을 포함하는, 유리 캐리어 기판 상에 지지된 디바이스 변경된 기판을 갖는 디바이스 기판 물품의 제조 방법.
  2. 제1항에 있어서, 라미네이트를 하나 이상의 디바이스 표면 변경 처리에서 약 200 내지 약 700℃에서 1초 내지 1200분 동안 가열하고; 디바이스 변경된 제2 표면을 갖는 디바이스 기판 물품을 라미네이트의 유리 캐리어 기판으로부터 분리하는 것을 추가로 포함하는 방법.
  3. 제2항에 있어서, 분리가
    디바이스 기판 물품을 유리 캐리어 기판으로부터 박리하는 것, 유리 캐리어 기판을 디바이스 기판으로부터 박리하는 것, 또는 둘 다; 및
    디바이스 기판 또는 유리 캐리어 기판 중 하나 이상을 흡인 디바이스, 그리퍼 디바이스, 나이프 에지, 또는 그의 조합과 접촉시키는 것
    중 하나 이상을 포함하는 것인 방법.
  4. 제2항 또는 제3항에 있어서, 분리된 유리 캐리어 기판을 방법에서 반복적으로 재사용하기 위해 세정하는 것을 추가로 포함하는 방법.
  5. 제1항 내지 제4항 중 어느 한 항에 있어서, 처리가, 유리 캐리어 기판의 제1 유리 표면의 적어도 하나의 일부분을 중합제; 에칭제; 또는 그의 조합으로 구성된 플라즈마와 접촉시키는 것을 포함하는 것인 방법.
  6. 제5항에 있어서, 중합제가 CHF3, C4F8, C3F6, C3F8, H2, CH4, 알칸, 알켄, 알킬, 방향족화합물, 또는 그의 조합으로 이루어진 군으로부터 선택되는 3 내지 12개의 탄소 원자를 가지며 플루오린 원자를 갖지 않는 탄화수소 중 하나 이상을 포함하고, 에칭제가 CF4, C2F6, NF3, SF6, HF, 또는 그의 조합 중 하나 이상을 포함하는 것인 방법.
  7. 제1항 내지 제6항 중 어느 한 항에 있어서, 디바이스 기판이 유리인 경우에, 라미네이트가 디바이스 기판과 유리 캐리어 기판 사이에 약 100 내지 약 2,000 mJ/㎡의 접착 강도를 갖는 계면 결합을 갖는 것인 방법.
  8. 제1항 내지 제7항 중 어느 한 항에 있어서, 하나 이상의 디바이스 표면 변경 처리로의 변경이 에칭, 코팅, 인쇄, 도금, 증기 증착, 스퍼터링, 또는 그의 조합 중 하나 이상인 방법.
  9. 제1항 내지 제8항 중 어느 한 항에 있어서, 디바이스 기판이 유리이고, 약 10 내지 약 500 마이크로미터의 두께를 가지며, 캐리어 유리 기판이 약 200 마이크로미터 내지 3 ㎜의 두께를 가지며, 유리 디바이스 기판과 유리 캐리어 기판 사이의 계면 결합 층의 두께가 약 단일 원자 층 내지 약 100 나노미터인 방법.
  10. 제9항에 있어서, 디바이스 기판이 그 내부에 복수의 구멍을 포함하는 것인 방법.
  11. 제1항 내지 제10항 중 어느 한 항에 있어서, 디바이스 기판이 유리 캐리어 상에 지지된 디바이스 변경된 반도체 기판을 갖는 것인 방법.
  12. 제11항에 있어서, 디바이스 기판이 규소 (Si), 비소화갈륨 (GaAs), 또는 그의 조합으로부터 선택되는 것인 방법.
  13. 플루오로중합체;
    플루오린화된 에칭제의 반응 생성물;
    또는 그의 조합
    으로부터 선택된 플라즈마로 처리된 하나 이상의 계면 결합된 표면을 갖는 유리 캐리어 기판에 결합된 유리 디바이스 기판
    을 포함하고,
    여기서 유리 디바이스 기판과 캐리어 유리 기판 사이의 계면 결합 강도가 약 100 내지 약 1,000 mJ/㎡이고, 유리 디바이스 기판과 유리 캐리어 사이의 계면이 약 0.1 내지 약 14 at%의 규소 함량, 약 5 내지 65 at%의 플루오린 함량, 및 약 1:1 내지 1:3의 금속 대 플루오린 (M:F) 원자비를 갖는 것인
    라미네이트 유리 물품.
  14. 제13항에 있어서, 하나 이상의 디바이스 변경된 표면 영역을 갖는 라미네이트 물품 내에 유리 디바이스 기판의 결합되지 않은 제2 표면을 추가로 포함하는 물품.
  15. 제13항 또는 제14항에 있어서, 유리 디바이스 기판이 그 내부에 복수의 구멍을 포함하는 것인 물품.
  16. 제13항 내지 제15항 중 어느 한 항에 있어서, 유리 디바이스 기판이 약 20 내지 약 500 마이크로미터의 두께를 가지며, 캐리어 유리 기판이 약 200 마이크로미터 내지 약 3 ㎜의 두께를 가지며, 유리 디바이스 기판과 유리 캐리어 기판 사이의 계면 결합 층의 두께가 약 단일 원자 층 내지 약 100 나노미터인 물품.
KR1020167030912A 2014-04-09 2015-04-07 디바이스 변경된 기판 물품 및 제조 방법 KR20160145062A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201461977364P 2014-04-09 2014-04-09
US61/977,364 2014-04-09
PCT/US2015/024600 WO2015157202A1 (en) 2014-04-09 2015-04-07 Device modified substrate article and methods for making

Publications (1)

Publication Number Publication Date
KR20160145062A true KR20160145062A (ko) 2016-12-19

Family

ID=52988479

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020167030912A KR20160145062A (ko) 2014-04-09 2015-04-07 디바이스 변경된 기판 물품 및 제조 방법

Country Status (8)

Country Link
US (1) US11192340B2 (ko)
EP (1) EP3129221A1 (ko)
JP (1) JP2017518954A (ko)
KR (1) KR20160145062A (ko)
CN (1) CN106457758B (ko)
SG (1) SG11201608442TA (ko)
TW (1) TW201601211A (ko)
WO (1) WO2015157202A1 (ko)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10543662B2 (en) 2012-02-08 2020-01-28 Corning Incorporated Device modified substrate article and methods for making
TWI617437B (zh) 2012-12-13 2018-03-11 康寧公司 促進控制薄片與載體間接合之處理
US9340443B2 (en) 2012-12-13 2016-05-17 Corning Incorporated Bulk annealing of glass sheets
US10086584B2 (en) 2012-12-13 2018-10-02 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
US10014177B2 (en) 2012-12-13 2018-07-03 Corning Incorporated Methods for processing electronic devices
US10510576B2 (en) 2013-10-14 2019-12-17 Corning Incorporated Carrier-bonding methods and articles for semiconductor and interposer processing
CN106132688B (zh) 2014-01-27 2020-07-14 康宁股份有限公司 用于薄片与载体的受控粘结的制品和方法
SG11201608442TA (en) 2014-04-09 2016-11-29 Corning Inc Device modified substrate article and methods for making
KR102382054B1 (ko) 2014-11-05 2022-04-01 코닝 인코포레이티드 상향식 전해 도금 방법
EP3297824A1 (en) 2015-05-19 2018-03-28 Corning Incorporated Articles and methods for bonding sheets with carriers
WO2016209897A1 (en) 2015-06-26 2016-12-29 Corning Incorporated Methods and articles including a sheet and a carrier
TWI757257B (zh) * 2015-11-19 2022-03-11 美商康寧公司 玻璃物件以及黏合玻璃片與載體之方法
TW201737766A (zh) 2016-01-21 2017-10-16 康寧公司 處理基板的方法
US10410883B2 (en) 2016-06-01 2019-09-10 Corning Incorporated Articles and methods of forming vias in substrates
US10134657B2 (en) * 2016-06-29 2018-11-20 Corning Incorporated Inorganic wafer having through-holes attached to semiconductor wafer
US10794679B2 (en) 2016-06-29 2020-10-06 Corning Incorporated Method and system for measuring geometric parameters of through holes
TW201825623A (zh) 2016-08-30 2018-07-16 美商康寧公司 用於片材接合的矽氧烷電漿聚合物
TWI810161B (zh) 2016-08-31 2023-08-01 美商康寧公司 具以可控制式黏結的薄片之製品及製作其之方法
TW201838024A (zh) * 2017-03-21 2018-10-16 美商康寧公司 載具設備及處理載具設備之方法
US11078112B2 (en) 2017-05-25 2021-08-03 Corning Incorporated Silica-containing substrates with vias having an axially variable sidewall taper and methods for forming the same
US10580725B2 (en) 2017-05-25 2020-03-03 Corning Incorporated Articles having vias with geometry attributes and methods for fabricating the same
WO2019118660A1 (en) 2017-12-15 2019-06-20 Corning Incorporated Method for treating a substrate and method for making articles comprising bonded sheets
US10917966B2 (en) 2018-01-29 2021-02-09 Corning Incorporated Articles including metallized vias
US11554984B2 (en) 2018-02-22 2023-01-17 Corning Incorporated Alkali-free borosilicate glasses with low post-HF etch roughness
US11152294B2 (en) 2018-04-09 2021-10-19 Corning Incorporated Hermetic metallized via with improved reliability
DE102018214475A1 (de) * 2018-08-27 2020-02-27 Robert Bosch Gmbh ESD-Schutzvorrichtung für ein MEMS-Element
JP2022506116A (ja) * 2018-10-30 2022-01-17 マジック リープ, インコーポレイテッド 拡張および複合現実システムのためのポリマー接眼レンズアセンブリ
CN113474311B (zh) 2019-02-21 2023-12-29 康宁股份有限公司 具有铜金属化贯穿孔的玻璃或玻璃陶瓷制品及其制造过程
WO2020210079A1 (en) * 2019-04-11 2020-10-15 Corning Incorporated Anti-reflective transparent oleophobic surfaces and methods of manufacturing thereof
CN110783172B (zh) * 2019-09-09 2022-06-14 长江存储科技有限责任公司 用于分离堆叠封装结构中多个裸片的混合溶剂和方法
WO2021102106A1 (en) * 2019-11-21 2021-05-27 Corning Incorporated Recycled glass and glass-ceramic carrier sustrates

Family Cites Families (377)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1082116A (en) 1964-09-03 1967-09-06 Owens Illinois Inc Organopolysiloxane resins and their production
US3722181A (en) 1970-05-22 1973-03-27 Du Pont Chromatographic packing with chemically bonded organic stationary phases
FR2110495A5 (ko) 1970-10-19 1972-06-02 Michelin & Cie
IE35508B1 (en) 1971-08-11 1976-03-03 Intercontinental Chem Co Ltd Method of forming adhesive bonds between surfaces
US4096315A (en) 1976-12-15 1978-06-20 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Process for producing a well-adhered durable optical coating on an optical plastic substrate
GB1583544A (en) 1977-07-25 1981-01-28 Uop Inc Metal-clad laminates
US4179324A (en) 1977-11-28 1979-12-18 Spire Corporation Process for fabricating thin film and glass sheet laminate
US4397722A (en) 1981-12-31 1983-08-09 International Business Machines Corporation Polymers from aromatic silanes and process for their preparation
US4599243A (en) 1982-12-23 1986-07-08 International Business Machines Corporation Use of plasma polymerized organosilicon films in fabrication of lift-off masks
US4849284A (en) 1987-02-17 1989-07-18 Rogers Corporation Electrical substrate material
US4822466A (en) 1987-06-25 1989-04-18 University Of Houston - University Park Chemically bonded diamond films and method for producing same
US4810326A (en) 1987-08-31 1989-03-07 International Business Machines Corporation Interlaminate adhesion between polymeric materials and electrolytic copper surfaces
US5141800A (en) 1989-02-02 1992-08-25 Chemical Fabrics Corporation Method of making laminated PTFE-containing composites and products thereof
US5357726A (en) 1989-02-02 1994-10-25 Chemfab Corporation Composite materials for structural end uses
US4990462A (en) 1989-04-12 1991-02-05 Advanced Micro Devices, Inc. Method for coplanar integration of semiconductor ic devices
US5073181A (en) 1990-08-09 1991-12-17 Corning Incorporated Method of protecting glass surfaces using submicron refractory particles
CA2065918A1 (en) 1991-04-22 1992-10-23 Bradley W. Reed Liquid membrane modules with minimal effective membrane thickness and methods of making the same
CA2069038C (en) 1991-05-22 1997-08-12 Kiyofumi Sakaguchi Method for preparing semiconductor member
DE69223065T2 (de) 1991-06-14 1998-04-09 Gore & Ass Oberflächenmodifiziertes, poröses expandiertes polytetrafluoroäthylen und verfahren zur herstellung desselben
US5462781A (en) 1991-06-14 1995-10-31 W. L. Gore & Associates, Inc. Surface modified porous expanded polytetrafluoroethylene and process for making
US5222494A (en) 1991-07-31 1993-06-29 Cyberonics, Inc. Implantable tissue stimulator output stabilization system
JP3039070B2 (ja) 1991-10-09 2000-05-08 住友電気工業株式会社 弗素樹脂被覆物
FR2690279B1 (fr) 1992-04-15 1997-10-03 Picogiga Sa Composant photovoltauique multispectral.
US5491571A (en) 1993-01-19 1996-02-13 Hughes Aircraft Company Liquid crystal display including electrodes and driver devices integrally formed in monocrystalline semiconductor layer
US5482896A (en) 1993-11-18 1996-01-09 Eastman Kodak Company Light emitting device comprising an organic LED array on an ultra thin substrate and process for forming same
US5616179A (en) 1993-12-21 1997-04-01 Commonwealth Scientific Corporation Process for deposition of diamondlike, electrically conductive and electron-emissive carbon-based films
US5554680A (en) 1994-02-16 1996-09-10 E. I. Du Pont De Nemours And Company Heat-resistant perfluoroelastomer composition
JP3081122B2 (ja) 1994-07-18 2000-08-28 シャープ株式会社 基板搬送用治具及びそれを用いた液晶表示素子の製造方法
US5760100B1 (en) 1994-09-06 2000-11-14 Ciba Vision Corp Extended wear ophthalmic lens
US5413940A (en) 1994-10-11 1995-05-09 Taiwan Semiconductor Manufacturing Company Process of treating SOG layer using end-point detector for outgassing
DE69606942T2 (de) 1995-09-25 2000-10-05 Dow Corning Verwendung von präkeramischen Polymeren als Klebstoffe für Elektronik
US5718967A (en) 1995-10-13 1998-02-17 The Dow Chemical Company Coated plastic substrate
US5661618A (en) 1995-12-11 1997-08-26 International Business Machines Corporation Magnetic recording device having a improved slider
JP3930591B2 (ja) 1995-12-22 2007-06-13 東陶機器株式会社 光触媒性親水性コーティング組成物、親水性被膜の形成方法および被覆物品
US5888591A (en) 1996-05-06 1999-03-30 Massachusetts Institute Of Technology Chemical vapor deposition of fluorocarbon polymer thin films
US5989998A (en) 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
US6124154A (en) 1996-10-22 2000-09-26 Seiko Epson Corporation Fabrication process for thin film transistors in a display or electronic device
US5820991A (en) 1997-02-24 1998-10-13 Cabo; Ana M. Fused glass sheets having ceramic paint and metal foil and method of making same
DE19711459A1 (de) 1997-03-19 1998-09-24 Flachglas Automotive Gmbh Verfahren zur Herstellung einer gebogenen Verbundsicherheitsglasscheibe
JP3551702B2 (ja) 1997-05-08 2004-08-11 カシオ計算機株式会社 液晶表示素子とその駆動方法
US6687969B1 (en) 1997-05-16 2004-02-10 Micron Technology, Inc. Methods of fixturing flexible substrates and methods of processing flexible substrates
US5972152A (en) 1997-05-16 1999-10-26 Micron Communications, Inc. Methods of fixturing flexible circuit substrates and a processing carrier, processing a flexible circuit and processing a flexible circuit substrate relative to a processing carrier
JPH1126733A (ja) 1997-07-03 1999-01-29 Seiko Epson Corp 薄膜デバイスの転写方法、薄膜デバイス、薄膜集積回路装置,アクティブマトリクス基板、液晶表示装置および電子機器
EP1018153A1 (en) 1997-08-29 2000-07-12 Sharon N. Farrens In situ plasma wafer bonding method
US5966622A (en) 1997-10-08 1999-10-12 Lucent Technologies Inc. Process for bonding crystalline substrates with different crystal lattices
US20010045351A1 (en) 1997-11-21 2001-11-29 Korea Institute Of Science And Technology Plasma polymerization on surface of material
US6852650B2 (en) 1998-02-05 2005-02-08 Asm Japan K.K. Insulation film on semiconductor substrate and method for forming same
EP2261919A3 (en) 1998-02-23 2011-03-09 Kabushiki Kaisha Toshiba Information storage medium, information playback method and apparatus and information recording method
US6159385A (en) 1998-05-08 2000-12-12 Rockwell Technologies, Llc Process for manufacture of micro electromechanical devices having high electrical isolation
EP1048628A1 (de) 1999-04-30 2000-11-02 Schott Glas Polymerbeschichtete Dünnglasfoliensubstrate
US6379746B1 (en) 1999-02-02 2002-04-30 Corning Incorporated Method for temporarily protecting glass articles
JP3202718B2 (ja) 1999-02-23 2001-08-27 鹿児島日本電気株式会社 表示装置製造用治具及びそれを用いた表示装置の製造方法
US6387736B1 (en) 1999-04-26 2002-05-14 Agilent Technologies, Inc. Method and structure for bonding layers in a semiconductor device
US6338901B1 (en) 1999-05-03 2002-01-15 Guardian Industries Corporation Hydrophobic coating including DLC on substrate
EP1054454A3 (en) 1999-05-18 2004-04-21 Nippon Sheet Glass Co., Ltd. Glass sheet with conductive film, method of manufacturing the same, and photoelectric conversion device using the same
US8853696B1 (en) 1999-06-04 2014-10-07 Semiconductor Energy Laboratory Co., Ltd. Electro-optical device and electronic device
US6824879B2 (en) 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
SG93210A1 (en) 1999-06-29 2002-12-17 Univ Singapore Method for lamination of fluoropolymer to metal and printed circuit board (pcb) substrate
TW473783B (en) 1999-08-13 2002-01-21 Semiconductor Energy Lab Laser apparatus, laser annealing method, and manufacturing method of a semiconductor device
CN1314086C (zh) 2000-02-01 2007-05-02 模拟装置公司 具有抗静摩擦特性的芯片、微机电装置及其制造方法
US6902987B1 (en) * 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
US6528145B1 (en) 2000-06-29 2003-03-04 International Business Machines Corporation Polymer and ceramic composite electronic substrates
DE10034737C2 (de) 2000-07-17 2002-07-11 Fraunhofer Ges Forschung Verfahren zur Herstellung einer permanenten Entformungsschicht durch Plasmapolymerisation auf der Oberfläche eines Formteilwerkzeugs, ein nach dem Verfahren herstellbares Formteilwerkzeug und dessen Verwendung
US6649540B2 (en) 2000-11-09 2003-11-18 The Boc Group, Inc. Organosilane CVD precursors and their use for making organosilane polymer low-k dielectric film
FR2823599B1 (fr) 2001-04-13 2004-12-17 Commissariat Energie Atomique Substrat demomtable a tenue mecanique controlee et procede de realisation
FR2823596B1 (fr) 2001-04-13 2004-08-20 Commissariat Energie Atomique Substrat ou structure demontable et procede de realisation
FR2824821B1 (fr) 2001-05-17 2003-08-29 Essilor Int Procede de preparation d'un verre apte au debordage, verre ainsi obtenu et procede de debordage d'un tel verre
US7045878B2 (en) 2001-05-18 2006-05-16 Reveo, Inc. Selectively bonded thin film layer and substrate layer for processing of useful devices
US6956268B2 (en) 2001-05-18 2005-10-18 Reveo, Inc. MEMS and method of manufacturing MEMS
JP5415658B2 (ja) 2001-05-28 2014-02-12 三菱樹脂株式会社 中間膜用粘着シート及び透明積層体
JP4036018B2 (ja) 2001-06-20 2008-01-23 昭和電工株式会社 有機発光素子および発光材料
AU2002345362A1 (en) 2001-06-20 2003-01-08 Showa Denko K.K. Light emitting material and organic light-emitting device
DE60221753T2 (de) 2001-06-29 2007-12-06 Crystal Systems Inc. Beschlagungsresistente transparente Artikel, Stoffe, die eine hydrophile anorganische Schicht hoher Härte bilden und Verfahren zur Herstellung einer beschlagungsarmen Linse
US6735982B2 (en) 2001-07-12 2004-05-18 Intel Corporation Processing relatively thin glass sheets
US6649212B2 (en) 2001-07-30 2003-11-18 Guardian Industries Corporation Modified silicon-based UV absorbers useful in crosslinkable polysiloxane coatings via sol-gel polymerization
TW558743B (en) 2001-08-22 2003-10-21 Semiconductor Energy Lab Peeling method and method of manufacturing semiconductor device
JP2003077187A (ja) 2001-08-30 2003-03-14 Toshiba Corp 光ディスクの製造方法
JP2003071937A (ja) 2001-09-05 2003-03-12 Toppan Printing Co Ltd 積層体及びその製造方法、並びに多層回路基板
US7033910B2 (en) 2001-09-12 2006-04-25 Reveo, Inc. Method of fabricating multi layer MEMS and microfluidic devices
US6528351B1 (en) 2001-09-24 2003-03-04 Jigsaw Tek, Inc. Integrated package and methods for making same
US6814833B2 (en) 2001-10-26 2004-11-09 Corning Incorporated Direct bonding of articles containing silicon
US6521857B1 (en) 2001-11-19 2003-02-18 Geomat Insights, Llc Plasma enhanced bonding method and device
DE10162435A1 (de) 2001-12-19 2003-07-17 Joerg Lahann Verfahren zur Erzeugung von Oberflächenbeschichtungen, die die Adsorption von Proteinen bzw. die Adhäsion von Bakterien und/oder Zellen vermindern
US6824872B2 (en) 2002-04-23 2004-11-30 Laurel Products Llc Surface-treating fluoropolymer powders using atmospheric plasma
US6699798B2 (en) 2002-04-24 2004-03-02 Intel Corporation Promoting adhesion of fluoropolymer films to semiconductor substrates
FR2874455B1 (fr) 2004-08-19 2008-02-08 Soitec Silicon On Insulator Traitement thermique avant collage de deux plaquettes
JP3639978B2 (ja) 2002-05-10 2005-04-20 日本航空電子工業株式会社 光スイッチ
DE60325669D1 (de) 2002-05-17 2009-02-26 Semiconductor Energy Lab Verfahren zum Transferieren eines Objekts und Verfahren zur Herstellung eines Halbleiterbauelements
KR101005989B1 (ko) 2002-06-11 2011-01-05 코니카 미놀타 홀딩스 가부시키가이샤 표면 처리 방법 및 광학 부품
US7101947B2 (en) 2002-06-14 2006-09-05 Florida State University Research Foundation, Inc. Polyelectrolyte complex films for analytical and membrane separation of chiral compounds
CN1675058B (zh) 2002-08-07 2010-12-29 株式会社丰田中央研究所 包括粘合层的层压产品和包括保护膜的层压产品
JP3941627B2 (ja) 2002-08-07 2007-07-04 株式会社豊田中央研究所 密着層を備える積層体
EP1573086A4 (en) 2002-09-18 2012-10-03 Air Prod & Chem ADDITIVES FOR PREVENTING DETERIORATION OF ALKYL-HYDROGEN SILOXANES
DE20215401U1 (de) 2002-10-07 2004-02-19 Schott Glas Verbund aus einem Dünnstsubsrat und einem Trägersubstrat mit lösbarem Verbindungsmittel
TW578439B (en) 2002-10-25 2004-03-01 Ritdisplay Corp Organic light emitting diode and material applied in the organic light emitting diode
TW200428538A (en) 2002-11-20 2004-12-16 Reveo Inc Method of fabricating vertical integrated circuits
JP2004178891A (ja) 2002-11-26 2004-06-24 Mitsubishi Electric Corp 電子放出型発光素子の製造方法
DE10353530A1 (de) 2003-11-14 2005-06-23 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Wafer mit Deckschicht und Trennschicht, Verfahren zur Herstellung eines solchen Wafers sowie Verfahren zum Dünnen bzw. Rückseitenmetallisieren eines Wafers
PT1568071T (pt) 2002-11-29 2019-06-17 Fraunhofer Ges Forschung Pastilha com camada de separação e camada de suporte e seu processo de fabrico
ATE423633T1 (de) 2002-12-17 2009-03-15 Wipf Ag Substrat mit einer polaren plasmapolymerisierten schicht
US6762074B1 (en) 2003-01-21 2004-07-13 Micron Technology, Inc. Method and apparatus for forming thin microelectronic dies
US7089635B2 (en) 2003-02-25 2006-08-15 Palo Alto Research Center, Incorporated Methods to make piezoelectric ceramic thick film arrays and elements
US6964201B2 (en) 2003-02-25 2005-11-15 Palo Alto Research Center Incorporated Large dimension, flexible piezoelectric ceramic tapes
TWI361814B (en) 2003-03-07 2012-04-11 Kuraray Co Plastic bonding method
US7220656B2 (en) 2003-04-29 2007-05-22 Micron Technology, Inc. Strained semiconductor by wafer bonding with misorientation
US6969166B2 (en) 2003-05-29 2005-11-29 3M Innovative Properties Company Method for modifying the surface of a substrate
JP2005014599A (ja) 2003-05-30 2005-01-20 Toyota Central Res & Dev Lab Inc 樹脂シート及びエレクトロルミネッセンス表示装置
FR2855909B1 (fr) 2003-06-06 2005-08-26 Soitec Silicon On Insulator Procede d'obtention concomitante d'au moins une paire de structures comprenant au moins une couche utile reportee sur un substrat
US20040258850A1 (en) 2003-06-18 2004-12-23 Ann Straccia Environmentally friendly reactive fixture to allow localized surface engineering for improved adhesion to coated and non-coated substrates
US20050001201A1 (en) 2003-07-03 2005-01-06 Bocko Peter L. Glass product for use in ultra-thin glass display applications
FR2859312B1 (fr) 2003-09-02 2006-02-17 Soitec Silicon On Insulator Scellement metallique multifonction
US7242041B2 (en) 2003-09-22 2007-07-10 Lucent Technologies Inc. Field-effect transistors with weakly coupled layered inorganic semiconductors
US20050069713A1 (en) 2003-09-30 2005-03-31 Rahul Gupta Capillary coating method
US6992371B2 (en) 2003-10-09 2006-01-31 Freescale Semiconductor, Inc. Device including an amorphous carbon layer for improved adhesion of organic layers and method of fabrication
US20050242341A1 (en) 2003-10-09 2005-11-03 Knudson Christopher T Apparatus and method for supporting a flexible substrate during processing
US20050081993A1 (en) 2003-10-16 2005-04-21 Ilkka Steven J. Method of bonding glass
GB0326537D0 (en) 2003-11-14 2003-12-17 Koninkl Philips Electronics Nv Flexible devices
US20050118742A1 (en) 2003-11-17 2005-06-02 Frank Henning Method for reducing the adhesive properties of MEMS and anti-adhesion-coated device
GB0327093D0 (en) 2003-11-21 2003-12-24 Koninkl Philips Electronics Nv Active matrix displays and other electronic devices having plastic substrates
KR101002936B1 (ko) 2003-12-17 2010-12-21 삼성전자주식회사 캐리어 기판, 이를 이용한 플라스틱 기판의 적층 방법 및유연한 디스플레이 장치의 제조 방법
US7220497B2 (en) 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
KR101073032B1 (ko) 2003-12-19 2011-10-12 삼성전자주식회사 플라스틱 기판의 적층 방법 및 이를 이용한 유연한디스플레이 장치의 제조방법
US7041608B2 (en) 2004-02-06 2006-05-09 Eastman Kodak Company Providing fluorocarbon layers on conductive electrodes in making electronic devices such as OLED devices
US7147891B2 (en) 2004-02-11 2006-12-12 Dionex Corporation Polymer substrate coated with weak cationic-exchange functional layer
KR20070116888A (ko) 2004-03-12 2007-12-11 도꾸리쯔교세이호징 가가꾸 기쥬쯔 신꼬 기꼬 아몰퍼스 산화물 및 박막 트랜지스터
US7087134B2 (en) 2004-03-31 2006-08-08 Hewlett-Packard Development Company, L.P. System and method for direct-bonding of substrates
JP2005300972A (ja) 2004-04-13 2005-10-27 Seiko Epson Corp 表示装置の製造方法及び基板貼り合わせ装置
JP2006003684A (ja) 2004-06-18 2006-01-05 Nikon Corp 基板レスフィルタの製造方法
ITMI20041252A1 (it) 2004-06-22 2004-09-22 Solvay Solexis Spa Composizioni perfluoroelastomeriche
US7261793B2 (en) 2004-08-13 2007-08-28 Hewlett-Packard Development Company, L.P. System and method for low temperature plasma-enhanced bonding
US7226869B2 (en) 2004-10-29 2007-06-05 Lam Research Corporation Methods for protecting silicon or silicon carbide electrode surfaces from morphological modification during plasma etch processing
US20060134362A1 (en) 2004-12-17 2006-06-22 3M Innovative Properties Company Optically clear pressure sensitive adhesive
EP1854136A1 (en) 2005-03-01 2007-11-14 Dow Corning Corporation Temporary wafer bonding method for semiconductor processing
WO2006112523A1 (ja) 2005-04-19 2006-10-26 Ube Industries, Ltd. ポリイミドフィルム積層体
US20060246218A1 (en) 2005-04-29 2006-11-02 Guardian Industries Corp. Hydrophilic DLC on substrate with barrier discharge pyrolysis treatment
TWI402935B (zh) 2005-05-17 2013-07-21 Koninkl Philips Electronics Nv 彩色主動矩陣顯示器
US7462552B2 (en) * 2005-05-23 2008-12-09 Ziptronix, Inc. Method of detachable direct bonding at low temperatures
US20070020451A1 (en) 2005-07-20 2007-01-25 3M Innovative Properties Company Moisture barrier coatings
US7166520B1 (en) 2005-08-08 2007-01-23 Silicon Genesis Corporation Thin handle substrate method and structure for fabricating devices using one or more films provided by a layer transfer process
EP1914066B1 (en) 2005-08-09 2016-09-07 Asahi Glass Company, Limited Thin sheet glass laminate and method for manufacturing display using thin sheet glass laminate
US20070048530A1 (en) 2005-08-29 2007-03-01 Wen-Kuang Tsao Anti-static substrate
TWI288493B (en) 2005-09-13 2007-10-11 Ind Tech Res Inst Method for fabricating a device with flexible substrate and method for stripping flexible-substrate
US7462551B2 (en) 2005-09-30 2008-12-09 Intel Corporation Adhesive system for supporting thin silicon wafer
US8039049B2 (en) 2005-09-30 2011-10-18 Tokyo Electron Limited Treatment of low dielectric constant films using a batch processing system
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
JP2007138144A (ja) 2005-10-18 2007-06-07 Hitachi Chem Co Ltd シリカ系被膜形成用組成物
KR20070047114A (ko) 2005-11-01 2007-05-04 주식회사 엘지화학 플렉서블 기판을 구비한 소자의 제조방법 및 이에 의해제조된 플렉서블 기판을 구비한 소자
CN101305315B (zh) 2005-11-11 2010-05-19 株式会社半导体能源研究所 形成具有功能性的层的方法及半导体器件的制造方法
FR2893750B1 (fr) 2005-11-22 2008-03-14 Commissariat Energie Atomique Procede de fabrication d'un dispositif electronique flexible du type ecran comportant une pluralite de composants en couches minces.
US20070134784A1 (en) 2005-12-09 2007-06-14 Halverson Kurt J Microreplicated microarrays
EP1818860B1 (en) 2006-02-08 2011-03-30 Semiconductor Energy Laboratory Co., Ltd. RFID device
KR100831562B1 (ko) 2006-03-23 2008-05-21 주식회사 엘지화학 유연성 기판 반송용 점착제 조성물
US7909928B2 (en) 2006-03-24 2011-03-22 The Regents Of The University Of Michigan Reactive coatings for regioselective surface modification
US7737035B1 (en) 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US20070248809A1 (en) 2006-04-19 2007-10-25 Steven Vincent Haldeman Interlayers Comprising Stable Infrared Absorbing Agents
WO2007121524A1 (en) 2006-04-20 2007-11-01 Epitactix Pty Ltd. Method of manufacture and resulting structures for semiconductor devices
EP2025650A1 (en) 2006-05-08 2009-02-18 Asahi Glass Company, Limited Thin-sheet glass laminate, process for manufacturing display apparatus using the laminate, and supporting glass substrate
KR101358255B1 (ko) 2006-06-27 2014-02-05 엘지디스플레이 주식회사 광경화 타입 소수성 몰드 및 그 제조방법
JPWO2008007622A1 (ja) 2006-07-12 2009-12-10 旭硝子株式会社 保護ガラス付ガラス基板、保護ガラス付ガラス基板を用いた表示装置の製造方法及び剥離紙用シリコーン
US8084103B2 (en) 2006-08-15 2011-12-27 Sakhrani Vinay G Method for treating a hydrophilic surface
US20080044588A1 (en) 2006-08-15 2008-02-21 Sakhrani Vinay G Method for Treating a Hydrophilic Surface
JP2008072087A (ja) 2006-08-16 2008-03-27 Kyoto Univ 半導体装置および半導体装置の製造方法、ならびに表示装置
WO2008044884A1 (en) 2006-10-13 2008-04-17 Sunwoo Amc Co., Ltd. Laminating film of plastic/teflon-silicon and method for preparing the same
GB0620955D0 (en) 2006-10-20 2006-11-29 Speakman Stuart P Methods and apparatus for the manufacture of microstructures
US7903083B2 (en) 2006-11-13 2011-03-08 Motorola Mobility, Inc. Mixed-mode encapsulated electrophoretic display for electronic device
JP5008381B2 (ja) 2006-11-15 2012-08-22 富士フイルム株式会社 可撓性基板を用いた有機エレクトロルミネッセンス発光パネルの製造方法、それにより製造された有機エレクトロルミネッセンス発光パネル、及びその製造に使用する支持基板
US8069229B2 (en) 2006-12-28 2011-11-29 Computer Associates Think, Inc. Topology static zones
KR100890250B1 (ko) 2007-01-08 2009-03-24 포항공과대학교 산학협력단 플렉서블 소자의 제조 방법 및 플렉서블 표시 장치의 제조방법
US8110906B2 (en) 2007-01-23 2012-02-07 Infineon Technologies Ag Semiconductor device including isolation layer
JP5532918B2 (ja) 2007-03-12 2014-06-25 旭硝子株式会社 保護ガラス付ガラス基板を用いた表示装置の製造方法
JP5277552B2 (ja) 2007-03-19 2013-08-28 富士通セミコンダクター株式会社 半導体装置の製造方法
US8399047B2 (en) 2007-03-22 2013-03-19 The Regents Of The Univeristy Of Michigan Multifunctional CVD coatings
KR101502187B1 (ko) 2007-04-26 2015-03-16 바스프 에스이 페노티아진-s-옥시드 또는 페노티아진-s,s-디옥시드 기를 함유하는 실란 및 이의 oled에서의 용도
US7635617B2 (en) 2007-04-27 2009-12-22 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor substrate and manufacturing method of semiconductor device
US7960916B2 (en) 2007-05-16 2011-06-14 Advanced Lcd Technologies Development Center Co., Ltd. Display device and electronic device using thin-film transistors formed on semiconductor thin films which are crystallized on insulating substrates
EP3225599A1 (en) * 2007-06-20 2017-10-04 Asahi Glass Company, Limited Method for treatment of surface of oxide glass with fluorinating agent
WO2009003029A2 (en) 2007-06-25 2008-12-31 Brewer Science Inc. High-temperature spin-on temporary bonding compositions
KR20080113576A (ko) 2007-06-25 2008-12-31 엘지디스플레이 주식회사 액정표시장치 및 그 제조방법
TW200907003A (en) 2007-07-03 2009-02-16 Hitachi Chemical Co Ltd Adhesive composition and method of manufacturing thereof, adhesive member using the adhesive composition and method of manufacturing thereof, supporting member for mounting semiconductor and method of manufacturing thereof, and semiconductor apparatus an
JP4337935B2 (ja) 2007-07-11 2009-09-30 セイコーエプソン株式会社 接合体および接合方法
JP2009028923A (ja) 2007-07-24 2009-02-12 Seiko Epson Corp 接合方法、接合体および配線基板
JP2009028922A (ja) 2007-07-24 2009-02-12 Seiko Epson Corp 接合方法、接合体、液滴吐出ヘッドおよび液滴吐出装置
JP2009074002A (ja) 2007-09-21 2009-04-09 Seiko Epson Corp 接着シート、接合方法および接合体
US20090091025A1 (en) 2007-10-04 2009-04-09 Agency For Science, Technology And Research Method for forming and releasing interconnects
US9056951B2 (en) 2007-10-05 2015-06-16 The Regents Of The University Of Michigan Ultrastrong and stiff layered polymer nanocomposites and hierarchical laminate materials thereof
JP4710897B2 (ja) 2007-11-28 2011-06-29 セイコーエプソン株式会社 接合体の剥離方法
KR101445734B1 (ko) 2007-12-07 2014-10-01 삼성전자 주식회사 액정표시장치
KR101096142B1 (ko) 2008-01-24 2011-12-19 브레우어 사이언스 인코포레이션 캐리어 기판에 디바이스 웨이퍼를 가역적으로 장착하는 방법
WO2009099965A2 (en) 2008-02-05 2009-08-13 Saint-Gobain Performance Plastics Corporation Multi-layer article
JP5024087B2 (ja) 2008-02-05 2012-09-12 旭硝子株式会社 ガラス積層体、支持体付き表示装置用パネル、およびそれらの製造方法
GB0802912D0 (en) 2008-02-15 2008-03-26 Carben Semicon Ltd Thin-film transistor, carbon-based layer and method of production thereof
KR20090095026A (ko) 2008-03-04 2009-09-09 삼성전자주식회사 표시 장치 제조 방법
US8685201B2 (en) 2008-04-08 2014-04-01 Arizona Board Of Regents, A Body Corporate Of The State Of Arizona, Acting For And On Behalf Of Arizona State University Assemblies and methods for reducing warp and bow of a flexible substrate during semiconductor processing
KR20110007134A (ko) 2008-04-17 2011-01-21 아사히 가라스 가부시키가이샤 유리 적층체, 지지체를 부착한 표시 장치용 패널 및 이들의 제조 방법
KR101500684B1 (ko) 2008-04-17 2015-03-10 삼성디스플레이 주식회사 캐리어 기판 및 이를 이용한 가요성 표시 장치의 제조 방법
US7842548B2 (en) 2008-04-22 2010-11-30 Taiwan Semconductor Manufacturing Co., Ltd. Fixture for P-through silicon via assembly
KR101458901B1 (ko) 2008-04-29 2014-11-10 삼성디스플레이 주식회사 가요성 표시 장치의 제조 방법
US7960840B2 (en) 2008-05-12 2011-06-14 Texas Instruments Incorporated Double wafer carrier process for creating integrated circuit die with through-silicon vias and micro-electro-mechanical systems protected by a hermetic cavity created at the wafer level
JP2009283155A (ja) 2008-05-19 2009-12-03 Seiko Epson Corp 表示装置の製造方法、表示装置および電子機器
JP5029523B2 (ja) 2008-07-14 2012-09-19 旭硝子株式会社 ガラス積層体、支持体付き表示装置用パネル、表示装置用パネル、表示装置およびこれらの製造方法
US7690344B2 (en) 2008-07-24 2010-04-06 Gm Global Technology Operations, Inc. Method and apparatus for supporting stop-and-go engine functionality
GB2462615A (en) 2008-08-12 2010-02-17 Nec Corp Optional Access Stratum security activation depending on purpose of request or message parameter in an evolved UTRAN communication network.
DE102008045370B4 (de) 2008-09-02 2010-07-08 Grenzebach Maschinenbau Gmbh Verfahren und Vorrichtung zum Transport großflächiger, dünner Glasplatten
KR20110055728A (ko) 2008-09-12 2011-05-25 아리조나 보드 오브 리전트스, 아리조나주의 아리조나 주립대 대행법인 가요성 기판을 경질 캐리어에 부착하기 위한 방법 및 결과 장치
TWI354854B (en) 2008-09-15 2011-12-21 Ind Tech Res Inst Substrate structures applied in flexible electrica
JP2010107597A (ja) 2008-10-28 2010-05-13 Seiko Epson Corp 光学素子および光学素子の製造方法
KR101555551B1 (ko) 2008-11-07 2015-09-24 엘지디스플레이 주식회사 플렉시블 표시장치 제조방법
US8840999B2 (en) 2008-11-19 2014-09-23 Dow Corning Toray Company, Ltd. Silicone composition and a method for preparing the same
US8211270B2 (en) 2008-11-21 2012-07-03 Nitto Denko Corporation Method of detaching attached boards from each other
EP2374149B1 (en) 2008-12-05 2018-06-13 Hydis Technologies Co., Ltd Method of forming electronic devices having plastic substrates
DE102009022628A1 (de) 2008-12-05 2010-06-10 Evonik Goldschmidt Gmbh Verfahren zur Modifizierung von Oberflächen
US9063605B2 (en) 2009-01-09 2015-06-23 Apple Inc. Thin glass processing using a carrier
TW201033000A (en) 2009-01-09 2010-09-16 Asahi Glass Co Ltd Glass laminate and manufacturing method therefor
JP5207306B2 (ja) 2009-01-26 2013-06-12 武井電機工業株式会社 薄膜積層ガラス基板の薄膜除去方法及び装置
US20110318589A1 (en) 2009-02-27 2011-12-29 Massimo Pignatelli Plasma Treated EVOH Multilayer Film
TWI410329B (zh) 2009-03-09 2013-10-01 Ind Tech Res Inst 可撓式裝置的取下設備及其取下方法
JP5402184B2 (ja) 2009-04-13 2014-01-29 日本電気硝子株式会社 ガラスフィルムおよびその製造方法
CN101873532B (zh) 2009-04-22 2013-01-02 电信科学技术研究院 广播多播系统中发送和获取控制信息的方法、基站和ue
WO2010129459A2 (en) 2009-05-06 2010-11-11 Corning Incorporated Carrier for glass substrates
WO2010128611A1 (ja) 2009-05-08 2010-11-11 日立化成工業株式会社 半導体封止用フィルム状接着剤、半導体装置及びその製造方法
GB0908193D0 (en) 2009-05-13 2009-06-24 Albright Patents Treatment of disease state
WO2010141257A2 (en) 2009-06-03 2010-12-09 Applied Materials, Inc. Method and apparatus for etching
CN101924067B (zh) 2009-06-09 2013-05-08 财团法人工业技术研究院 挠性膜自载板上脱离的方法及可挠式电子装置的制造方法
JP2010284869A (ja) 2009-06-11 2010-12-24 Shin-Etsu Chemical Co Ltd 接合部材
JP5594522B2 (ja) 2009-07-03 2014-09-24 日本電気硝子株式会社 電子デバイス製造用ガラスフィルム積層体
US8568184B2 (en) 2009-07-15 2013-10-29 Apple Inc. Display modules
US7987566B2 (en) 2009-07-15 2011-08-02 Sturzebecher Richard J Capacitor forming method
CN101989469A (zh) 2009-07-31 2011-03-23 群康科技(深圳)有限公司 导电板
US8048794B2 (en) 2009-08-18 2011-11-01 International Business Machines Corporation 3D silicon-silicon die stack structure and method for fine pitch interconnection and vertical heat transport
DE102009028640A1 (de) 2009-08-19 2011-02-24 Evonik Goldschmidt Gmbh Härtbare Masse enthaltend Urethangruppen aufweisende silylierte Polymere und deren Verwendung in Dicht- und Klebstoffen, Binde- und/oder Oberflächenmodifizierungsmitteln
JP2011048979A (ja) 2009-08-26 2011-03-10 Canon Inc 画像表示装置
CN102596565B (zh) 2009-08-27 2014-09-10 旭硝子株式会社 挠性基材-支撑体的层叠结构体、带有支撑体的电子装置用面板、以及电子装置用面板的制造方法
US9847243B2 (en) 2009-08-27 2017-12-19 Corning Incorporated Debonding a glass substrate from carrier using ultrasonic wave
JP5562597B2 (ja) * 2009-08-28 2014-07-30 荒川化学工業株式会社 支持体、ガラス基板積層体、支持体付き表示装置用パネル、および表示装置用パネルの製造方法
WO2011030716A1 (ja) 2009-09-08 2011-03-17 旭硝子株式会社 ガラス/樹脂積層体、及びそれを用いた電子デバイス
JP2011058579A (ja) 2009-09-10 2011-03-24 Tokyo Institute Of Technology エネルギ吸収構造体
WO2011029752A1 (en) 2009-09-11 2011-03-17 Loctite (R & D) Limited Compositions for polymer bonding
CN102471129B (zh) 2009-09-18 2015-04-15 日本电气硝子株式会社 玻璃膜的制造方法及玻璃膜的处理方法以及玻璃膜层叠体
CN102574371B (zh) 2009-10-20 2015-10-07 旭硝子株式会社 玻璃层叠体、带支承体的显示装置用面板、显示装置用面板、显示装置及它们的制造方法
CN102576106B (zh) 2009-10-20 2015-02-11 旭硝子株式会社 玻璃层叠体及其制造方法、显示面板的制造方法及利用该制造方法获得的显示面板
KR101617280B1 (ko) 2009-10-21 2016-05-03 엘지디스플레이 주식회사 플라스틱 기판을 이용한 표시장치 제조 방법
KR20110043376A (ko) 2009-10-21 2011-04-27 엘지디스플레이 주식회사 전기영동 표시장치 및 그 제조 방법
KR20110045136A (ko) 2009-10-26 2011-05-04 주식회사 삼영테크놀로지 윈도우와 디스플레이 패널을 분리하기 위한 코팅방법
US9019211B2 (en) 2009-10-30 2015-04-28 Corning Incorporated Methods and apparatus for providing touch sensitive displays
KR101635914B1 (ko) 2009-12-16 2016-07-05 엘지디스플레이 주식회사 플렉서블 표시장치의 제조 방법
DE102010063301A1 (de) 2009-12-17 2011-06-22 CeramTec GmbH, 73207 Oberflächenkonditionierung zur Verbesserung der Knochenzementadhäsion an keramischen Substraten
US9156230B2 (en) * 2010-01-12 2015-10-13 Nippon Electric Glass Co., Ltd. Glass film laminate without adhesive
JP2011159697A (ja) 2010-01-29 2011-08-18 Dainippon Printing Co Ltd 薄膜トランジスタ搭載基板、その製造方法及び画像表示装置
US8995146B2 (en) 2010-02-23 2015-03-31 Semblant Limited Electrical assembly and method
GB201003067D0 (en) 2010-02-23 2010-04-07 Semblant Ltd Plasma-polymerized polymer coating
JP2011201976A (ja) 2010-03-24 2011-10-13 Seiko Epson Corp 接合方法
JP2011201977A (ja) 2010-03-24 2011-10-13 Seiko Epson Corp 接合方法
US8349727B2 (en) 2010-04-08 2013-01-08 Liang Guo Integrated method for high-density interconnection of electronic components through stretchable interconnects
US20110256385A1 (en) 2010-04-15 2011-10-20 Seiko Epson Corporation Bonding film-attached substrate and bonding film-attached substrate manufacturing method
JP5625470B2 (ja) 2010-05-10 2014-11-19 セイコーエプソン株式会社 接合方法
JP5835214B2 (ja) 2010-05-11 2015-12-24 旭硝子株式会社 積層体の製造方法、および積層体
JP5516046B2 (ja) 2010-05-11 2014-06-11 セイコーエプソン株式会社 接合膜転写シートおよび接合方法
JP2011235556A (ja) 2010-05-11 2011-11-24 Seiko Epson Corp 励起エネルギー特定方法、励起エネルギー特定装置、接合方法および接合装置
JP2011248011A (ja) 2010-05-25 2011-12-08 Bridgestone Corp 表示媒体用粒子およびこれを用いた情報表示用パネル
GB2481187B (en) 2010-06-04 2014-10-29 Plastic Logic Ltd Processing substrates
KR101721414B1 (ko) 2010-06-09 2017-03-31 삼성디스플레이 주식회사 백라이트 어셈블리 및 이를 포함하는 액정표시장치
US8852391B2 (en) 2010-06-21 2014-10-07 Brewer Science Inc. Method and apparatus for removing a reversibly mounted device wafer from a carrier substrate
TWI432835B (zh) 2010-06-24 2014-04-01 Au Optronics Corp 可撓性顯示面板及其製造方法
DE102010025967B4 (de) 2010-07-02 2015-12-10 Schott Ag Verfahren zur Erzeugung einer Vielzahl von Löchern, Vorrichtung hierzu und Glas-Interposer
CN101916022B (zh) 2010-07-06 2012-10-10 友达光电股份有限公司 可挠性显示面板及其制造方法
EP2511092B1 (en) 2010-07-28 2015-01-28 Nippon Electric Glass Co., Ltd. Glass film laminate
JP5602529B2 (ja) 2010-07-29 2014-10-08 日本合成化学工業株式会社 積層体の製法、ガラス基板付き偏光板の製法、およびそれにより得られたガラス基板付き偏光板
US9263314B2 (en) 2010-08-06 2016-02-16 Brewer Science Inc. Multiple bonding layers for thin-wafer handling
US20120035309A1 (en) 2010-08-06 2012-02-09 Baker Hughes Incorporated Method to disperse nanoparticles into elastomer and articles produced therefrom
JP5462107B2 (ja) 2010-08-09 2014-04-02 株式会社日本製鋼所 中空成形品の製造方法および製造装置
US8846499B2 (en) 2010-08-17 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Composite carrier structure
TWI446420B (zh) 2010-08-27 2014-07-21 Advanced Semiconductor Eng 用於半導體製程之載體分離方法
US20120063952A1 (en) 2010-09-10 2012-03-15 Hong Keith C Uv resistant clear laminates
KR101164945B1 (ko) 2010-09-13 2012-07-12 한국과학기술원 플렉시블 소자의 제작 방법
KR101779586B1 (ko) 2010-09-27 2017-10-10 엘지디스플레이 주식회사 플라스틱 기판을 이용한 표시장치 제조 방법
WO2012043971A2 (ko) 2010-09-29 2012-04-05 포항공과대학교 산학협력단 롤 형상의 모기판을 이용한 플렉서블 전자소자의 제조방법, 플렉서블 전자소자 및 플렉서블 기판
US8822306B2 (en) 2010-09-30 2014-09-02 Infineon Technologies Ag Method for manufacturing a composite wafer having a graphite core, and composite wafer having a graphite core
KR101267529B1 (ko) 2010-10-30 2013-05-24 엘지디스플레이 주식회사 플렉서블한 유기전계 발광소자 제조 방법
US8859103B2 (en) 2010-11-05 2014-10-14 Joseph Eugene Canale Glass wafers for semiconductor fabrication processes and methods of making same
CN102034746B (zh) 2010-11-08 2013-06-05 昆山工研院新型平板显示技术中心有限公司 一种有源矩阵有机发光显示器阵列基板的制造方法
KR101295532B1 (ko) 2010-11-11 2013-08-12 엘지디스플레이 주식회사 플렉시블 평판소자의 제조방법
KR101271838B1 (ko) 2010-11-24 2013-06-07 주식회사 포스코 보강기판을 이용한 플렉서블 전자소자의 제조방법, 플렉서블 전자소자 및 플렉서블 기판
KR101842134B1 (ko) 2010-11-26 2018-03-26 엘지디스플레이 주식회사 전기영동 표시장치 및 그 제조 방법
EP2458620B1 (en) 2010-11-29 2021-12-01 IHP GmbH-Innovations for High Performance Microelectronics / Leibniz-Institut für innovative Mikroelektronik Fabrication of graphene electronic devices using step surface contour
TW201238014A (en) 2010-11-30 2012-09-16 Corning Inc Methods of forming a glass wiring board substrate
JP2012119611A (ja) 2010-12-03 2012-06-21 Asahi Glass Co Ltd 貫通電極基板の製造方法
KR101049380B1 (ko) 2010-12-21 2011-07-15 한국기계연구원 전해도금을 이용한 반도체 소자 3차원 패키지용 관통 전극 및 그 제조 방법
CN102070120B (zh) 2010-12-31 2012-09-05 东南大学 用于微电子系统级封装的高密度转接板的制备方法
WO2012104989A1 (ja) * 2011-02-01 2012-08-09 株式会社ミクロ技術研究所 薄板ガラス基板貼合体およびその製造方法
JP2012166999A (ja) 2011-02-16 2012-09-06 Asahi Glass Co Ltd インターポーザ用ガラス基板の製造方法、インターポーザの製造方法、インターポーザ用ガラス基板、およびインターポーザ
KR101918284B1 (ko) 2011-03-03 2019-01-30 엘지디스플레이 주식회사 플렉시블 표시장치의 제조 방법
JP5355618B2 (ja) 2011-03-10 2013-11-27 三星ディスプレイ株式會社 可撓性表示装置及びこの製造方法
JP2012209545A (ja) 2011-03-17 2012-10-25 Sekisui Chem Co Ltd 半導体積層体の製造方法
TWI445626B (zh) 2011-03-18 2014-07-21 Eternal Chemical Co Ltd 製造軟性元件的方法
JP2012227310A (ja) 2011-04-19 2012-11-15 Panasonic Corp セラミックス多層基板とその製造方法
US10155361B2 (en) 2011-11-09 2018-12-18 Corning Incorporated Method of binding nanoparticles to glass
JPWO2012144499A1 (ja) 2011-04-22 2014-07-28 旭硝子株式会社 積層体、その製造方法及び用途
JP5862238B2 (ja) 2011-05-27 2016-02-16 東洋紡株式会社 積層体とその製造方法及びそれを用いたデバイス構造体の製造方法
JP5760696B2 (ja) 2011-05-27 2015-08-12 株式会社デンソー 画像認識装置
JP5862866B2 (ja) 2011-05-30 2016-02-16 東洋紡株式会社 積層体の作成方法および、この積層体を利用したフィルムデバイスの作成方法
JP5883236B2 (ja) 2011-06-10 2016-03-09 日東電工株式会社 薄層基材用キャリア材
KR20130000211A (ko) 2011-06-22 2013-01-02 삼성전자주식회사 기판 가공 방법
KR20130003997A (ko) 2011-07-01 2013-01-09 엘지디스플레이 주식회사 캐리어 기판과 박형 글라스의 탈부착 방법
US9827757B2 (en) 2011-07-07 2017-11-28 Brewer Science Inc. Methods of transferring device wafers or layers between carrier substrates and other surfaces
US8617925B2 (en) 2011-08-09 2013-12-31 Soitec Methods of forming bonded semiconductor structures in 3D integration processes using recoverable substrates, and bonded semiconductor structures formed by such methods
US8383460B1 (en) 2011-09-23 2013-02-26 GlobalFoundries, Inc. Method for fabricating through substrate vias in semiconductor substrate
KR20140069277A (ko) 2011-09-27 2014-06-09 어플라이드 머티어리얼스, 인코포레이티드 얇은 유리 기판들을 위한 캐리어 및 그 이용
JP5796449B2 (ja) 2011-10-12 2015-10-21 旭硝子株式会社 電子デバイスの製造方法、樹脂層付きキャリア基板の製造方法
JP5790392B2 (ja) 2011-10-12 2015-10-07 旭硝子株式会社 電子デバイスの製造方法
KR101973826B1 (ko) 2011-10-18 2019-08-26 에이지씨 가부시키가이샤 적층체, 적층체의 제조 방법 및 전자 디바이스용 부재가 부착된 유리 기판의 제조 방법
KR101820171B1 (ko) 2011-10-24 2018-01-19 엘지디스플레이 주식회사 경량 박형의 액정표시장치 제조방법
US8696864B2 (en) 2012-01-26 2014-04-15 Promerus, Llc Room temperature debonding composition, method and stack
US8550061B2 (en) 2012-01-26 2013-10-08 Maruzen Company Limited Toy gun
US10543662B2 (en) * 2012-02-08 2020-01-28 Corning Incorporated Device modified substrate article and methods for making
JP2015515431A (ja) * 2012-02-08 2015-05-28 コーニング インコーポレイテッド 担体付のフレキシブルガラスの処理
US8975157B2 (en) 2012-02-08 2015-03-10 Advanced Semiconductor Engineering, Inc. Carrier bonding and detaching processes for a semiconductor wafer
US9725357B2 (en) * 2012-10-12 2017-08-08 Corning Incorporated Glass articles having films with moderate adhesion and retained strength
US8696212B2 (en) 2012-03-01 2014-04-15 Amsted Rail Company, Inc. Roller bearing backing ring assembly
JP2013184346A (ja) 2012-03-07 2013-09-19 Asahi Glass Co Ltd ガラス積層体、電子デバイスの製造方法
JP2013184872A (ja) 2012-03-09 2013-09-19 Nippon Electric Glass Co Ltd ガラス基板の端部処理方法、ガラス基板の端部処理装置及びガラス基板
KR101390212B1 (ko) 2012-03-14 2014-05-14 한양대학교 에리카산학협력단 전기분무건조를 이용한 글리아딘 나노입자의 제조방법
JP2013207084A (ja) 2012-03-28 2013-10-07 Sumitomo Electric Ind Ltd 放熱板付基板モジュールおよび放熱板付基板モジュールの製造方法
JP2013216513A (ja) 2012-04-05 2013-10-24 Nippon Electric Glass Co Ltd ガラスフィルムの切断方法及びガラスフィルム積層体
EP2650938A1 (en) 2012-04-13 2013-10-16 Acreo Swedish ICT AB Organic Field-Effect Transistor Device
JP2013224475A (ja) 2012-04-23 2013-10-31 Shimazu Kogyo Kk 溶射用粒子、溶射皮膜の形成方法及び溶射部材
DE102012207149A1 (de) 2012-04-27 2013-10-31 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verbund mit temporärer Schutzfolie
WO2013179881A1 (ja) 2012-05-29 2013-12-05 旭硝子株式会社 ガラス積層体および電子デバイスの製造方法
KR20130095605A (ko) 2012-06-22 2013-08-28 코스텍시스템(주) 디바이스 웨이퍼와 캐리어 웨이퍼의 본딩과 디본딩 장치
CN102815052B (zh) 2012-06-29 2016-08-24 法国圣戈班玻璃公司 超疏水减反基板及其制作方法
JP2014019597A (ja) 2012-07-17 2014-02-03 Nippon Electric Glass Co Ltd ガラスフィルムの製造方法及びガラスフィルム積層体
US9139469B2 (en) 2012-07-17 2015-09-22 Corning Incorporated Ion exchangeable Li-containing glass compositions for 3-D forming
CN102789125B (zh) 2012-07-27 2013-11-13 京东方科技集团股份有限公司 隔垫物制作方法
EP2885253B1 (en) 2012-08-17 2021-06-02 Corning Incorporated Ultra-thin strengthened glasses
CN102820262A (zh) 2012-09-05 2012-12-12 江苏物联网研究发展中心 一种玻璃通孔的制作及互连的方法
WO2014050798A1 (ja) * 2012-09-28 2014-04-03 Hoya株式会社 電子機器用カバーガラス及びその製造方法
KR101949561B1 (ko) 2012-10-12 2019-02-18 코닝 인코포레이티드 잔류 강도를 갖는 제품
US20140150244A1 (en) 2012-11-30 2014-06-05 General Electric Company Adhesive-free carrier assemblies for glass substrates
CN103035490A (zh) 2012-12-11 2013-04-10 京东方科技集团股份有限公司 柔性显示器件的制备方法
US10014177B2 (en) * 2012-12-13 2018-07-03 Corning Incorporated Methods for processing electronic devices
TWI617437B (zh) 2012-12-13 2018-03-11 康寧公司 促進控制薄片與載體間接合之處理
US10086584B2 (en) * 2012-12-13 2018-10-02 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
KR20150095822A (ko) 2012-12-13 2015-08-21 코닝 인코포레이티드 유리 및 유리 물품의 제조 방법
US9340443B2 (en) 2012-12-13 2016-05-17 Corning Incorporated Bulk annealing of glass sheets
KR102046534B1 (ko) 2013-01-25 2019-11-19 삼성전자주식회사 기판 가공 방법
US10000675B2 (en) 2013-03-03 2018-06-19 John Cleaon Moore Temporary adhesive with tunable adhesion force sufficient for processing thin solid materials
US9790407B2 (en) 2013-03-09 2017-10-17 Moore John Aqueous detergent soluble coating and adhesive and methods of temporary bonding for manufacturing
WO2014142280A1 (ja) 2013-03-15 2014-09-18 日産自動車株式会社 非水電解質二次電池
KR102239613B1 (ko) 2013-03-15 2021-04-13 코닝 인코포레이티드 유리 시트의 벌크 어닐링
KR101432575B1 (ko) 2013-03-29 2014-08-21 엘지디스플레이 주식회사 경량 박형의 액정표시장치 제조방법
TWI617521B (zh) 2013-04-02 2018-03-11 Asahi Glass Co Ltd 附有被覆膜之玻璃基板及其製造方法
KR102070617B1 (ko) 2013-08-21 2020-01-30 엘지이노텍 주식회사 멤스 진폭 변조기 및 이를 포함하는 멤스 자계 센서
KR101580015B1 (ko) 2013-08-30 2015-12-24 주식회사 엔씰텍 임시 점착/탈착층을 사용하는 플렉시블 정보 표시 소자 제조용 지지 기판, 이의 제조 방법, 그를 이용한 플렉시블 정보 표시 소자 및 그의 제조 방법
KR20150034829A (ko) 2013-08-30 2015-04-06 주식회사 엔씰텍 플렉시블 정보 표시 소자 제조용 지지 기판, 이의 제조 방법, 이를 이용하여 제조된 플렉시블 정보 표시 소자 및 이의 제조방법
US20150099110A1 (en) 2013-10-07 2015-04-09 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
US10510576B2 (en) 2013-10-14 2019-12-17 Corning Incorporated Carrier-bonding methods and articles for semiconductor and interposer processing
JP6176067B2 (ja) 2013-11-11 2017-08-09 旭硝子株式会社 ガラス積層体および電子デバイスの製造方法
JP6119567B2 (ja) 2013-11-11 2017-04-26 旭硝子株式会社 ガラス積層体の製造方法および電子デバイスの製造方法
JP6136909B2 (ja) 2013-12-17 2017-05-31 旭硝子株式会社 樹脂層付き支持基板の製造方法、ガラス積層体の製造方法、電子デバイスの製造方法
TW201529511A (zh) 2014-01-27 2015-08-01 Corning Inc 用於受控接合薄板與載具的表面改質層的處理
CN106132688B (zh) 2014-01-27 2020-07-14 康宁股份有限公司 用于薄片与载体的受控粘结的制品和方法
CN106104778A (zh) 2014-01-27 2016-11-09 康宁股份有限公司 用于聚合物表面与载体的受控粘结的制品和方法
KR101522941B1 (ko) 2014-02-05 2015-05-26 도레이첨단소재 주식회사 실리콘 이형필름 및 그 제조방법
KR20160119080A (ko) 2014-02-07 2016-10-12 아사히 가라스 가부시키가이샤 유리 적층체
US9406746B2 (en) 2014-02-19 2016-08-02 International Business Machines Corporation Work function metal fill for replacement gate fin field effect transistor process
JP2017087417A (ja) 2014-03-26 2017-05-25 旭硝子株式会社 ガラス積層体
SG11201608442TA (en) 2014-04-09 2016-11-29 Corning Inc Device modified substrate article and methods for making
TWI649192B (zh) 2014-04-10 2019-02-01 日商Agc股份有限公司 Glass laminate, method of manufacturing same, and method of manufacturing electronic component
JP6322469B2 (ja) 2014-04-25 2018-05-09 ニッタ株式会社 基板加工方法
CN106232351A (zh) 2014-04-25 2016-12-14 旭硝子株式会社 玻璃层叠体及电子器件的制造方法
KR20170039135A (ko) 2014-08-01 2017-04-10 아사히 가라스 가부시키가이샤 무기막을 구비한 지지 기판 및 유리 적층체, 그리고, 그것들의 제조 방법 및 전자 디바이스의 제조 방법
US9790593B2 (en) * 2014-08-01 2017-10-17 Corning Incorporated Scratch-resistant materials and articles including the same
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
EP3297824A1 (en) * 2015-05-19 2018-03-28 Corning Incorporated Articles and methods for bonding sheets with carriers
WO2016209897A1 (en) 2015-06-26 2016-12-29 Corning Incorporated Methods and articles including a sheet and a carrier
JP6123919B2 (ja) 2016-01-07 2017-05-10 住友ベークライト株式会社 化粧板
JP2019524510A (ja) 2016-08-22 2019-09-05 コーニング インコーポレイテッド 制御可能に結合されたシートの物品およびその製造方法
US10079154B1 (en) 2017-03-20 2018-09-18 Lam Research Corporation Atomic layer etching of silicon nitride

Also Published As

Publication number Publication date
TW201601211A (zh) 2016-01-01
WO2015157202A1 (en) 2015-10-15
US20200139677A1 (en) 2020-05-07
JP2017518954A (ja) 2017-07-13
CN106457758B (zh) 2018-11-16
CN106457758A (zh) 2017-02-22
US11192340B2 (en) 2021-12-07
SG11201608442TA (en) 2016-11-29
EP3129221A1 (en) 2017-02-15

Similar Documents

Publication Publication Date Title
US11192340B2 (en) Device modified substrate article and methods for making
KR102355656B1 (ko) 캐리어 결합 방법, 및 반도체 및 인터포저 가공을 위한 물품
US10543662B2 (en) Device modified substrate article and methods for making
TWI809092B (zh) 用於簡化的輔具晶圓的dbi至矽接合
TWI671200B (zh) 用於薄片與載體之受控制接合的物件及方法
US9224631B2 (en) Multiple bonding layers for thin-wafer handling
CN106068551B (zh) 用于暂时性晶片粘结方法的环状烯烃聚合物组合物和聚硅氧烷剥离层
TWI462834B (zh) 利用熱機械效應製作經調節之多層構造之方法
Hermanowski Thin wafer handling—Study of temporary wafer bonding materials and processes
US11315789B2 (en) Method and structure for low density silicon oxide for fusion bonding and debonding
Hermanowski et al. The role of wafer Bonding in 3D Integration and Packaging