TWI671200B - 用於薄片與載體之受控制接合的物件及方法 - Google Patents

用於薄片與載體之受控制接合的物件及方法 Download PDF

Info

Publication number
TWI671200B
TWI671200B TW104102733A TW104102733A TWI671200B TW I671200 B TWI671200 B TW I671200B TW 104102733 A TW104102733 A TW 104102733A TW 104102733 A TW104102733 A TW 104102733A TW I671200 B TWI671200 B TW I671200B
Authority
TW
Taiwan
Prior art keywords
carrier
sheet
bonding
glass
modification layer
Prior art date
Application number
TW104102733A
Other languages
English (en)
Other versions
TW201545886A (zh
Inventor
艾迪巴凱文
貝爾曼羅伯特艾倫
布克拜得德納克雷格
張德雷莎
劉士文
曼利羅伯喬治
瑪贊德普蘭汀
Original Assignee
美商康寧公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商康寧公司 filed Critical 美商康寧公司
Publication of TW201545886A publication Critical patent/TW201545886A/zh
Application granted granted Critical
Publication of TWI671200B publication Critical patent/TWI671200B/zh

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B7/00Layered products characterised by the relation between layers; Layered products characterised by the relative orientation of features between layers, or by the relative values of a measurable parameter between layers, i.e. products comprising layers having different physical, chemical or physicochemical properties; Layered products characterised by the interconnection of layers
    • B32B7/04Interconnection of layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B17/00Layered products essentially comprising sheet glass, or glass, slag, or like fibres
    • B32B17/06Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B37/00Methods or apparatus for laminating, e.g. by curing or by ultrasonic bonding
    • B32B37/14Methods or apparatus for laminating, e.g. by curing or by ultrasonic bonding characterised by the properties of the layers
    • B32B37/26Methods or apparatus for laminating, e.g. by curing or by ultrasonic bonding characterised by the properties of the layers with at least one layer which influences the bonding during the lamination process, e.g. release layers or pressure equalising layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B7/00Layered products characterised by the relation between layers; Layered products characterised by the relative orientation of features between layers, or by the relative values of a measurable parameter between layers, i.e. products comprising layers having different physical, chemical or physicochemical properties; Layered products characterised by the interconnection of layers
    • B32B7/04Interconnection of layers
    • B32B7/06Interconnection of layers permitting easy separation
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/22Surface treatment of glass, not in the form of fibres or filaments, by coating with other inorganic material
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C23/00Other surface treatment of glass not in the form of fibres or filaments
    • C03C23/0005Other surface treatment of glass not in the form of fibres or filaments by irradiation
    • C03C23/006Other surface treatment of glass not in the form of fibres or filaments by irradiation by plasma or corona discharge
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C27/00Joining pieces of glass to pieces of other inorganic material; Joining glass to glass other than by fusing
    • C03C27/06Joining glass to glass by processes other than fusing
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C27/00Joining pieces of glass to pieces of other inorganic material; Joining glass to glass other than by fusing
    • C03C27/06Joining glass to glass by processes other than fusing
    • C03C27/10Joining glass to glass by processes other than fusing with the aid of adhesive specially adapted for that purpose
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09JADHESIVES; NON-MECHANICAL ASPECTS OF ADHESIVE PROCESSES IN GENERAL; ADHESIVE PROCESSES NOT PROVIDED FOR ELSEWHERE; USE OF MATERIALS AS ADHESIVES
    • C09J5/00Adhesive processes in general; Adhesive processes not provided for elsewhere, e.g. relating to primers
    • C09J5/02Adhesive processes in general; Adhesive processes not provided for elsewhere, e.g. relating to primers involving pretreatment of the surfaces to be joined
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2315/00Other materials containing non-metallic inorganic compounds not provided for in groups B32B2311/00 - B32B2313/04
    • B32B2315/08Glass
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2457/00Electrical equipment
    • B32B2457/20Displays, e.g. liquid crystal displays, plasma displays
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2457/00Electrical equipment
    • B32B2457/20Displays, e.g. liquid crystal displays, plasma displays
    • B32B2457/206Organic displays, e.g. OLED
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2217/00Coatings on glass
    • C03C2217/20Materials for coating a single layer on glass
    • C03C2217/28Other inorganic materials
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2217/00Coatings on glass
    • C03C2217/70Properties of coatings
    • C03C2217/77Coatings having a rough surface
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2218/00Methods for coating glass
    • C03C2218/10Deposition methods
    • C03C2218/15Deposition methods from the vapour phase
    • C03C2218/152Deposition methods from the vapour phase by cvd
    • C03C2218/153Deposition methods from the vapour phase by cvd by plasma-enhanced cvd

Abstract

一種將具有薄片接合表面之薄片與具有載體接合表面之載體可控制地接合之方法,該方法係藉由以下步驟進行:將碳質表面改質層沉積於薄片接合表面及載體接合表面之至少一者上,將極性基團併入該表面改質層,以及隨後經由該表面改質層將該薄片接合表面接合至該載體接合表面。該表面改質層可包括具有第一極性基團濃度之本體碳質層及具有第二極性基團濃度之表面層,其中該第二極性基團濃度高於該第一極性基團濃度。該表面改質層沉積及其處理可藉由電漿聚合技術來執行。

Description

用於薄片與載體之受控制接合的物件及方法
本申請案根據專利法主張2014年1月27日申請之美國臨時申請案序列號第61/931927號之優先權權益,該申請案之內容為本文之基礎且以全文引用方式併入本文中。
本發明係關於用於在載體上處理可撓性片材的物件及方法,且更特定而言,係關於用於在玻璃載體上處理可撓性玻璃片的物件及方法。
可撓性基板提供在使用輥對輥處理之較廉價裝置方面之前景,以及製成較薄、較輕、更可撓及耐用顯示器之可能性。然而,高品質顯示器之輥對輥處理所需的技術、裝備及製程尚未得到充分開發。因為面板製造者已重資投入在處理大型玻璃片的成套工具(toolset)中,所以將可撓性基板積層至載體並藉由片材至片材處理製成顯示裝置提供的是:發展對較薄、較輕及更可撓顯示器之價值命題的較為短期的解決方案。已在例如聚萘二甲酸乙二酯(polyethylene naphthalate;PEN)之聚合物片材上示範論證顯示器,其中裝置製作係利用積層至玻璃載體之PEN的片材至片材製作。PEN之溫度上限 限制裝置品質及可使用的製程。另外,聚合物基板之高滲透性導致OLED裝置之環境劣化,在此種情況下,需要近氣密包裝。薄膜封裝提供在克服此種限制方面之前景,但尚未證明其在大體積下提供可接受的產率。
以類似方式,顯示裝置可使用積層至一或多個薄玻璃基板之玻璃載體來製造。預期薄玻璃之低滲透性及改良耐溫度性與耐化學性將允許實現較高效能、較長壽命的可撓性顯示器。
然而,熱、真空、溶劑及酸性以及超音波平板顯示器(Flat Panel Display;FPD)製程需要供薄玻璃結合至載體之穩健接合。FPD製程典型地涉及真空沉積(濺鍍金屬、透明導電氧化物及氧化物半導體,非晶矽、氮化矽及二氧化矽之化學氣相沉積(Chemical Vapor Deposition;CVD)沉積,以及金屬及絕緣體之乾式蝕刻),熱製程(包括約300-400℃ CVD沉積、至多600℃ p-Si結晶、350-450℃氧化物半導體退火、至多650℃摻雜劑退火,以及約200-350℃接觸退火),酸性蝕刻(金屬蝕刻、氧化物半導體蝕刻)、溶劑暴露(剝除光阻劑、聚合物封裝之沉積),以及超音波暴露(在光阻劑之溶劑剝除及水性清潔中,典型地在鹼性溶液中)。
黏著晶圓接合已廣泛用於微機械系統(Micromechanical Systems;MEMS)及用於後端步驟之半導體處理中,在該等後端步驟中,製程較不苛刻。Brewer Science and Henkel之商業黏著劑典型地為厚聚合物黏著層,為5-200微米厚。此等層之大厚度造成大量揮發成分、所捕獲溶劑及 所吸附物質污染FPD製程之可能性。此等材料在約250℃以上熱分解且除氣。該等材料亦可由於充當用於氣體、溶劑及酸之槽渠(sink)而引起下游步驟之污染,該等氣體、溶劑及酸可在後續製程中除氣。
2012年2月8日申請的名稱為Processing Flexible Glass with a Carrier之美國臨時申請案序列號第61/596,727號(下文為US‘727)揭示涉及以下之概念:初始地藉由凡得瓦力將例如可撓性玻璃片之薄片接合至載體,隨後增加某些區域中之接合強度,同時保持在處理薄片/載體以於其上成形裝置(例如,電子或顯示裝置、電子或顯示裝置之組件、有機發光裝置(organic light emitting device;OLED)材料、光電(photo-voltaic;PV)結構或薄膜電晶體)之後移除薄片之諸多部分的能力。將薄玻璃之至少一部分接合至載體,以使得阻止裝置製程流體進入薄片與載體之間,藉以污染下游製程之機會得以減少,亦即,薄片與載體之間的接合密封部分為氣密的,且在一些較佳實施例中,此密封包圍物件之外部,進而阻止液體或氣體侵入密封物件之任何區域中或之外。
US‘727繼續揭示的是:在低溫多晶矽(low temperature polysilicon;LTPS)(相較於固相結晶處理之低溫,其可至多約750℃)裝置製作製程中,可使用接近600℃或更大之溫度、真空及濕式蝕刻環境。此等條件限制可使用的材料,且對載體/薄片提出高的要求。因此,需要一種載體方法,其利用製造商之現存資本基礎架構,允許實現在較高處理溫度下對薄玻璃(亦即具有0.3mm厚之厚度的玻璃)的處 理,而無污染或薄玻璃與載體之間的接合強度損失,且其中薄玻璃易於在製程結束時與載體脫接合(de-bond)。
US‘727中揭示之方法的一個商業優點在於:如在US‘727中所指出,製造商將能夠利用其於處理裝備中之現存資本投資,同時獲得薄玻璃片用於例如PV、OLED、LCD及圖案化薄膜電晶體(Thin Film Transistor;TFT)電子設備之優點。另外,彼方法允許實現製程靈活性,包括:針對薄玻璃片及載體之清潔及表面製備以促進接合之製程靈活性;針對強化薄片與載體之間於接合區域處之接合的製程靈活性;針對維持薄片於非接合(或強度降低接合/低強度接合)區域處自載體之可釋放性的製程靈活性;以及針對切割薄片以促進自載體之提取的製程靈活性。
在玻璃至玻璃接合製程中,將玻璃表面清潔以移除所有金屬、有機物及微粒殘餘物,且留下幾乎矽醇封端的表面。首先使玻璃表面進行緊密接觸,其中凡得瓦力及/或氫鍵結力將該等表面拉到一起。利用熱及視需要壓力,表面矽醇基縮合形成跨於界面之強共價Si-O-Si鍵,從而將玻璃塊永久地熔合。金屬、有機物及微粒殘餘物將藉由遮蔽表面而阻止接合,從而阻止接合所需的緊密接觸。亦需要高的矽醇表面濃度來形成強鍵,因為每單位面積之鍵的數量將藉由相反表面上兩種矽醇物質反應縮合成水之機率來決定。Zhuravlel已報導對充分水化二氧化矽而言,每nm2之羥基的平均數為4.6至4.9個。Zhuravlel,L.T.,The Surface Chemistry of Amorphous Silika,Zhuravlev Model,Colloids and Surfaces A: Physiochemical Engineering Aspects 173(2000)1-38。在US‘727中,非接合區域係形成於接合周邊內,且描述用於形成此非接合區域之主要方式為增加表面粗糙度。大於2nm Ra之平均表面粗糙度可阻止在接合製程之高溫期間形成玻璃至玻璃接合。在由相同發明人於2012年12月13日申請的且名稱為Facilitated Processing for Controlling Bonding Between Sheet and Carrier之美國臨時專利申請案序列號第61/736,880號(下文為US‘880)中,受控制接合區域係藉由控制載體與薄玻璃片之間的凡得瓦力接合及/或氫鍵結來形成,但亦仍使用共價鍵結區域。因此,儘管在US‘727及US‘880中用於處理薄片與載體之物件及方法能夠耐受FPD處理之苛刻環境,但對一些應用而言不合需要地,由於薄玻璃與玻璃載體於接合區域中之強共價鍵而阻止載體之重複使用,該接合區域係藉由例如Si-O-Si之共價鍵結,以約1000-2000mJ/m2之黏著力(大約為玻璃之斷裂強度)來接合。撬動或剝離無法用以自載體分離薄玻璃之共價鍵結部分,且因此,整個薄片無法自載體移除。替代而言,將其上具有裝置之非接合區域劃線且進行提取,從而在載體上留下薄玻璃片之接合周邊。
鑒於以上,需要一種薄片-載體物件,其可耐受包括高溫處理的FPD處理之苛刻條件(不存在與其中使用該薄片-載體物件之半導體或顯示器製作製程不相容的除氣),但允許薄片之整體區域自載體移除(一次性移除,或分部分移除),以便允許載體重複使用於處理另一薄片。本說明書描述用以控 制載體與薄片之間的黏著以產生暫時接合之方式,該暫時接合足夠強以在FPD處理(包括LTPS處理)中保全,但足夠弱以甚至在高溫處理之後允許片材與載體之脫接合。此種受控制接合可利用來產生具有可重複使用載體之物件,或替代地產生具有在載體與片材之間的受控制接合與共價鍵結之圖案化區域的物件。更確切言之,本揭示內容提供可提供於薄片上、載體上或兩者上之表面改質層(包括各種材料及相關聯表面熱處理),以便控制薄片與載體之間的室溫凡得瓦力接合及/或氫鍵結及高溫共價鍵結兩者。甚至更確切言之,室溫接合可受控制以便足以在真空處理、濕式處理及/或超音波清潔處理期間將薄片及載體固持在一起。而且同時,高溫共價鍵結可受控制以便在高溫處理期間阻止薄片與載體之間的永久接合,以及維持足夠接合以阻止在高溫處理期間之脫層。在替代實施例中,表面改質層可用於產生各種受控制接合區域(其中載體及片材仍經由各種製程而保持充分接合,該等製程包括真空處理、濕式處理及/或超音波清潔處理)連同共價鍵結區域,以提供其他處理選擇,例如,甚至在將物件切塊成用於額外裝置處理的小塊之後,仍維持載體與片材之間的氣密性。另外,一些表面改質層提供對載體與片材之間的接合之控制,而同時減少在FPD(例如,LTPS)處理環境中之苛刻條件期間的除氣排放,該等苛刻條件例如包括高溫及/或真空處理。另外,在替代實施例中,一些表面改質層可用於具有玻璃接合表面之載體上,以便可控制地接合具有聚合物接合表面之薄片。聚合物接合表面可為聚合物薄片之部分,該部分上形成 有電子或其他結構,或替代地,聚合物接合表面可為包含玻璃層之複合物片材之部分,該部分上形成有電子或其他結構。
其他特徵及優點將在以下的詳述中闡述,且在部分程度上,熟習此項技術者將根據該描述而容易明白該等特徵及優點,或藉由實踐本書面描述及隨附圖式中例證的各種態樣來認識該等特徵及優點。應理解,前述的一般描述及以下詳述僅僅為各種態樣之示範,且意欲提供用於理解本發明所主張之性質及特徵的概述及框架。
包括隨附圖式來提供對本發明之原理的進一步理解,且將隨附圖式併入本說明書中並構成本說明書之一部分。圖式例示一或多個實施例,且連同說明書一起用以藉由實例來解釋本發明之原理及操作。應理解,在本說明書中及在圖式中揭示之各種特徵可以任何及所有組合來使用。藉由非限制性實例,各種特徵可彼此組合,如隨附申請專利範圍中所闡述。
2‧‧‧物件
5‧‧‧線
8‧‧‧厚度/物件厚度
10‧‧‧載體/玻璃載體
12‧‧‧第一表面
14‧‧‧接合表面
16‧‧‧周邊
18‧‧‧厚度
20‧‧‧薄片/薄玻璃片/片材
22‧‧‧第一表面
24‧‧‧接合表面
26‧‧‧周邊
28‧‧‧厚度
30‧‧‧表面改質層
38‧‧‧厚度
40‧‧‧接合區域/受控制接合區域
50‧‧‧受控制接合區域/區域/陣列
52‧‧‧周邊
56‧‧‧所要部分/中介層
57‧‧‧周邊
60‧‧‧通孔
61‧‧‧材料
62‧‧‧直徑
64‧‧‧間距
66‧‧‧裝置
68‧‧‧結構
402、404、406‧‧‧線
502‧‧‧總表面能量
504‧‧‧極性分量
506‧‧‧分散分量
760‧‧‧堆疊
770、771、772‧‧‧玻璃片/片材
776‧‧‧第一主表面/主表面/第一表面
778‧‧‧第二主表面/主表面/第二表面
780、781‧‧‧蓋片/蓋材
790‧‧‧表面改質層
791、792、793、794‧‧‧界面
900‧‧‧第一基板/載體
902‧‧‧表面
910‧‧‧第二基板/蓋材/載體
912‧‧‧表面/裸表面/蓋材表面/蓋材
920‧‧‧隔片
930‧‧‧加熱室
940‧‧‧箭頭
1001、1002、1003、1004‧‧‧線
1201、1202、1203、1204‧‧‧線
1301、1302、1303、1304‧‧‧線
1312‧‧‧總表面能量
1314‧‧‧極性分量
1316‧‧‧分散分量
1401、1402、1403、1404‧‧‧線
1501、1502、1503、1504‧‧‧線
1601、1602、1603、1604‧‧‧線
1701、1702、1703、1704‧‧‧線
第1圖為物件之示意側視圖,該物件具有接合至薄片之載體,該載體與該薄片之間具有表面改質層。
第2圖為第1圖中之物件之展開及部分剖視圖。
第3圖為二氧化矽上之表面羥基濃度隨溫度變化之圖表。
第4圖為SC1-清潔玻璃片之表面能量隨退火溫度變化之圖表。
第5圖為沉積於玻璃片上之薄氟聚合物膜之表面能 量隨該膜自其製成之成分材料之一的百分比變化之圖表。
第6圖為藉由接合區域接合至載體之薄片之示意俯視圖。
第7圖為玻璃片堆疊之示意側視圖。
第8圖為第7圖中之堆疊之一個實施例的展開圖。
第9圖為測試裝置之示意圖。
第10圖為針對各種材料在不同條件下的表面能量(第9圖之測試裝置之不同部分的表面能量)相對時間之一系列圖表。
第11圖為針對各種材料的%氣泡面積變化相對溫度之圖表。
第12圖為針對各種材料的%氣泡面積變化相對溫度之另一圖表。
第13圖為沉積於玻璃片上之氟聚合物膜之表面能量隨沉積期間所使用氣體之一的百分比變化之圖表。
第13A圖為沉積於玻璃片上之氟聚合物膜之表面能量隨沉積期間所使用氣體之一的百分比變化之圖表。
第14圖為針對表面改質層的表面能量相對沉積時間之圖表。
第15圖為針對表面改質層的在雙對數尺度上的厚度相對沉積時間之圖表。
第16圖為針對不同表面改質層的表面能量相對處理溫度之圖表。
第17圖為表面改質層表面覆蓋率之圖表。
第18圖為在接合至玻璃載體之200微米PEN膜上製作的有機電晶體之效能之匯總。
第19圖為具有中介層之薄片及載體之俯視圖。
第20圖為沿第19圖之線20-20截取的薄片及載體之橫截面圖。
第21圖為橫截面圖,其類似於第20圖中之彼橫截面圖,但具有安置於薄片上之額外裝置。
在以下詳細描述中,出於解釋之目的且無限制地,闡述揭示特定細節之示例性實施例,以便提供對本發明之各種原理的徹底理解。然而,已受益於本揭示內容之一般技藝人士應明白的是,本發明可在脫離本文揭示的特定細節之其他實施例中實踐。此外,可省略對熟知裝置、方法及材料之描述,以便不模糊對本發明之各種原理之描述。最後,在任何可適用的情況下,相同元件符號指代相同元件。
本文中可將範圍表述為自「約」一個特定值,及/或至「約」另一特定值。當表述此範圍時,另一實施例包括自一個特定值及/或至另一特定值。類似地,當藉由使用先行詞「約」將值表述為近似值時,應理解,特定值形成另一實施例。應進一步理解,範圍中每一者之端點相對於另一端點而言及獨立於另一端點而言均有意義。
如本文所使用的方向性用詞-例如,上、下、左、右、前、後、頂部、底部-僅係參考所繪製之圖式而言,且不意欲暗示絕對定向。
除非上下文另外明確指定,否則如本文所使用,單數形式「一」及「該」包括複數提及物。因此,例如,除非上下文另外明確指示,否則提及「組分」包括具有兩種或兩種以上此等「組分」之態樣。
在US‘727及US‘880兩者中,提供允許在載體上處理薄玻璃片之解決方案,藉以薄玻璃片之至少部分保持為「非接合的」,以便在薄玻璃片上處理之裝置可自載體移除。然而,薄玻璃之周邊經由共價Si-O-Si鍵之形成而永久地(或共價地,或氣密地)接合至載體玻璃。此共價鍵結周邊阻止載體之重複使用,因為此永久接合區中之薄玻璃無法在不破壞薄玻璃及載體的情況下移除。
為維持有利的表面形狀特性,載體典型地為顯示器級玻璃基板。因此,在一些情形下,僅在一次使用之後就處置載體是浪費及代價大的。因此,為降低顯示器製造之成本,合乎需要的是能夠重複使用載體來處理大於一個薄片基板。本揭示內容闡述物件及方法,用於允許薄片經由FPD處理線中包括高溫處理之苛刻環境處理-其中高溫處理係於400℃之溫度下處理,且可取決於所製成之裝置之類型而改變,例如,在非晶矽或非晶銦鎵鋅氧化物(indium gallium zinc oxide;IGZO)背板處理中為至多約450℃之溫度,在結晶IGZO處理中為至多約500-550℃,或如在LTPS製程中典型的,為至多約600-650℃-且仍允許薄片易於自載體移除,而無對薄片或載體之破壞(例如,其中載體及薄片之一破裂或開裂成兩個或兩個以上碎塊),藉以載體可得以重複使用。
如第1圖及第2圖所示,物件2具有厚度8,且包括具有厚度18之載體10、具有厚度28之薄片20(亦即,具有300微米之厚度的薄片,該厚度包括但不限於例如以下厚度:10-50微米、50-100微米、100-150微米、150-300微米、300、250、200、190、180、170、160、150、140、130、120、110、100、90、80、70、60、50、40、30、20或10微米),以及具有厚度38之表面改質層30。物件2經設計以允許在設計用於較厚片材(亦即,大約.4mm,例如,.4mm、.5mm、.6mm、.7mm、.8mm、.9mm或1.0mm之彼等片材)之裝備中處理薄片20,儘管薄片20自身300微米。亦即,為厚度18、28及38之總和的厚度8係設計成等於較厚片材之彼厚度,一件裝備-例如,設計來將電子裝置組件安置於基板片材上之裝備-係設計來處理該較厚片材。例如,若處理裝備係設計用於700微米片材,且薄片具有300微米之厚度28,則在假定厚度38可忽略的情況下,厚度18將選擇為400微米。亦即,表面改質層30未按比例展示;替代而言,僅出於說明起見將該表面改質層大大誇示。另外,表面改質層以剖面展示。事實上,當提供可重複使用載體時,表面改質層將均勻地安置於接合表面14上。典型地,厚度38將為大約幾奈米,例如0.1至2.0nm或至多10nm,且在一些情況下,可為至多100nm。厚度38可藉由橢圓偏光計量測。另外,表面改質層之存在可藉由表面化學分析偵測,例如藉由ToF Sims質譜測定法偵測。因此,厚度38對物件厚度8之貢獻可忽略,且可在針對判定用於處理具有厚度28之給定薄片20的載體10之 適合厚度18之計算中予以略去。然而,在表面改質層30具有任何顯著厚度38之程度上,此厚度可考慮用於針對薄片20之給定厚度28及設計處理裝備所針對的給定厚度來判定載體10之厚度18。
載體10具有第一表面12、接合表面14、周邊16及厚度18。另外,載體10可具有任何適合材料,包括例如玻璃。載體無需為玻璃,而可替代地為陶瓷、玻璃-陶瓷或金屬(因為表面能量及/或接合可以類似於以下結合玻璃載體所描述方式之方式來控制)。若載體10由玻璃製成,則該載體可具有任何適合組成物,包括鋁矽酸鹽、硼矽酸鹽、鋁硼矽酸鹽、鈉鈣矽酸鹽,且可為含鹼金屬或不含鹼金屬的,此取決於其最終應用。厚度18可為約0.2至3mm或更大,例如0.2、0.3、0.4、0.5、0.6、0.65、0.7、1.0、2.0或3mm或更大,且將取決於厚度28及厚度38(如以上指出,當此厚度不可忽略時如此)。另外,載體10可如圖所示由一個層製成,或由接合在一起的多個層(包括多個相同或不同材料之薄片)製成。另外,載體可具有Gen 1大小或更大,例如,Gen 2、Gen 3、Gen 4、Gen 5、Gen 8或更大(例如,100mm x 100mm至3公尺x 3公尺或更大的片材大小)。
薄片20具有第一表面22、接合表面24、周邊26及厚度28。周邊16及26可具有任何適合形狀,可彼此相同,或可彼此不同。另外,薄片20可具有任何適合材料,包括例如玻璃、陶瓷或玻璃-陶瓷。在一些情況下,薄片20可為聚合物或具有聚合物及/或玻璃接合表面之複合物片材。當薄片20 由玻璃製成時,該薄片可具有任何適合組成物,包括鋁矽酸鹽、硼矽酸鹽、鋁硼矽酸鹽、鈉鈣矽酸鹽,且可為含鹼金屬或不含鹼金屬的,此取決於其最終應用。薄片之熱膨脹係數可與載體之熱膨脹係數相對緊密地匹配,以便阻止物件在高溫下處理期間的翹曲。當在較低溫度下處理物件2時,即CTE匹配不為此類關注點的情況,則聚合物薄片可與玻璃載體一起使用。當然,可存在其他情況,其中聚合物片材可與玻璃載體一起使用。如以上指出,薄片20之厚度28為300微米或更小。另外,薄片可具有Gen 1大小或更大,例如,Gen 2、Gen 3、Gen 4、Gen 5、Gen 8或更大(例如,100mm x 100mm至3公尺x 3公尺或更大的片材大小)。
物件2不僅需要具有正確的厚度以在現存裝備中處理,而且有時其亦將需要能夠在其中進行處理之苛刻環境中保全。例如,平板顯示器(FPD)處理可包括濕式處理、超音波處理、真空處理及在一些情況下高溫(例如,400℃)處理。對一些製程而言,如以上指出,溫度可為500℃,或600℃及至多650℃。
為在其中物件2將受處理之苛刻環境中保全,如在例如FPD製造期間保全,接合表面14應以足夠強度接合至接合表面24,薄片20不與載體10分離。而且,此強度應在處理期間得以維持,以便薄片20在處理期間不與載體10分離。另外,為允許薄片20自載體10移除(以便載體10可得以重複使用),接合表面14不應藉由初始設計接合力及/或藉由因初始設計接合力之改質而產生的接合力(如可例如在物件經歷高 溫下處理,例如,經歷在400℃之溫度下處理時發生)過於強烈地接合至接合表面24。表面改質層30可用於控制接合表面14與接合表面24之間的接合強度,以便達成這兩個目標。受控制接合力係藉由控制凡得瓦力(及/或氫鍵結)及共價吸引能量對總黏著能量之貢獻來達成,該總黏著能量係藉由調變薄片20及載體10之極性及非極性表面能量分量來控制。此受控制接合足夠強以在FPD處理(包括濕式製程、超音波製程、真空製程及熱製程,其包括400℃之溫度,且在一些情況下,500℃或600℃且至多650℃之處理溫度)中保全,且保持可藉由施加足夠分離力及亦藉由不引起對薄片20及/或載體10之毀滅性破壞之力而脫接合。此種脫接合允許薄片20及其上所製作的裝置之移除,且亦允許載體10之重複使用。
儘管表面改質層30係展示為薄片20與載體10之間的實心層,但無需為此種狀況。例如,層30可為大約0.1至2nm厚,且可不完全地覆蓋接合表面14之每一區位(bit)。例如,覆蓋率可為100%、1%至100%、10%至100%、20%至90%或50%至90%。在其他實施例中,層30可為至多10nm厚,或在其他實施例中,甚至至多100nm厚。表面改質層30可視為安置於載體10與薄片20之間,儘管其可不接觸載體10及薄片20中之任一者。在任何情況下,表面改質層30之重要態樣在於,其改質接合表面14與接合表面24接合之能力,進而控制載體10與薄片20之間的接合之強度。表面改質層30之材料及厚度,以及在接合之前對接合表面14、24之處理可用於控制載體10與薄片20之間的接合(黏著之能量) 之強度。
一般而言,兩個表面之間的黏著之能量由以下給出(「A theory for the estimation of surface and interfacial energies.I.derivation and application to interfacial tension」,L.A.Girifalco及R.J.Good,J.Phys.Chem.,V 61,p904):W=Y 1 +Y 2 -Y 12 (1)其中Y 1 、Y 2 及Y 12 分別為表面1、表面2之表面能量及表面1及表面2之界面能量。個別表面能量通常為兩個項之組合;即,分散分量γd及極性分量(polar component)γp Y=Y d+Y p (2)
當黏著主要係歸因於倫敦分散力(γd)及例如氫鍵結之極性力(γp)時,界面能量可由以下給出(Girifalco及R.J.Good,如以上所提及): 在將(3)代入(1)之後,黏著之能量可大致計算為:
以上方程式(4)中,僅考慮黏著能量之凡得瓦力(及/或氫鍵結)分量。此等分量包括極性-極性相互作用(Keesom)、極性-非極性相互作用(Debye)及非極性-非極性相互作用(London)。然而,亦可存在其他吸引能量,例如共價鍵結及靜電鍵結。因此,以更一般化之形式,將以上方程式寫為: 其中wc及we為共價及靜電黏著能量。共價黏著能量相當常見,如常見於矽晶圓接合中,其中將初始氫鍵結的一對晶圓 加熱至較高溫度,以將大部分或所有矽醇-矽醇氫鍵轉化成Si-O-Si共價鍵。雖然初始、室溫氫鍵結產生允許接合表面之分離的大約為約100-200mJ/m2之黏著能量,但如在高溫處理(大約400至800℃)期間達成的完全共價鍵結晶圓對具有約1000-3000mJ/m2之黏著能量,其不允許接合表面之分離;替代而言,兩個晶圓充當單塊(monolith)。另一方面,若兩個表面完全用例如氟聚合物之低表面能量材料(其厚度足夠大以屏蔽下伏基板之效應)塗佈,則黏著能量將為塗層材料之黏著能量,且將為極低的,從而導致接合表面14、24之間低的黏著或無黏著,藉以薄片20不能夠在載體10處理。考慮兩種極端狀況:(a)兩個標準清潔1(S℃1,如此項技術所知)清潔玻璃表面,其矽醇基飽和,在室溫下經由氫鍵結接合在一起(藉以黏著能量為約100-200mJ/m2),接著加熱至將矽醇基轉化成共價Si-O-Si鍵之高溫(藉以黏著能量變為1000-3000mJ/m2)。此後一種黏著能量對欲脫離的一對玻璃表面而言過高;以及(b)完全用具有低表面黏著能量(每個表面約12mJ/m2)之氟聚合物塗佈的兩個玻璃表面,其在室溫下接合且加熱至高溫。在此後一種狀況(b)中,表面不僅不接合(因為當表面置放在一起時,約24mJ/m2之總黏著能量過低),而且該等表面在高溫下亦不接合,因為不存在(或存在十分少的)極性反應基團。在此兩種極端情況之間,存在黏著能量之範圍,例如,介於50-1000mJ/m2之間,此可產生所要程度之受控制接合。因此,發明人已發現提供可調表面改質層30之各種方式,該可調表面改質層產生介於此兩種極端情況之間的黏著能量,且使得可產生 受控制接合,該受控制接合足以維持一對玻璃基板(例如玻璃載體10及薄玻璃片20)在FPD處理之苛刻條件期間彼此接合,而且該受控制接合之程度(甚至在例如400℃之高溫處理之後)允許在處理完成之後薄片20自載體10之脫離。此外,薄片20自載體10之脫離可藉由機械力來執行,且以不存在對至少薄片20之毀滅性破壞的方式執行,且較佳地亦使得不存在對載體10之毀滅性破壞。
方程式(5)描述:黏著能量為四個表面能量參數加共價及靜電能量(若存在)之函數。
適當的黏著能量可藉由對表面改質劑,亦即對表面改質層30之明智選擇,及/或在接合之前表面之熱處理來達成。適當的黏著能量可藉由對接合表面14及接合表面24中一或兩者之化學改質劑的選擇來獲得,該等化學改質劑又控制凡得瓦力(及/或氫鍵結,因為此等術語在本說明書全文中可互換地使用)黏著能量以及由高溫處理(例如,大約400℃)引起的可能共價鍵結黏著能量。例如,採用SC1清潔玻璃之接合表面(其最初矽醇基飽和,具有表面能量之高極性分量)且用低能量氟聚合物對其塗佈,此提供對表面藉由極性及非極性基團之分數覆蓋率的控制。此不僅提供對在室溫下的初始凡得瓦力接合(及/或氫鍵結)之控制,而且提供對在較高溫度下共價鍵結之範圍/程度的控制。執行對在室溫下的初始凡得瓦力接合(及/或氫鍵結)之控制,以便提供一個表面與另一表面之接合,從而允許真空處理及或旋轉-清洗-乾燥(spin-rinse-dry;SRD)類型處理,且在一些情況下亦提供一個 表面與另一表面之易於形成的接合-其中易於形成的接合可在室溫下、在無對薄片20之整體區域施加外部施力的情況下(如將薄片20壓製至載體10中所進行的情況)、利用塗刷器或利用減壓環境來執行。亦即,初始的凡得瓦力接合提供至少最低程度之接合,其將薄片及載體固持在一起以便其在一者受固持且使另一者經受重力的情況下不會分離。在大多數狀況下,初始的凡得瓦力接合(及/或氫鍵結)將具有使得物件亦可經歷真空處理、SRD處理及超音波處理而不使薄片與載體脫層的範圍。經由表面改質層30(包括自其製成該表面改質層之材料及/或對施加該表面改質層之表面的表面處理)及/或在將表面接合在一起之前藉由接合表面之熱處理對凡得瓦力(及/或氫鍵結)及共價相互作用於適當水準下之此種精確控制達成所要黏著能量,該黏著能量允許薄片20在FPD型處理全程與載體10接合,而同時允許薄片20在FPD型處理之後自載體10分離(藉由避免對薄片20及/或載體之破壞的適當力)。另外,在適當的情況下,可將靜電荷施加於一或兩個玻璃表面以提供對黏著能量之另一水準控制。
例如p-Si及氧化物TFT製作的FPD處理典型地涉及在400℃以上、在500℃以上且在一些情況下於600℃下或在600℃以上、至多650℃之溫度下的熱製程,該等溫度在不存在表面改質層30的情況下引起薄玻璃片20與玻璃載體10之玻璃至玻璃接合。因此,控制Si-O-Si鍵結之形成產生可重複使用載體。控制在高溫下Si-O-Si鍵結之形成的一種方法為減少欲接合之表面上的表面羥基之濃度。
如第3圖所示,該圖為二氧化矽上之表面羥基濃度隨溫度變化之Iler氏繪圖(R.K.Iller:The Chemistry of Silica(Wiley-Interscience,New York,1979),每平方nm之羥基(OH基)之數量隨表面之溫度的增加而減小。因此,加熱二氧化矽表面(及藉由類似方法加熱玻璃表面,例如接合表面14及/或接合表面24)減少表面羥基之濃度,從而減小兩個玻璃表面上之羥基相互作用之機率。表面羥基濃度之此種減少又減少每單位面積形成的Si-O-Si鍵,從而降低黏著力。然而,消除表面羥基需要在高溫下(在750℃以上以完全消除表面羥基)長的退火時間。此長的退火時間及高的退火溫度導致昂貴製程及不實際之製程,因為其可能高於典型顯示玻璃之應變點。
自以上分析,發明人已發現:適合於FPD處理(包括LTPS處理)的包括薄片及載體之物件可藉由平衡以下三個概念來製成:(1)藉由控制初始室溫接合對載體及/或薄片接合表面之改質,其可藉由控制凡得瓦力接合(及/或氫鍵結)來進行,以產生適度黏著能量(例如,在表面接合之前,具有每個表面>40mJ/m2之表面能量)以促進初始室溫接合,且足以在非高溫FPD製程中保全,該非高溫FPD製程例如真空處理、SRD處理及/或超音波處理;(2)以一方式對載體及/或薄片之表面改質,該方式為熱穩定的以在FPD製程中保全而無除氣,該除氣可在裝置製作中引起脫層及/或不可接受的污染,例如,其中可使用物件之半導體及/或顯示器製作製程所不可接受的污染;以及 (3)控制在高溫下的接合,其可藉由控制載體表面羥基濃度及能夠在高溫(例如,400℃之溫度)下形成強共價鍵的其他物質之濃度來進行,藉以可控制載體之接合表面與薄片之接合表面之間的接合能量,以使得甚至在高溫處理(尤其在500-650℃範圍內之熱製程期間,如在FPD製程中)之後,載體與薄片之間的黏著力保持在一範圍內,該範圍允許利用不破壞至少薄片(及較佳地不破壞薄片或載體任一者)之分離力使薄片與載體脫接合,且仍足以維持載體與薄片之間的接合,以便該載體及該薄片在製程期間不會脫層。
另外,發明人已發現:表面改質層30(適當時連同接合表面製備一起)之使用可平衡以上概念,以便易於達成受控制接合區域,亦即,提供薄片20與載體10之間的足夠室溫接合之接合區域,以允許物件2在FPD類型製程(包括真空製程及濕式製程)中處理,而且為控制薄片20與載體10之間(甚至在400℃之高溫下)的共價鍵結之接合區域,以便在物件2已完成高溫處理(例如,FPD類型處理或LTPS處理)之後允許薄片20自載體10移除(而無對至少薄片之破壞,且較佳亦無對載體之破壞)。為評估將提供適用於FPD處理之可重複使用載體之潛在接合表面製備及表面改質層,使用一系列試驗。不同FPD應用具有不同的要求,但此時LTPS及氧化物TFT製程似乎為最嚴格的,且因此選擇代表此等製程中之步驟的試驗,因為此等試驗為物件2之所要應用。真空製程、濕式清潔(包括SRD製程及超音波類型製程)及濕式蝕刻對許多FPD應用而言為常見的。典型的Si TFT製作需要至多320℃ 之處理。在400℃下之退火用於氧化物TFT製程,而在超過600℃之結晶及摻雜劑活化步驟用於LTPS處理。因此,使用以下五種試驗來評估以下可能性:特定接合表面製備及表面改質層30允許薄片20在FPD處理全程保持接合至載體10,同時在此種處理(包括在400℃之溫度下處理)之後允許薄片20自載體10移除(而不破壞薄片20及/或載體10)。依次執行試驗,且除非存在不允許後續測試之類型的失效,否則將樣本自一個試驗進送至下一試驗。
(1)真空測試。在STS多工PECVD負載鎖定室(loadlock)(可購自SPTS,Newport,UK)中執行真空相容性測試-該負載鎖定室藉由帶軟泵閥之Ebara A10S乾泵(可購自Ebara Technologies Inc.,Sacramento,CA)來泵抽。將樣本置放於負載鎖定室中,且隨後將負載鎖定室於45sec內自大氣壓泵抽降至70mTorr。藉由於下表之「真空」欄中之記法「F」所指示的失效在以下情況下視為已發生:(a)載體與薄片之間的黏著之損失(根據利用肉眼之目視檢查,其中在薄片已自載體掉落或部分地與該載體脫接合的情況下,視為已發生失效);(b)載體與薄片之間起泡(如藉由利用肉眼之目視檢查來判定-在處理之前及之後對樣本拍攝照片,且隨後進行比較,在缺陷之大小增加肉眼可見之尺寸的情況下,判定已發生失效);或(c)薄片相對於載體之移動(如藉由利用肉眼之目視檢查來判定-在測試之前及之後對樣本拍攝照片,其中在以下情況下視為已發生失效:存在例如氣泡之接合缺陷之移動,或邊緣脫接合,或存在載體上之薄片之移動)。在以下表 中,「真空」欄中之記法「P」指示:根據先前準則,樣本未失效。
(2)濕式製程測試。使用Semitool SRD-470S型(可購自Applied Materials,Santa Clara,CA)執行濕式製程相容性測試。測試由以下組成:60秒500rpm清洗、500rpm下Q-清洗至15MOhm-cm、在500rpm下10秒沖洗、在暖流氮下在1800rpm下90秒乾燥及在2400rpm下180秒乾燥。藉由於下表之「SRD」欄中之記法「F」所指示的失效在以下情況下視為已發生:(a)載體與薄片之間的黏著之損失(根據利用肉眼之目視檢查,其中在薄片已自載體掉落或部分地與該載體脫接合的情況下,視為已發生失效);(b)載體與薄片之間起泡(如藉由利用肉眼之目視檢查來判定-在處理之前及之後對樣本拍攝照片,且隨後進行比較,在缺陷之大小增加肉眼可見之尺寸的情況下,判定已發生失效);或(c)薄片相對於載體之移動(如藉由利用肉眼之目視檢查來判定-在測試之前及之後對樣本拍攝照片,其中在以下情況下視為已發生失效:存在例如氣泡之接合缺陷之移動,或邊緣脫接合,或存在載體上之薄片之移動);或(d)水於薄片下方之滲透(如藉由利用光學顯微鏡在50x下之目視檢查來判定,其中在液體或殘餘物為可觀察的情況下,判定已發生失效)。在以下表中,「SRD」欄中之記法「P」指示:根據先前準則,樣本未失效。
(3)達400℃之溫度測試。使用Alwin21 Accuthermo610 RTP(可購自Alwin21,Santa Clara CA)執行400℃製程相容性測試。將具有與其接合的薄片之載體在腔室 中自室溫以6.2℃/min循環加熱至400℃,於400℃下保持600秒,且以1℃/min冷卻至300℃。隨後使載體及薄片冷卻至室溫。藉由於下表之「400℃」欄中之記法「F」所指示的失效在以下情況下視為已發生:(a)載體與薄片之間的黏著之損失(根據利用肉眼之目視檢查,其中在薄片已自載體掉落或部分地與該載體脫接合的情況下,視為已發生失效);(b)載體與薄片之間起泡(如藉由利用肉眼之目視檢查來判定-在處理之前及之後對樣本拍攝照片,且隨後進行比較,在缺陷之大小增加肉眼可見之尺寸的情況下,判定已發生失效);或(c)載體與薄片之間的增大黏著,藉以此種增大黏著阻止薄片與載體之脫接合(藉由刀片於薄片與載體之間的插入,及/或藉由將一塊1”寬x 6”長(其中2-3”附接至100mm平方薄玻璃的KaptonTM膠帶(來自Saint Gobain Performance Plastic,Hoosik NY之K102系列)黏結至薄片,且拉動膠帶)而不破壞薄片或載體,其中在試圖將薄片與載體分離時存在對該薄片或該載體之破壞的情況下,或在薄片及載體不可藉由任一脫接合方法之執行而脫接合的情況下,視為已發生失效。另外,在薄片與載體接合之後,且在熱循環之前,對代表性樣本執行脫接合試驗,以判定包括任何相關聯表面處理之特定材料允許薄片在溫度循環之前與載體之脫接合。在以下表中,「400℃」欄中之記法「P」指示:根據先前準則,樣本未失效。
(4)達600℃之溫度測試。使用Alwin21 Accuthermo610 RTP執行600℃製程相容性測試。將具有薄片之載體在腔室中自室溫以9.5℃/min循環加熱至600℃,於600 ℃下保持600秒,且隨後以1℃/min冷卻至300℃。隨後使載體及薄片冷卻至室溫。藉由於下表之「600℃」欄中之記法「F」所指示的失效在以下情況下視為已發生:(a)載體與薄片之間的黏著之損失(根據利用肉眼之目視檢查,其中在薄片已自載體掉落或部分地與該載體脫接合的情況下,視為已發生失效);(b)載體與薄片之間起泡(如藉由利用肉眼之目視檢查來判定-在處理之前及之後對樣本拍攝照片,且隨後進行比較,在缺陷之大小增加肉眼可見之尺寸的情況下,判定已發生失效);或(c)載體與薄片之間的增大黏著,藉以此種增大黏著阻止薄片與載體之脫接合(藉由刀片於薄片與載體之間的插入,及/或藉由將一塊如上所述的KaptonTM膠帶黏結至薄片,且拉動膠帶)而不破壞薄片或載體,其中在試圖將薄片與載體分離時存在對該薄片或該載體之破壞的情況下,或在薄片及載體不可藉由任一脫接合方法之執行而脫接合的情況下,視為已發生失效。另外,在薄片與載體接合之後,且在熱循環之前,對代表性樣本執行脫接合試驗,以判定特定材料及任何相關聯表面處理允許薄片在溫度循環之前與載體之脫接合。在以下表中,「600℃」欄中之記法「P」指示:根據先前準則,樣本未失效。
(5)超音波測試。藉由在四槽管線中清潔物件來執行超音波相容性測試,其中順序地自槽#1至槽#4將物件在每一槽中處理。對四個槽之每一者而言,槽尺寸為18.4”L x 10”W x 15”D。兩個清潔槽(#1及#2)含有在50℃下、於DI水中之1%Semiclean KG,其可購自Yokohama Oils and Fats Industry Co Ltd.,Yokohama Japan。利用NEY prosonik 2 104kHz超音波產生器(可購自Blackstone-NEY Ultrasonics,Jamestown,NY)攪動清潔槽#1,且利用NEY prosonik 2 104kHz超音波產生器攪動清潔槽#2。兩個清洗槽(槽#3及槽#4)含有在50℃下之DI水。藉由NEY sweepsonik 2D 72kHz超音波產生器攪動清洗槽#3,且藉由NEY sweepsonik 2D 104kHz超音波產生器攪動清洗槽#4。在槽#1-4之每一者中進行製程10min,接著在自槽#4移除樣本之後旋轉清洗乾燥(SRD)。藉由於下表之「超音波」欄中之記法「F」所指示的失效在以下情況下視為已發生:(a)載體與薄片之間的黏著之損失(根據利用肉眼之目視檢查,其中在薄片已自載體掉落或部分地與該載體脫接合的情況下,視為已發生失效);(b)載體與薄片之間起泡(如藉由利用肉眼之目視檢查來判定-在處理之前及之後對樣本拍攝照片,且隨後進行比較,在缺陷之大小增加肉眼可見之尺寸的情況下,判定已發生失效);或(c)其他大體(gross)缺陷之形成(如藉由利用光學顯微鏡以50x之目視檢查來判定,其中在存在之前未觀察到的捕獲於薄玻璃與載體之間的粒子的情況下,判定已發生失效;或(d)水於薄片下方之滲透(如藉由利用光學顯微鏡在50x下之目視檢查來判定,其中在液體或殘餘物為可觀察的情況下,判定已發生失效。在以下表中,「超音波」欄中之記法「P」指示:根據先前準則,樣本未失效。另外,在以下表中,「超音波」欄中之空白指示樣本未以此方式測試。
接合能量試驗
接合能量為用以將薄片與載體分離所耗之能量。接合能量可以各種不同的方式量測。然而,如本文所使用,接合能量係如下量測。
使用雙懸臂梁方法(亦稱為楔形物方法)量測接合能量。在此方法中,將具有已知厚度之楔形物置放於接合薄片與載體玻璃之間的邊緣處。楔形物產生特性脫層距離L。此脫層距離經量測且使用來以方程式6計算接合能量γ BE
EXG組成物對載體(1)及薄片(2)兩者之楊氏模數E為73.6GPa。載體之典型厚度t s1 為0.7mm,且薄片之厚度t s2 為0.13mm。Martor 37010.20刀片用於由95μm之厚度t w 組成之楔形物。具有極高接合能量之樣本,其中利用獨立楔形物預開裂。此舉允許楔形物之較易插入及特性脫層長度之產生。對所報導的接合能量資料而言,2500之值指示試驗-極限條件,且對彼特定樣本而言,薄片不可與載體脫接合。
藉由加熱、經由羥基減少的接合表面之製備
利用表面改質層30改質接合表面14、24之一或多者,因而物件2能夠成功地經歷FPD處理(亦即,其中薄片20在處理期間保持接合至載體10,但在包括高溫處理之處理之後仍可與載體10分離)之益處係藉由處理具有玻璃載體10及薄玻璃片20而其之間不具有表面改質層30之物件2來證明。確切言之,首先藉由加熱以減少羥基、但在不具有表面改質層30的情況下嘗試接合表面14、24之製備。將載體10 及薄片20清潔,使接合表面14及24彼此接合,且隨後測試物件2。用於製備供接合之玻璃的典型清潔製程為SC1清潔製程,其中在稀過氧化氫及鹼(通常為氫氧化銨,但亦可使用氫氧化四甲銨溶液,例如JT Baker JTB-100或JTB-111)中清潔玻璃。清潔將粒子自接合表面移除,且獲得已知的表面能量,亦即,其提供表面能量之基線。清潔之方式無需為SC1,可使用其他類型之清潔,如清潔之類型可能僅對表面上之矽醇基具有極微小的效應。各種試驗之結果列明於以下表1中。
強的但可分離的初始室溫接合或凡得瓦力接合及/或氫鍵係藉由以下方式產生:簡單地清潔100mm平方x 100微米厚之薄玻璃片,及玻璃載體,即150mm直徑、0.50或0.63mm厚之單一均勻平坦(single mean flat;SMF)晶圓,該薄玻璃片及該玻璃載體各自包含Eagle XG®顯示玻璃(不含鹼金屬、鋁硼矽酸鹽玻璃,具有大約0.2nm之平均表面粗糙度Ra,其可購自Corning Incorporated,Corning,NY)。在此實例中,在40:1:2 DI水:JTB-111:過氧化氫之65℃浴中清潔玻璃10min。薄玻璃或玻璃載體可已經或可尚未在400℃下、在氮中退火10min以移除殘餘水-於以下表1中之「載體」欄或「薄玻璃」欄中之記法「400℃」指示在400℃下將樣本在氮中退火10分鐘。FPD製程相容性測試證明:此SC1-SC1初始、室溫接合在機械上足夠強,從而順利完成真空測試、SRD測試及超音波測試。然而,在400℃及400℃以上加熱產生薄玻璃與載體之間的永久接合,亦即,薄玻璃片不可在不破壞薄玻璃片及載體中之任一者或兩者的情況下自載體移除。而 且,甚至對實例1c而言,亦為此狀況,其中載體及薄玻璃之每一者經受退火步驟以減少表面羥基之濃度。因此,接合表面14、24經由單獨加熱之上述製備及隨後在不具有表面改質層30的情況下載體10及薄片20之接合不為用於FPD製程(其中溫度將為400℃)之適合受控制接合。
藉由羥基減少及表面改質層的接合表面之製備
如藉由例如熱處理達成之羥基減少及表面改質層30可一起使用以控制接合表面14、24之相互作用。例如,接合表面14、24之接合能量(在室溫下由於極性/分散能量分量之凡得瓦力接合及/或氫鍵結,以及在高溫下由於共價能量分量之共價鍵結)可受控制以便在以下情況之間提供變化接合強度:自其中室溫接合為困難的情況,至允許接合表面之容易室溫接合及接合表面在高溫處理之後分離的情況下,至-在高溫處理之後-在無破壞的情況下阻止表面分離的情況。在一些應用中,合乎需要的可為不具有接合或具有極弱接合(如在表面處於「非接合」區域中時如此,「非接合」區域係描述於US‘727之薄片/載體概念中且如以下所述)。在其他應用中,例如提供用於FPD製程及類似製程(其中可達成500℃,或600℃且至多650℃之製程溫度)之可重複使用載體,合乎需要的是在室溫下具有足夠的凡得瓦力接合及/或氫鍵結,以 初始地將薄片及載體置放於一起,且仍阻止或限制高溫共價鍵結。對其他應用而言,合乎需要的可為具有足夠的室溫接合以初始地將薄片及載體置放於一起,以及在高溫下產生強共價鍵結(如當表面處於「接合區域」中如此,「接合區域」描述於US‘727之薄片/載體概念中且如以下所論述)。儘管不希望受理論約束,但在一些情況下,表面改質層可用於控制薄片及載體初始地藉由其置放於一起的室溫接合,而表面上羥基之減少(如藉由加熱表面,或例如藉由使羥基與表面改質層之反應而達成)可用於控制共價鍵結,尤其高溫下之共價鍵結。
用於表面改質層30之材料可提供具有能量(例如,如對一個表面所量測,<40mJ/m2之能量,且包括極性及分散分量)之接合表面14、24,藉以表面僅產生弱接合。在一個實例中,六甲基二矽氮烷(hexamethyldisilazane;HMDS)可用於通過與表面羥基反應以留下三甲基矽烷基(trimethylsilyl;TMS)封端表面而產生此低能量表面。作為表面改質層之HMDS可連同表面加熱一起使用以減少羥基濃度,從而控制室溫接合及高溫接合。藉由選擇用於每一接合表面14、24之適合接合表面製備,可達成具有一定能力範圍之物件。更確切言之,對提供用於LTPS處理之可重複使用載體關注的情況下,可在薄玻璃片20與玻璃載體10之間達成適合接合,以便在真空處理試驗、SRD處理試驗、400℃(部分a及c)處理試驗及600℃(部分a及c)處理試驗之每一者中保全(或順利完成每一者)。
在一個實例中,SC1清潔後接對薄玻璃及載體兩者 之HMDS處理產生弱接合表面,從而對在室溫下利用凡得瓦力(及/或氫鍵結)力之接合提出挑戰。施加機械力來將薄玻璃接合至載體。如表2之實例2a所示,此接合十分弱,使得在真空試驗及SRD處理中觀察到載體之偏轉,在400℃及600℃熱製程中觀察到起泡(可能由於除氣),且在超音波處理之後觀察到微粒缺陷。
在另一實例中,僅一個表面(所引用實例中之載體)之HMDS處理產生較強室溫黏著,其在真空處理及SRD處理中保全。然而,在400℃及400℃以上之熱製程將薄玻璃永久地接合至載體。此並不意外,因為相對完全羥基化的二氧化矽之4.6-4.9/nm2之羥基濃度而言,已由Sindorf及Maciel於J.Phys.Chem.1982,86,5208-5219中計算出二氧化矽上三甲基矽烷基之最大表面覆蓋率為2.8/nm2,且由Suratwala等人於Journal of Non-Crystalline Solids 316(2003)349-363中量測為2.7/nm2。亦即,儘管三甲基矽烷基與一些表面羥基鍵結,但將仍保留一些未鍵結羥基。因此,在給定充分時間及溫度的情況下,將預期表面矽醇基之縮合以將薄玻璃及載體永久地接合。
改變的表面能量可藉由加熱玻璃表面以在HMDS暴露之前減少表面羥基濃度來產生,從而產生表面能量之增加的極性分量。此舉減小用於在高溫下形成共價Si-O-Si鍵之驅動力,且產生較強室溫接合,例如,凡得瓦力接合(及/或氫鍵結)。第4圖展示在退火之後及在HMDS處理之後Eagle XG®顯示玻璃載體之表面能量。在HMDS暴露之前增加的退火溫 度藉由增加極性分佈(線404)增加在HMDS暴露之後的總(極性及分散)表面能量(線402)。亦可見:對總表面能量之分散貢獻(線406)藉由熱處理而保持大部分無變化。儘管不希望受理論約束,但增加HMDS處理之後表面中之能量之極性分量且進而增加總能量似乎係歸因於:甚至在HMDS處理之後,由於藉由HMDS之亞單層TMS覆蓋而存在一些暴露玻璃表面區域。
在實例2b中,在與具有HMDS之塗層之非熱處理載體接合之前,在150℃之溫度下將薄玻璃片於真空中加熱一個小時。薄玻璃片之此熱處理不足以阻止在400℃之溫度下薄玻璃片與載體之永久接合。
如表2之實例2c-2e所示,在HMDS暴露之前改變玻璃表面之退火溫度可改變玻璃表面之接合能量,以便控制玻璃載體與薄玻璃片之間的接合。
在實例2c中,在190℃之溫度下將載體於真空中退火1小時,接著HMDS暴露以提供表面改質層30。另外,在450℃下將薄玻璃片於真空中退火1小時,之後與載體接合。所得物件在真空試驗、SRD試驗及400℃(部分a及c,但未順利完成部分b,因為存在增加的起泡)中保全,但在600℃試驗中失效。因此,儘管如相較於實例2b存在對高溫接合之增加抵抗力,但此不足以產生用於在600℃之溫度下處理(例如,LTPS處理)之物件,其中載體為可重複使用的。
在實例2d中,在340℃溫度下將載體於真空中退火1小時,接著HMDS暴露以提供表面改質層30。再次,在450 ℃下將薄玻璃片於真空中退火1小時,之後與載體接合。結果類似於實例2c之彼等結果,其中物件在真空試驗、SRD試驗及400℃(部分a及c,但未順利完成部分b,因為存在增加的起泡)中保全,但在600℃試驗中失效。
如實例2e所示,在450℃下將薄玻璃及載體兩者於真空中退火1hr,接著載體之HMDS暴露且隨後載體及薄玻璃片之接合,此改良對永久接合之溫度抵抗力。將兩個表面退火至450℃阻止在600℃下RTP退火10min之後的永久接合,亦即,此樣本順利完成600℃處理試驗(部分a及c,但未順利通過部分b,因為存在增加的起泡;對400℃試驗發現類似結果)。
在以上實例2a至2e中,載體及薄片之每一者為Eagle XG®玻璃,其中載體為630微米厚的150mm直徑SMF晶圓,且薄片為100mm平方100微米厚。HMDS係藉由在YES-5 HMDS烘箱(可購自Yield Engineering Systems,San Jose CA)之脈衝氣相沉積來施加,且其為一個原子層厚(亦即,約0.2至1nm),儘管表面覆蓋可小於一個單層,亦即,表面羥基中之一些未藉由HMDS覆蓋,如由Maciel所指出且如以上所論述。由於表面改質層之小厚度,幾乎不存在除氣之風險,該除氣可引起裝置製作之污染。此外,如表2中藉 由「SC1」記法所指示,載體及薄片之每一者係於熱處理或任何後續HMDS處理之前使用SC1製程來清潔。
實例2a與實例2b之比較展示:薄片與載體之間的接合能量可藉由改變包括表面改質層之表面之數量來控制。而且,控制接合能量可用於控制兩個接合表面之間的接合力。此外,實例2b-2e之比較展示:表面之接合能量可藉由改變熱處理之參數來控制,接合表面係在施加表面改質材料之前經受該熱處理。再次,熱處理可用於減少表面羥基之數量,且因此控制共價鍵結之程度,尤其高溫下共價鍵結之程度。
可以不同方式作用來控制接合表面上之表面能量之其他材料可用於表面改質層30,以便控制兩個表面之間的室溫接合力及高溫接合力。例如,若一或兩個接合表面利用表面改質層改質來產生適度接合力,則亦可產生可重複使用載體,該表面改質層覆蓋或空間上阻礙例如羥基之物質,以阻止在高溫下於載體與薄片之間形成強的永久共價鍵。產生可調表面能量且覆蓋表面羥基以阻止共價鍵之形成的一種方式為例如氟聚合物膜之電漿聚合物膜之沉積。電漿聚合於大氣壓或減壓及電漿激發(DC或RF平行板、感應耦合電漿(Inductively Coupled Plasma;ICP)電子迴旋共振(Electron Cyclotron Resonance;ECR)下游微波或RF電漿)下自源氣體沉積薄聚合物膜,該等源氣體例如氟碳化合物源(包括CF4、CHF3、C2F6、C3F6、C2F2、CH3F、C4F8、氟氯化碳或氫氟氯化碳),例如烷烴之烴(包括甲烷、乙烷、丙烷、丁烷),烯烴(包括乙烯、丙烯),炔烴(包括乙炔),及芳族化合物(包括苯、 甲苯),氫,及其他氣源,例如SF6。電漿聚合產生高度交聯材料之層。對反應條件及源氣體之控制可用於控制膜厚度、密度及化學性質,以特製用於所要應用之官能基。
第5圖展示利用Oxford ICP380蝕刻工具(可購自Oxford Instruments,Oxfordshire UK)自CF4-C4F8混合物沉積的電漿聚合氟聚合物(plasma polymerized fluoropolymer;PPFP)膜之總表面能量(線502)(包括極性分量(線504)及分散分量(線506))。膜係沉積於Eagle XG®玻璃片上,且光譜式橢圓偏光術展示膜為1-10nm厚。如自第5圖所見,用含有小於40% C4F8之電漿聚合氟聚合物膜處理的玻璃載體展現>40mJ/m2之表面能量,且在室溫下藉由凡得瓦力接合或氫鍵結於薄玻璃與載體之間產生受控制接合。當在室溫下初始地接合載體及薄玻璃時,觀察到受促進的接合。亦即,當將薄片置放於載體上,且在一點處將其壓在一起時,波前跨於載體行進,但處於比對其上不具有表面改質層之SC1處理表面所觀察到的速度更低的速度下。受控制接合足以耐受所有標準FPD製程,包括真空製程、濕式製程、超音波製程及至多600℃之熱製程,亦即此受控制接合順利完成600℃處理試驗,而無薄玻璃自載體之移動或脫層。脫接合伴隨有如上所述利用刀片及/或KaptonTM膠帶之剝離。兩個不同PPFP膜(如上所述沉積)之製程相容性展示於表3中。實例3a之PPFP 1係利用C4F8/(C4F8+CF4)=0形成,亦即,利用CF4/H2且不利用C4F8形成,且實例3b之PPFP 2係利用C4F8/(C4F8+CF4)=0.38來沉積。兩種類型之PPFP膜在真空處理試驗、SRD處理試 驗、400℃處理試驗及600℃處理試驗中保全。然而,在PPFP 2之20min超音波清潔之後,觀察到脫層,從而指示不足以耐受此種處理之黏著力。儘管如此,PPFP2之表面改質層可適用於如其中超音波處理並非必需的一些應用。
在以上實例3a及3b中,載體及薄片之每一者為Eagle XG®玻璃,其中載體為630微米厚的150mm直徑SMF晶圓,且薄片為100mm平方100微米厚。由於表面改質層之小厚度,幾乎不存在除氣之風險,該除氣可引起裝置製作之污染。另外,因為表面改質層不呈現降級,所以又存在甚至更小的除氣風險。此外,如表3所指示,薄片之每一者係於在150℃下於真空中歷時一個小時之熱處理之前使用SC1製程來清潔。
可以不同方式作用來控制表面能量之其他材料可用作表面改質層,以便控制薄片與載體之間的室溫接合力及高溫接合力。例如,可產生受控制接合之接合表面可藉由矽烷處理玻璃載體及/或玻璃薄片來產生。選擇矽烷以便產生適合表面能量,且以便具有用於應用之足夠熱穩定性。欲處理之載體或薄玻璃可藉由例如以下者之製程來清潔:O2電漿或UV-臭氧,及SC1或標準清潔二(standard clean two;SC2,如此項技術中已知的)清潔,以便移除將干擾與表面矽醇基反應之矽烷的有機物及其他雜質(例如,金屬)。亦可使用基於其他 化學方法之洗滌劑,例如,HF或H2SO4洗滌化學方法。載體或薄玻璃可經加熱以在矽烷施加(如以上所論述,結合HMDS之表面改質層一起施加)之前控制表面羥基濃度,及/或可在矽烷應用之後加熱以完成與表面羥基之矽烷縮合。可在接合之前使矽烷化之後未反應羥基之濃度足夠低,以便阻止400℃之溫度下薄玻璃與載體之間的永久接合,亦即,以便形成受控制接合。以下描述此種方法。
實例4a
其接合表面經O2電漿及SC1處理之玻璃載體隨後用甲苯中之1%十二烷基三乙氧基矽烷(dodecyltriethoxysilane;DDTS)處理,且在150℃下於真空中退火1hr以完成縮合。DDTS處理表面展現45mJ/m2之表面能量。如表4所示,玻璃薄片(已經SC1清潔且在400℃下於真空中加熱一個小時)接合至載體接合表面,該載體接合表面上具有DDTS表面改質層。此物件在濕式製程試驗及真空製程試驗中保全,但由於矽烷之熱分解而於載體下方形成氣泡的緣故,未在超過400℃之熱製程中保全。對所有直鏈烷氧基及氯烷基矽烷R1xSi(OR2)y(Cl)z(其中x=1至3且y+z=4-x)均預期此熱分解,而產生良好熱穩定性之塗層的甲基、二甲基及三甲基矽烷(x=1至3,R1=CH3)除外。
實例4b
其接合表面經O2電漿及SC1處理之玻璃載體隨後用甲苯中之1% 3,3,3,三氟丙基三甲氧基矽烷(trifluoropropyltriethoxysilane;TFTS)處理,且在150℃下於 真空中退火1hr以完成縮合。TFTS處理表面展現47mJ/m2之表面能量。如表4所示,玻璃薄片(已經SC1清潔且隨後在400℃下於真空中加熱一個小時)接合至載體接合表面,該載體接合表面上具有TFTS表面改質層。此物件在真空製程試驗、SRD製程試驗及400℃製程試驗中保全,而無玻璃薄片與玻璃載體之永久接合。然而,600℃試驗由於矽烷之熱分解而產生形成於載體下方之氣泡。由於丙基之有限熱穩定性,因而此並不意外。儘管此樣本在600℃試驗中由於起泡而失效,但是此實例之材料及熱處理可用於其中可耐受氣泡及其不利效應之一些應用,該等不利效應例如表面平坦度之減小,或增加的波紋度。
實例4c
其接合表面經O2電漿及SC1處理之玻璃載體隨後用甲苯中之1%苯基三乙氧基矽烷(phenyltriethoxysilane;PTS)處理,且在200℃下於真空中退火1hr以完成縮合。PTS處理表面展現54mJ/m2之表面能量。如表4所示,玻璃薄片(已經SC1清潔且隨後在400℃下於真空中加熱一個小時)接合至載體接合表面,該載體接合表面具有PTS表面改質層。此物件在真空製程、SRD製程及至多600℃之熱製程中保全,而無玻璃薄片與玻璃載體之永久接合。
實例4d
其接合表面經O2電漿及SC1處理之玻璃載體隨後用甲苯中之1%二苯基二乙氧基矽烷(diphenyldiethoxysilane;DPDS)處理,且在200℃下於真空中 退火1hr以完成縮合。DPDS處理表面展現47mJ/m2之表面能量。如表4所示,玻璃薄片(已經SC1清潔且隨後在400℃下於真空中加熱一個小時)接合至載體接合表面,該載體接合表面具有DPDS表面改質層。此物件在真空試驗及SRD試驗以及至多600℃之熱製程中保全,而無玻璃薄片與玻璃載體之永久接合。
實例4e
其接合表面經O2電漿及SC1處理之玻璃載體隨後用甲苯中之1% 4-五氟苯基三乙氧基矽烷(pentafluorophenyltriethoxysilane;PFPTS)處理,且在200℃下於真空中退火1hr以完成縮合。PFPTS處理表面展現57mJ/m2之表面能量。如表4所示,玻璃薄片(已經SC1清潔且隨後在400℃下於真空中加熱一個小時)接合至載體接合表面,該載體接合表面具有PFPTS表面改質層。此物件在真空試驗及SRD試驗以及至多600℃之熱製程中保全,而無玻璃薄片與玻璃載體之永久接合。
在以上實例4a至4e中,載體及薄片之每一者為Eagle XG®玻璃,其中載體為630微米厚的150mm直徑SMF晶圓,且薄片為100mm平方100微米厚。矽烷層為自組裝單 層(self-assembled monolayer;SAM),且因此大約為小於約2nm厚。在以上實例中,SAM係使用具有芳基或烷基非極性尾部及單、二或三醇鹽頭部基團之有機矽烷產生。此等矽烷與玻璃上之矽醇表面反應,以直接連接有機官能基。非極性頭部基團之間的較弱相互作用組織出有機層。由於表面改質層之小厚度,幾乎不存在除氣之風險,該除氣可引起裝置製作之污染。另外,因為在實例4c、4d及4e中表面改質層不呈現降級,所以又存在甚至更小的除氣風險。此外,如表4所指示,玻璃薄片之每一者係於在400℃下於真空中歷時一個小時之熱處理之前使用SC1製程來清潔。
如自實例4a-4e之比較可見,將接合表面之表面能量控制至40mJ/m2以上以便促進初始室溫接合並非為產生受控制接合之唯一考慮,該受控制接合耐受FPD處理且仍允許薄片自載體移除而無破壞。確切言之,如自實例4a-4e所見,每一載體具有40mJ/m2以上之表面能量,從而促進初始室溫接合以便物件在真空處理及SRD處理中保全。然而,實例4a及4b未順利完成600℃處理試驗。如以上所指出,對某些應用而言,對接合亦為重要的是:在至多高溫(例如,400℃、500℃或600℃,至多650℃,如對物件經設計來用於其中之製程而言為適當的)之處理中保全,而該接合不降級至其中其不足以將薄片及載體固持在一起之點,且亦控制在此等高溫下出現的共價鍵結,以便薄片與載體之間不存在永久接合。如藉由表4中之實例所示,芳族矽烷,尤其苯基矽烷適用於 提供受控制接合,該受控制接合將促進初始室溫接合,且將耐受FPD處理並仍允許薄片自載體移除而無破壞。
氟碳化合物表面改質層及其處理
使用電漿聚合膜來調節接合表面之表面能量且在接合表面上產生替代極性接合位點之另一實例為:表面改質層薄膜自氟碳化合物氣源之混合物的沉積,且隨後藉由使用各種方法於表面改質層上形成基於氮之極性基團。
表面改質層可藉由氟碳化合物氣源之各種混合物之電漿聚合形成,以便提供各種表面能量,包括大於約50mJ/m2之表面能量,如藉由擬合由S.Wu(1971)所開發適於三種不同試驗液體(在此狀況下為去離子水(水)、十六烷(hexadecane;HD)及二碘甲烷(di-iodomethane;DIM)之接觸角(CA)的理論模型所計算。(參考文獻:S.Wu,J.Polym.Sci.C,34,19,1971,下文稱為「Wu模型」)。載體接合表面上大於約50mJ/m2之表面能量對將載體接合至薄玻璃片有益,因為其促進載體與薄玻璃片之初始室溫接合,且允許實現載體/薄玻璃片之FPD處理而其在製程中不會脫接合。在一些狀況下,取決於表面改質層組成物及沉積條件,具有此表面能量之表面改質層能夠允許藉由剝離而脫接合,甚至在至多約600℃且在一些狀況下甚至更高之溫度下處理載體及薄玻璃片之後如此。一般而言,源氣體包括蝕刻氣體及聚合物形成氣體之混合物。如以上結合第5圖所論述,蝕刻氣體可為CF4,而聚合物形成氣體可為C4F8。或者,如第13圖所示,蝕刻氣體可為CF4,而聚合物形成氣體可為CHF3。如第5圖及第13圖兩者所示, 一般而言,聚合物形成氣體之百分比愈低,所得接合表面之總表面能量502、1312愈高,其中總表面能量為極性分量504、1314(三角形資料點)及分散分量506、1316(正方形資料點)之組合。在電漿聚合期間聚合物形成氣體(例如CHF3)之百分比可以類似方式藉由使用惰性氣體(例如Ar)來控制,以控制所得表面能量,如第13A圖所示,該圖展示以mJ/m2計之總表面能量。儘管不希望受理論約束,但惰性氣體可充當蝕刻劑、稀釋劑或兩者兼有。在任何情況下,顯然可藉由單獨的CHF3在氣流中無任何CF4的情況下改質載體玻璃之表面能量。表面改質層之沉積可在大氣壓或減壓中發生,且利用電漿激發來執行,該電漿激發例如DC或RF平行板、感應耦合電漿(ICP)、電子迴旋共振(ECR)、下游微波或RF電漿。電漿聚合表面改質層可安置於載體、薄片或兩者上。如以上結合表3之實例所指出,電漿聚合產生高度交聯材料之層。對反應條件及源氣體之控制可用於控制表面改質層膜厚度、密度及化學性質,以特製用於所要應用之官能基。而且,藉由控制膜性質,載體接合表面之表面能量可獲調節。然而,表面能量正是控制接合程度中的一個考慮。
受控制接合或適度接合之程度可另外藉由控制用以達成所要表面能量之極性接合來調節。控制極性接合的一種方式為將表面改質層(如上形成)暴露於另一處理以併入極性基團,該另一處理例如藉由含氮電漿之處理。此種處理經由基於氮之極性官能基於薄表面改質層上之形成來增加黏著力。在後續處理期間形成的基於氮之極性基團不與矽醇基縮 合以引起永久共價鍵結,且因此能夠在執行來將膜或結構安置於薄片上之後續處理期間,控制薄片與載體之間的接合程度。形成基於氮之極性基團之方法包括例如氮電漿處理(實例5b-d、k、l),氨電漿處理(實例5e、f、h-j),及氮/氫電漿處理(實例5m)。
觀察到接合有用含氮電漿處理之表面改質層之薄玻璃片及玻璃載體在600℃下之退火之後不永久黏附,亦即,其順利完成600℃溫度測試之部分(c)。此外,此適度接合足夠強以在FPD處理(包括上述真空測試(1)、濕式製程測試(2)及超音波測試(5))中保全,且保持可藉由足夠剝離力之施加而脫接合。脫接合允許薄玻璃上製作的裝置之移除,及載體之重複使用。表面改質層之氮電漿處理可獲得以下優點中之一或多者:高表面能量及低水接觸角,其在初始接合之後產生薄片與載體之間的強黏附,而氣泡缺陷最少(參見實例5b-f及i-l);熱處理時缺陷形成之減少,其係歸因於表面改質層之改良熱穩定性(實例5c、5d、5k、5l,亦即,用N2處理之樣本展現降低的氣泡形成,如視覺上所觀察);及/或較容易的製程窗,因為表面改質層之分離、其形成及處理均允許不同製程最佳化載體/表面改質層以及表面改質層/薄玻璃界面(實例5b-f及h-m)。亦即,表面改質層之基礎材料及其自身之沉積製程可經調配以便最佳化表面改質層與載體接合表面之間的相互作用。隨後,獨立而言,在表面改質層於載體上之沉積之後,表面改質層之性質可藉由處理得以改質,以最佳化表面改質層與欲安置於其上之薄片之相互作用。在以下表5之 實例中,各種條件用於將電漿聚合膜沉積於玻璃載體上。玻璃載體係由Corning® Eagle XG®鋁硼矽酸鹽不含鹼金屬顯示玻璃(可購自Corning Incorporated,Corning NY)製成之基板。在表面改質層沉積之前,使用SC1及/或SC2化學方法及標準清潔技術來清潔載體。在Oxford Plasmalab 380感應耦合電漿(ICP)系統中沉積膜,該系統於線圈及平台兩者上皆具有13.56MHz RF源,且平台溫度固定於30C下。在具有三極體電極組態模式之STS多工PECVD設備(可購自SPTS,Newport,UK)中執行用於樣本5a-5j之表面改質層之氮及氨電漿處理,其中載體置放於加熱至200C之平台上,將指定瓦特數之380kHz RF能量施加於該平台,該平台上方安置有噴淋頭,將指定瓦特數之13.5MHz RF能量施加於該噴淋頭。對施加於Oxford ICP及STS PECVD兩者中之能量而言,將數值展示為#/#W,其中斜線之前的數值為施加於頂部電極(ICP上之線圈或PECVD上之噴淋頭)之瓦特數,且斜線之後的數值為施加於平台之瓦特數。在僅展示一個數值的情況下,此數值係針對頂部電極。氣體進入腔室中之流率如表5所示(流率係計為每分鐘之標準立方公分數-sccm)。因此,例如,表5之「表面處理」欄中對實例5g之記法解讀如下:在Oxford ICP設備中,使30sccm之CF4、10sccm之C4F8及20sccm之H2一起流入具有5mTorr之壓力的腔室中;將1000W之13.5MHz RF能量施加於線圈,將50W之13.56MHz RF能量施加於其上置放有載體之30C平台;且沉積時間為60秒。表面處理欄中對剩餘實例之記法可以類似方式解讀。另舉一例,在「電漿 處理」欄中,對實例5h中之處理之記法解讀如下:在根據實例5h之表面處理欄中之參數形成表面改質層之後,隨即將100sccm之NH3供應至具有1托之壓力及200℃之溫度的STS PECVD腔室;將100W之13.56MHz施加於噴淋頭;且進行處理30秒。「電漿處理」欄中對剩餘實例之記法可以類似方式解讀。表面能量,即極性分量及分散分量係藉由擬合適於三種不同試驗液體(在此狀況下為去離子水(水)、十六烷(HD)及二碘甲烷(DIM))之接觸角(CA)之Wu模型、以mJ/m2(毫焦耳每平方公尺)來計算。對表面能量而言,展示極性分量(P)及分散分量(D),以及總能量(T)。
在表5之實例5b-5f及5h-5l中,基於氮之極性基團形成於表面改質層上,其中此等極性基團在載體與薄片(例如,玻璃載體與玻璃薄片)之間產生適度黏著以產生暫時接合,該暫時接合足夠強以在FPD處理中保全,但足夠弱以允許脫接合。在處理之後,表面改質層之表面上的極性基團濃度大於表面改質層本體中之彼極性基團濃度。
藉由NH3電漿之處理之實例(5e、f及h-j)。
在ICP電漿系統中,在5mT下利用1500W線圈及50W平台RF功率自30sccm CF4、10sccm C4F8、20sccm H2沉積適度表面能量SML(對照實例5a),且在5mT下利用1000W線圈及50W平台RF功率自30sccm CF4、10sccm C4F8、20sccm H2沉積另一適度表面能量SML(對照實例5g)。未處理氟聚合物膜之表面能量展示於表5中。將樣本轉移至STS PECVD系統,且利用表5中列出之條件暴露於氨電漿(實例5e、5f、5h-j)。如藉由Wu方程式利用DI水及十六烷所量測的表面張力取決於氨電漿條件而自約40mJ/m2增加至約65-80mJ/m2。使薄玻璃片接合至此等NH3電漿改質樣本之每一者。在600℃溫度測試之後,視覺上觀察到氣泡區域幾乎無變化(未執行正式的除氣試驗),且所有此等樣本中之薄玻璃片易於由手來脫接合。
藉由N2電漿之處理之實例(5c、d、k、l)。
在ICP電漿系統中,在5mT下利用1500W線圈及50W平台RF功率自30sccm CF4、10sccm C4F8、20sccm H2沉積適度表面能量SML(對照實例5a),且在5mT下利用 1000W線圈及50W平台RF功率自30sccm CF4、10sccm C4F8、20sccm H2沉積另一適度表面能量SML(對照實例5g)。未處理氟聚合物膜之表面能量展示於表5中。在ICP系統中利用表5中列出之條件將樣本5c、d、k、l進行N2電漿原位處理。表面能量取決於電漿條件而自約40mJ/m2增加至超過70mJ/m2。使薄玻璃片接合至此等樣本之每一者。所有樣本之薄玻璃片在600℃溫度測試之後易於由手來脫接合。
藉由同時N2及H2電漿之處理之實例(5m)。
在ICP電漿系統中,在5mT下利用1000W線圈及50W平台RF功率自30sccm CF4、10sccm C4F8、20sccm H2沉積適度表面能量SML(對照實例5g)。未處理氟聚合物膜之表面張力展示於表5中。在ICP系統中利用表5中列出之條件使樣本5m經受同時的N2+H2電漿原位處理。未展示出不同於未處理氟聚合物膜之表面能量。
藉由順序N2及H2電漿之處理之實例(5b)。
在ICP電漿系統中,在5mT下利用1500W線圈及50W平台RF功率自30sccm CF4、10sccm C4F8、20sccm H2沉積適度表面能量SML(對照實例5a)。未處理氟聚合物膜之表面能量展示於表5中。隨後在ICP系統中利用表5中列出之條件使此樣本經受順序N2及H2電漿原位處理。表面能量升高至超過70mJ/m2。此值類似於利用氨電漿或氮電漿獲得之值。將薄玻璃片接合至此樣本,且經歷600℃溫度測試,此後,薄玻璃片可自載體脫接合,亦即,此樣本順利完成600℃處理測試之部分(c)。
XPS資料揭露氨電漿處理及氮電漿處理對表面改質層之影響。特定而言,氨電漿處理粗略地使表面改質層之碳含量減半,且減小氟濃度約四分之一並增加約0.4at%氮。亦可見矽、氧及其他玻璃成分增加,此與氨電漿移除氟聚合物同時將小量氮物質增加至表面一致。氮電漿處理將氮含量增加至2at%,而且類似於氨使碳含量及氟含量減小。矽、氧及其他玻璃成分亦增加,此與膜厚度之減小一致。因此,證實氨電漿處理及氮電漿處理將極性基團添加至表面改質層,而且減小表面層厚度。表面改質層之所得厚度通常小於20nm。因此,有效表面改質層將通常平衡表面改質層厚度與後續表面處理時間來達成受控制接合。
如上所述根據表5之實例接合至載體之薄玻璃片為由Corning® Willow®玻璃製成之基板,該玻璃為鋁硼矽酸鹽不含鹼金屬玻璃(可購自Corning Incorporated,Corning NY)且具有100、130及150微米之厚度。在接合之前,使用氧電漿接著SC1及/或SC2化學方法及標準清潔技術來清潔Willow®玻璃。
在表5之實例中,儘管其上安置有表面改質層之接合表面為玻璃,但無需為此種狀況。取而代之,接合表面可為具有與玻璃類似的表面能量及性質之另一適合材料,例如,矽、多晶矽、單晶矽、陶瓷、玻璃-陶瓷、藍寶石或石英。
在表3及5之實例中證明:小於20nm厚之電漿聚合氟聚合物表面改質層之使用控制玻璃接合表面之接合能量。玻璃薄片與此種其上具有表面改質層之玻璃載體之初始 接合類似於將玻璃接合至玻璃:接合前端由於薄片與經塗佈玻璃載體之間的強的相互吸引而快速地移動。此相互吸引之物理成因為在具有或不具有氫鍵結分子水的情況下,薄玻璃片上之極性基團(幾乎為矽醇基)與載體之表面改質層上之極性基團之間的偶極-偶極(Keesom)相互作用。然而,氟聚合物表面改質處理阻止在有關於裝置製作的至多600℃之溫度下薄片與載體之永久接合。為對較厚玻璃之低產量酸薄化提供強制成本優點,載體必須為可重複使用的。此為使用氟化表面改質層時之關注點,因為氟聚合物沉積製程蝕刻載體表面。雖然已利用彼等表面改質層證明載體之重複使用,但表面粗糙度自0.3nm增加至約1.2nm Ra。粗糙度之此種增加可由於限制接合區域以致減少接合能量(已在表面改質層之沉積、移除及再沉積之後重複使用的載體上之接合能量)而影響載體可重複使用性。此外,表面粗糙度增加可因不符合對引入玻璃之粗糙度之規範而限制在其他應用中之載體重複使用,該等其他應用諸如使用載體自身作為顯示基板之應用。亦已觀察到:在將一對接合薄玻璃片及載體於>300℃之溫度下退火之後,已於薄玻璃片之接合表面側上誘導粗糙度。薄片接合表面上之增加粗糙度可能係由於薄玻璃接合表面藉由來自表面改質層處理的載體接合表面之脫附含氟氣體的蝕刻。在一些狀況下,接合表面之粗糙度之此種增加不為連續的。在其他情況下,儘管粗糙度增加較小,但此種增加可為不可接受的,因為其可限制例如載體之重複使用。另外,可 存在不期望在某些製造操作中使用氟化氣體之原因,例如健康及安全性。
因此,可存在以下情況,其中合乎需要是使用替代極性接合來產生足夠的表面能量(例如,如以上結合表5中之實例所論述,>50mJ/m2),以用於產生受控制接合,亦即,足夠強以在FPD處理中保全,但允許薄片與載體分離而無破壞(甚至在高溫處理之後,例如在400℃以上或600℃以上之處理之後)之接合。因此,發明人探查替代方式來形成適合極性接合,該等極性接合可用於薄片與載體之受控制接合。
發明人探查到:使用烴聚合物或更通常碳質層將可利用來蝕刻玻璃,該碳質層使得幾乎沒有或沒有氟。然而,必須克服若干關鍵挑戰。對碳質層與玻璃接合而言,碳質層表面能量應大於約50mJ/m2。為提供足夠強以在濕式處理中保全而在薄片與載體之間沒有液體滲浸之接合,在一些情況下,碳質表面改質層應具有65mJ/m2或更高之表面能量。在65mJ/m2下,載體(用於接合至薄玻璃片)之表面能量足以阻止在後續處理期間載體與薄片之間的液體(例如水)滲浸。利用約50mJ/m2之表面能量,與薄玻璃片之接合可足以適於大多數FPD處理,但可需要熱處理來阻止液體滲浸。確切言之,烴層之極性分量必須增加以便達成直接與薄玻璃片之矽醇基的或藉由氫鍵結分子水介導的強偶極-偶極接合。碳質層亦應展現熱、化學及真空相容性,以便其將適用於載體-薄片材物件,該物件將經歷至少非晶矽(amorphous silicon;aSi)TFT、濾色器(CF)或電容性觸控裝置製作製程。此似乎為可能的, 因為如聚乙烯之脂族烴在惰性氣氛中展現大的熱穩定性。不同於可在某些情況下解聚的氟聚合物,HDPE只是炭化。儘管HDPE可炭化,但若聚合物之厚度足夠低,仍可透過其進行觀看。最後一關注點為:機械穩定性及濕式製程相容性似乎需要比可利用單獨凡得瓦力所達成之黏著更高的黏著。應明白的是,約250mJ/m2至約275mJ/m2接合能量對在使用玻璃薄片的情況下、在濕式超音波處理中保全而言為有益的。此種大的接合能量可歸因於粒子及邊緣缺陷,而非接合製程之基本要求。在最佳接合下,兩個清潔玻璃表面可產生約150mJ/m2之接合能量。需要一些共價鍵結來達成250-275mJ/m2接合強度。
表6-12之實例中探查的表面改質層為基於不含氟下源材料之有機表面改質層。如將在以下更詳細地描述,可在玻璃載體上產生非晶形烴層(或僅僅為碳質層)(表6),但表面能量未對清潔玻璃表面產生用以在FPD製程中保全的足夠黏著。此並不意外,因為基於甲烷及氫之有機表面改質層不含強極性基團。為增加可利用於接合至薄玻璃片之極性基團,在電漿聚合期間增加額外氣體,且可達成足夠的表面能量(表7)。然而,儘管在一些狀況下可達成足夠的表面能量,但此單步製程涉及在獲得源材料之適當混合物方面的一定量之複雜性。因此,開發兩步製程,其中:在第一步驟中,形成表面改質層(例如,類似於表6之實例中進行此步驟之方式,自兩種氣體形成);然後,在第二步驟中,以各種方式處理表面改質層來增加可利用於接合至薄玻璃片之表面能量及極性基 團。儘管更多步驟,但此製程對管理來獲得合乎需要結果而言較不複雜。處理增加將接合至薄片之表面改質層之表面處的極性基團。因此,極性基團可利用於將碳質層接合至薄片,儘管表面改質層之本體可在一些情況下不含有極性基團。處理初始表面改質層之各種方式在表8-12之實例中探查,其中:在表8之實例中,用NH3處理表面改質層;在表9之實例中,用N2處理表面改質層;在表10之實例中,順序地用N2隨後H2處理表面改質層;表11之實例,順序地用N2-O2且隨後用N2處理表面改質層;在表12之實例中,用N2-O2處理表面改質層;以及在表12之後的替代實例中,單獨用O2處理表面改質層。此等實例展示氮及氧極性基團之使用,但其他極性基團可為可能的。
利用烴(例如,甲烷CH4)及視需要氫(例如,H2)形成碳質表面改質層
使用電漿聚合膜來調節接合表面之表面能量且覆蓋接合表面上之表面羥基之另一實例為表面改質層薄膜自含碳氣體(例如,烴氣體,例如甲烷)之沉積,在電漿聚合期間視需要連同另一氣體(例如,氫H2)一起使用。然而,在大多數狀況下,氫氣流為較佳的,因為在其他情況下,沉積材料趨向於為石墨的、暗色的且具有低的能帶隙。此點在表6-12及16之全部碳質表面改質層實例中為相同的。表面改質層可在大氣壓或減壓中形成,且利用電漿激發來執行,該電漿激發例如DC或RF平行板、感應耦合電漿(ICP)、電子迴旋共振(ECR)、下游微波或RF電漿。電漿聚合表面改質層可安置於 載體、薄片或兩者上。如以上結合表3之實例所指出,電漿聚合產生高度交聯材料之層。對反應條件及源氣體之控制可用於控制表面改質層膜厚度、密度及化學性質,以特製用於所要應用之官能基,且藉由控制膜性質,接合表面之表面能量可獲調節。表面能量可經調節以便控制接合之程度,亦即,以便在執行來將膜或結構安置於薄片上之後續處理期間,阻止薄片與載體之間的永久共價鍵結。
在以下表6之實例中,各種條件用於將電漿聚合膜沉積於玻璃載體上。在表6之實例中探查的沉積參數為:氣體比(甲烷:氫);壓力、ICP線圈及RF偏置功率。玻璃載體係由Corning® Eagle XG®鋁硼矽酸鹽不含鹼金屬顯示玻璃(可購自Corning Incorporated,Corning NY)製成之基板。在膜沉積之前,使用SC1及/或SC2化學方法及標準清潔技術來清潔載體。在Oxford Plasmalab 380 ICP(可購自Oxford Instruments,Oxfordshire UK)感應耦合電漿(ICP)工具中沉積膜,其中載體置放於平台上,將指定瓦特數(在「RF偏置」欄中指出)之13.56MHz RF能量施加於該平台,該平台上方安置有線圈,將指定瓦特數(在「線圈」欄中指出)之13.5MHz RF能量施加於該線圈。甲烷源(CH4)及氫源(H2)進入腔室中之流率分別如CH4及H2欄所示(流率係計為每分鐘之標準立方公分數--sccm)。使CH4及H2氣體一起流動。亦在「H2/CH4」欄中展示H2:CH4源氣體之比率,且在「壓力」欄中展示腔室之壓力(以mTorr計)。因此,例如,表6中對實例6a之記法解讀如下:在Oxford ICP設備中,使6.7sccm之CH4及33.3sccm之H2一起流入 具有20mTorr之壓力的腔室中;將1500W之13.5MHz RF能量施加於線圈,且將300W之13.56MHz RF能量施加於其上置放有載體之平台。對所有沉積而言,平台溫度為30C。對剩餘實例之記法可以類似方式解讀。表面能量係藉由使用三種不同試驗液體(在此狀況下為去離子水(欄「W」中所示)、十六烷(欄「H」中所示)及二碘甲烷(欄「DIM」中所示))之接觸角(CA)及Wu模型、以mJ/m2(毫焦耳每平方公尺)來計算。對表面能量而言,展示極性分量(P)及分散分量(D),以及總能量(T)。
實例6a-6j之表面能量自約40mJ/m2改變至約50mJ/m2。然而,基本上,此等實例之表面能量小於約50mJ/m2(視為適合於可控制地將玻璃載體接合至玻璃薄片)。表面改質層之厚度為約6nm。此等實例在載體與薄玻璃片之間不產生在FPD製程中保全之足夠黏著,亦即,在真空測試期間觀察到該載體及該薄玻璃片起泡,且在濕式製程測試期間觀察到具有熱水滲浸。
儘管此等表面改質層自身不適用於接合至薄玻璃片,但其可用於其他應用,例如,將聚合物薄片施加至玻璃載體,以用於將電子或其他結構製程至薄聚合物片材上,如以下論述。替代地,薄片可為具有聚合物表面之複合物片材,該聚合物表面可接合至玻璃載體。在此情況下,複合物片材可包括玻璃層,該玻璃層上可安置電子或其他結構,而聚合物部分形成用於與玻璃載體之受控制接合的接合表面。
在表6之實例中,儘管其上安置有表面改質層之接合表面為玻璃,但無需為此種狀況。取而代之,接合表面可為具有與玻璃類似的表面能量及性質之另一適合材料,例如,矽、多晶矽、單晶矽、陶瓷、玻璃-陶瓷、藍寶石或石英。
利用非氟化源之混合物的表面改質層之單步形成
使用電漿聚合膜來調節接合表面之表面能量且覆蓋接合表面上之表面羥基之另一實例為表面改質層薄膜自非氟化氣源(包括含碳氣體,例如烴)之混合物之沉積。表面改質層之沉積可在大氣壓或減壓中發生,且利用電漿激發來執行,該電漿激發例如DC或RF平行板、感應耦合電漿(ICP)、電子迴旋共振(ECR)、下游微波或RF電漿。電漿聚合表面改質層可安置於載體、薄片或兩者上。如以上結合表3之實例所指出,電漿聚合產生高度交聯材料之層。對反應條件及源氣體之控制可用於控制表面改質層膜厚度、密度及化學性質,以特製用於所要應用之官能基,且藉由控制膜性質,接合表面之表面能量可獲調節。表面能量可經調節以便控制接合之程 度,亦即,以便在執行來將膜或結構安置於薄片上之後續處理期間,阻止薄片與載體之間的永久共價鍵結。
在以下表7之實例中,各種條件用於將電漿聚合膜沉積於玻璃載體上。玻璃載體係由Corning® Eagle XG®鋁硼矽酸鹽不含鹼金屬顯示玻璃(可購自Corning Incorporated,Corning NY)製成之基板。在膜沉積之前,使用SC1及/或SC2化學方法及標準清潔技術來清潔載體。在Oxford Plasmalab 380 ICP(可購自Oxford Instruments,Oxfordshire UK)感應耦合電漿(ICP)組態模式中沉積膜,其中載體置放於平台上,將指定瓦特數(在「RF偏置」欄中指出)之13.56MHz RF能量施加於該平台,該平台上方安置有線圈,將指定瓦特數(在「線圈」欄中指出)之13.5MHz RF能量施加於該線圈。甲烷(CH4)、氮(N2)及氫(H2)源氣體進入腔室中之流率分別如CH4、N2及H2欄所示(流率係計為每分鐘之標準立方公分數--sccm)。使CH4、N2及H2氣體一起流動。亦在「N2/CH4」欄中展示N2:CH4源氣體之比率,且在「壓力」欄中展示腔室之壓力(以mTorr計)。因此,例如,表7中對實例7g之記法解讀如下:在Oxford 380 ICP設備中,使15.4sccm之CH4、3.8sccm之N2及30.8sccm之H2一起流入具有5mTorr之壓力的腔室中;將1500W之13.5MHz RF能量施加於噴淋頭;且將50W之13.56MHz RF能量施加於其上置放有載體之平台。對表7中之所有樣本而言,平台溫度為30C。對剩餘實例之記法可以類似方式解讀。表面能量係藉由使用三種不同試驗液體(在此狀況下為去離子水(欄「W」中所示)、十六烷(欄 「H」中所示)及二碘甲烷(欄「DIM」中所示))之接觸角(CA)及Wu模型、以mJ/m2(毫焦耳每平方公尺)來計算。對表面能量而言,展示極性分量(P)及分散分量(D),以及總能量(T)。另外,「厚度」欄中展示根據對彼特定實例所指出之條件沉積的表面改質層之厚度值(以埃計)。
實例7a展示孤獨自甲烷製成的表面改質層。在此等沉積條件下,甲烷形成的表面改質層於載體上達成僅約44mJ/m2之表面能量。儘管其不處於用於玻璃至玻璃受控制接合之所要水準,但其可適用於將聚合物接合表面接合至玻璃載體。
實例7b至7e展示在N2:CH4之各種比率下、自甲烷及氮之電漿聚合製成的表面改質層。在此等沉積條件下,甲烷-氮形成的表面改質層於載體上達成約61mJ/m2(實例7e)至約64mJ/m2(實例7d)之表面能量。此等表面能量足以將薄玻璃片可控制地接合至玻璃載體。
實例7f展示自甲烷及氫(H2)之電漿聚合製成的表面改質層。在此等沉積條件下,甲烷-氫形成的表面改質層於載體上達成約60mJ/m2之表面能量,該表面能量足以將薄玻璃片可控制地接合至玻璃載體。
實例7g至7j展示自甲烷、氮及氫之電漿聚合製成的表面改質層。在此等沉積條件下,甲烷-氮-氫形成的表面改質層於載體上達成約58mJ/m2(實例7g)至約67mJ/m2(實例7j)之表面能量,該表面能量足以將薄玻璃片可控制地接合至玻璃載體。
觀察到接合有用根據實例7b至7j形成的表面改質層之薄玻璃片及載體在450℃下之退火之後不永久黏附,亦即,其順利完成400℃溫度測試之部分(c)。脫接合允許薄玻璃上製作的裝置之移除,及載體之重複使用。
根據表7之實例(7b至7j)接合至載體中每一者之薄玻璃片為由Corning® Willow®玻璃製成之基板,該玻璃為鋁硼矽酸鹽不含鹼金屬玻璃(可購自Corning Incorporated,Corning NY)且具有100、130及150微米之厚度。在接合之前,使用氧電漿接著SC1及/或SC2化學方法及標準清潔技術來清潔Willow®玻璃。
在表7之實例中,儘管其上安置有表面改質層之接合表面為玻璃,但無需為此種狀況。取而代之,接合表面可為具有與玻璃類似的表面能量及性質之另一適合材料,例如,矽、多晶矽、單晶矽、陶瓷、玻璃-陶瓷、藍寶石或石英。
表7之實例之表面改質層在單步製程中形成。亦即,適當表面能量及極性基團包括係藉由在適當條件自氣體之選擇混合物沉積表面改質層而達成。儘管達成適當氣體及條件,但製程涉及實現適當氣體混合物方面的一定量之複雜性。因此,欲尋找較簡單製程。假設適當表面能量及適當極性基團可自兩步製程達成,其中每一步驟將為簡單及穩定的。確切言之,假設在第一步驟中,將沉積碳質表面改質層,而在第二步驟中,表面改質層將經處理以增加表面能量且產生用於受控制接合之適當極性基團,其中極性基團可更集中於將與薄片接合之表面改質層之表面處,而不使極性基團處於本體材料中。根據表6之實例,獲知壓力及線圈功率對表面能量具有最大影響。此外,獲知膜之厚度呈現為隨偏置增加及壓力減小而增加。因此,根據此等結果,對用以增加表面能量且併入極性基團之處理之進一步探查而言,選擇為起始點的是:20sccm CH4 40sccm H2 5mT 1500/50W 60s之非晶形烴聚合物表面改質層沉積製程,該製程產生厚度為約6.5nm之碳質表面改質層。對基礎表面改質層而言,在第二步驟中執行各種處理,如表8-11之實例中所列明,以便改質欲接合薄片之表面改質層之表面處的極性基團及其濃度。儘管用於表面改質層之起始材料及處理材料之特定實例在以下論 述,通常,碳質層由含碳源形成,且隨後藉由後續處理增加極性基團。類似地,儘管經由實例展示特定極性基團,其他極性基團可為可能的。
極性基團藉由NH3處理引入至碳質表面改質層
使用電漿聚合膜來調節接合表面之表面能量且於該接合表面上產生替代極性接合位點之另一實例為薄表面改質層膜自碳源(例如,甲烷(含碳氣源))及自氫H2之沉積,接著剛剛形成的表面改質層之氮處理。氮處理可利用例如氨電漿處理來執行。表面改質層之沉積可在大氣壓或減壓中發生,且利用電漿激發來執行,該電漿激發例如DC或RF平行板、感應耦合電漿(ICP)、電子迴旋共振(ECR)、下游微波或RF電漿。電漿聚合表面改質層可安置於載體、薄片或兩者上。如以上結合表3之實例所指出,電漿聚合產生高度交聯材料之層。對反應條件及源氣體之控制可用於控制膜厚度、密度及化學性質,以特製用於所要應用之官能基,且藉由控制膜性質,接合表面之表面能量可獲調節。在後續氨電漿處理期間形成的基於氮之極性基團不與矽醇基縮合以引起永久共價鍵結,且因此能夠在執行來將膜或結構安置於薄片上之後續處理期間,控制薄片與載體之間的接合程度。
在以下表8之實例中,各種條件用於將電漿聚合表面改質層膜沉積於玻璃載體上。玻璃載體係由Corning® Eagle XG®鋁硼矽酸鹽不含鹼金屬顯示玻璃(可購自Corning Incorporated,Corning NY)製成之基板。在膜沉積之前,使用SC1及/或SC2化學方法及標準清潔技術來清潔載體。在 Oxford Plasmalab 380 ICP(可購自Oxford Instruments,Oxfordshire UK)中以感應耦合電漿(ICP)組態模式沉積表面處理,其中載體置放於平台上,將指定瓦特數之13.56MHz RF能量施加於該平台,該平台上方安置有線圈,將指定瓦特數之13.5MHz RF能量施加於該線圈。所對施加能量而言,更通常,將數值展示為#/#W,其中斜線之前的數值為施加於線圈(噴淋頭)之瓦特數,且斜線之後的數值為施加於平台之瓦特數。在僅展示一個數值的情況下,此數值係針對線圈。氣體進入腔室中之流率如表8所示(流率係計為每分鐘之標準立方公分數-sccm)。在表面改質層(SML)之電漿處理期間,腔室之溫度為30℃。因此,例如,表8之「表面處理」欄中對實例8a之記法解讀如下:在Oxford ICP設備中,使40sccm之CH4流入具有5mTorr之壓力的腔室中;將1500W之13.5MHz RF能量施加於噴淋頭;將50W之13.56MHz RF能量施加於其上置放有載體之平台;腔室處於30℃之溫度下;且沉積時間為60秒。表面處理欄中對剩餘實例之記法可以類似方式解讀,例外之處在於表面處理係於STS多工PECVD(可購自SPTS,Newport,UK)中執行。載體置放於保持在200C下之接地電極上,且經由13.56MHz RF驅動噴淋頭引入氣體。另舉一例,在「電漿處理」欄中,對實例8a中之處理之記法解讀如下:在根據實例8a之表面處理欄中之參數形成表面改質層之後,隨即將100sccm之NH3供應至具有1托之壓力及200℃之溫度的腔室;將300W之13.56MHz RF施加於噴淋頭,且進行處理60秒。「電漿處理」欄中對剩餘實例之記法 可以類似方式解讀。表面能量係藉由使用三種不同試驗液體(在此狀況下為去離子水、十六烷(H)及二碘甲烷(DIM))之接觸角及Wu模型、以mJ/m2(毫焦耳每平方公尺)來計算。對表面能量而言,展示極性分量(P)及分散分量(D),以及總能量(T)。
實例8a及8b展示電漿聚合烴表面改質層,其隨後用含氮氣體(氨)處理。在實例8a的狀況下,氨自身使用300W之功率,而在實例8b中,氨用氦稀釋且在50W之較低功率下進行聚合。然而,在每一狀況下,在載體接合表面上獲得足夠的表面能量,以允許其可控制地接合至薄玻璃片。實例8c及8d展示電漿聚合烴表面改質層,其係藉由含烴氣體(甲烷)及含氫氣體(H2)形成,且隨後用含氮氣體(氨)後續處理。在實例8c的狀況下,氨自身使用300W之功率,而在實例8d中,氨用氦稀釋且在50W之較低功率下進行聚合。觀察到接合有用根據實例8a-8d形成的表面改質層之薄玻璃片及載體在450℃下之退火之後不永久黏附,亦即,其能夠在400℃溫度測試之部分(c)中保全。不對此等樣本執行除氣測試。此外,此等實例足夠強以在FPD處理(包括上述真空測試(1)、濕式製程測試(2)及超音波測試(5))中保全,且保持可藉由足夠剝離力之施 加而脫接合。脫接合允許薄玻璃上製作的裝置之移除,及載體之重複使用。
根據表8之實例接合至載體中每一者之薄玻璃片為由Corning® Willow®玻璃製成之基板,該玻璃為鋁硼矽酸鹽不含鹼金屬玻璃(可購自Corning Incorporated,Corning NY)且具有100、130及150微米之厚度。在接合之前,使用氧電漿接著SC1及/或SC2化學方法及標準清潔技術來清潔Willow®玻璃。
在表8之實例中,儘管其上安置有表面改質層之接合表面為玻璃,但無需為此種狀況。取而代之,接合表面可為具有與玻璃類似的表面能量及性質之另一適合材料,例如,矽、多晶矽、單晶矽、陶瓷、玻璃-陶瓷、藍寶石或石英。
極性基團藉由N2處理引入至碳質表面改質層
使用電漿聚合膜來調節接合表面之表面能量且於該接合表面上產生替代極性接合位點之另一實例為表面改質層薄膜自碳源(例如,含碳氣體,例如甲烷)及自氫H2之沉積,接著剛剛形成的表面改質層之氮處理。用以在表面改質層上形成基於氮之極性基團之氮處理可藉由利用N2氣體之電漿處理來執行。表面改質層之沉積可在大氣壓或減壓中發生,且利用電漿激發來執行,該電漿激發例如DC或RF平行板、感應耦合電漿(ICP)、電子迴旋共振(ECR)、下游微波或RF電漿。電漿聚合表面改質層可安置於載體、薄片或兩者上。如以上結合表3之實例所指出,電漿聚合產生高度交聯材料之層。對反應條件及源氣體之控制可用於控制表面改質層膜厚 度、密度及化學性質,以特製用於所要應用之官能基,且藉由控制膜性質,接合表面之表面能量可獲調節。在後續電漿處理期間形成的基於氮之極性基團不與矽醇基縮合以引起永久共價鍵結,且因此能夠在執行來將膜或結構安置於薄片上之後續處理期間,控制薄片與載體之間的接合程度。
在以下表9之實例中,各種條件用於對沉積於玻璃載體上之電漿聚合膜氮處理。玻璃載體係由Corning® Eagle XG®鋁硼矽酸鹽不含鹼金屬顯示玻璃(可購自Corning Incorporated,Corning NY)製成之基板。在表面改質層沉積之前,使用SC1及/或SC2化學方法及標準清潔技術清潔載體。在Oxford Plasmalab 380 ICP(可購自Oxford Instruments,Oxfordshire UK)中以感應耦合電漿(ICP)組態模式沉積表面改質層,其中載體置放於平台上,將50W之13.56MHz RF能量施加於該平台,該平台上方安置有線圈,將1500W之13.5MHz RF能量施加於該線圈。使20sccm之甲烷(CH4)及40sccm之氫(H2)流入處於5mTorr之壓力下的腔室中。表面處理時間為60sec,且對表9所列的所有樣本而言,平台溫度為30C。在先前沉積之後,表面改質層用氮處理。確切言之,在處理期間,將指定瓦特數(在「RF偏置」欄中指出)之13.56MHz RF能量施加於平台,該平台上方安置有線圈,將指定瓦特數(在「線圈」欄中指出)之13.5MHz RF能量施加於該線圈。N2以40sccm之速率流入腔室中歷時表中所列的時間(計為秒-s)。因此,例如,表9中對實例9a之氮處理之記法解讀如下:在Oxford ICP設備中,使40sccm之N2流入具有5mTorr之 壓力的腔室中;將1500W之13.5MHz RF能量施加於噴淋頭;且將300W之13.56MHz RF能量施加於其上置放有載體之平台,將該平台溫度控制至30C;且處理進行10秒。對剩餘實例之記法可以類似方式解讀。表面能量係藉由使用三種不同試驗液體(在此狀況下為去離子水(欄「W」中所示)、十六烷(欄「HD」中所示)及二碘甲烷(欄「DIM」中所示))之接觸角(CA)及Wu模型、以mJ/m2(毫焦耳每平方公尺)來計算。對表面能量而言,展示極性分量(P)及分散分量(D),以及總能量(T)。
實例9a-9j展示各種條件可用於甲烷/氫形成的表面改質層之氮處理,藉以可獲得各種表面能量,亦即,自約53mJ/m2(實例9i)至約63mJ/m2(實例9b)之表面能量,該等表面能量適合於接合至薄玻璃片。在氮處理之後獲得的此等表面能量自約42mJ/m2(由形成自甲烷-氫電漿聚合之基礎層獲得)增加。觀察到接合有用根據實例9a-9j形成的表面改質層之薄玻璃片及載體在450℃下之退火之後不永久黏附,亦即, 其順利完成400℃溫度測試之部分(c)。不對此等樣本執行除氣測試。此外,此等實例足夠強以在FPD處理(包括上述真空測試(1)、濕式製程測試(2)及超音波測試(5))中保全,且保持可藉由足夠剝離力之施加而脫接合。脫接合允許薄玻璃上製作的裝置之移除,及載體之重複使用。
根據表9之實例接合至載體中每一者之薄玻璃片為由Corning® Willow®玻璃製成之基板,該玻璃為鋁硼矽酸鹽不含鹼金屬玻璃(可購自Corning Incorporated,Corning NY)且具有100、130及150微米之厚度。在接合之前,使用氧電漿接著SC1及/或SC2化學方法及標準清潔技術來清潔Willow®玻璃。
在表9之實例中,儘管其上安置有表面改質層之接合表面為玻璃,但無需為此種狀況。取而代之,接合表面可為具有與玻璃類似的表面能量及性質之另一適合材料,例如,矽、多晶矽、單晶矽、陶瓷、玻璃-陶瓷、藍寶石或石英。
極性基團藉由順序N2隨後H2處理引入至碳質表面改質層
使用電漿聚合膜來調節接合表面之表面能量且於該接合表面上產生替代極性接合位點之另一實例為表面改質層薄膜自碳源(例如,甲烷(含碳氣體))及自氫H2之沉積,接著剛剛形成的表面改質層之順序氮隨後氫處理。表面改質層之沉積可在大氣壓或減壓中發生,且利用電漿激發來執行,該電漿激發例如DC或RF平行板、感應耦合電漿(ICP)、電子迴旋共振(ECR)、下游微波或RF電漿。電漿聚合表面改質層可安置於載體、薄片或兩者上。如以上結合表3之實例所指出, 電漿聚合產生高度交聯材料之層。對反應條件及源氣體之控制可用於控制表面改質層膜厚度、密度及化學性質,以特製用於所要應用之官能基,且藉由控制膜性質,接合表面之表面能量可獲調節。在後續電漿處理期間形成的基於氮之極性基團不與矽醇基縮合以引起永久共價鍵結,且因此能夠在執行來將膜或結構安置於薄片上之後續處理期間,控制薄片與載體之間的接合程度。
在以下表10之實例中,各種條件用於對沉積於玻璃載體上之電漿聚合膜處理(利用氮及隨後順序地利用氫)。玻璃載體係由Corning® Eagle XG®鋁硼矽酸鹽不含鹼金屬顯示玻璃(可購自Corning Incorporated,Corning NY)製成之基板。在膜沉積之前,使用SC1及/或SC2化學方法及標準清潔技術來清潔載體。在Oxford Plasmalab 380 ICP(可購自Oxford Instruments,Oxfordshire UK)中以感應耦合電漿(ICP)組態模式沉積膜,其中載體置放於平台上,將50W之13.56MHz RF能量施加於該平台,該平台上方安置有線圈,將1500W之13.5MHz RF能量施加於該線圈。使20sccm之甲烷(CH4)及40sccm之氫(H2)流入處於5mTorr之壓力下的腔室中。表面處理時間為60sec,且對表9所列的所有樣本而言,平台溫度為30C。在先前沉積之後,表面改質層順序地用氮且隨後用氫處理。確切言之,在每一狀況下,對氮處理而言:使40sccm之N2流入腔室中,將1500W之13.5MHz RF能量施加於該腔室;該腔室處於5mTorr之壓力下;將50W之13.56MHz RF能量施加於平台;且處理進行60秒。隨後,在氫處理期間,將指定瓦特 數(在表10之「RF」欄中指出)之13.56MHz RF能量施加於平台,該平台上方安置有線圈,將指定瓦特數(在「線圈」欄中指出)之13.5MHz RF能量施加於該線圈。H2以40sccm之速率流入腔室中歷時表中所列的時間(計為秒-s)。因此,例如,表10中對實例10a之氫處理(在薄膜沉積及如上所述的其N2處理之後進行)之記法解讀如下:在Oxford ICP設備中,使40sccm之H2流入具有20mTorr之壓力的腔室中;將750W之13.5MHz RF能量施加於噴淋頭;且將50W之13.56MHz RF能量施加於其上置放有載體之平台;且處理進行15秒。對剩餘實例之記法可以類似方式解讀。表面能量係藉由使用三種不同試驗液體(在此狀況下為去離子水(欄「W」中所示)、十六烷(欄「H」中所示)及二碘甲烷(欄「DIM」中所示))之接觸角(CA)及Wu模型、以mJ/m2(毫焦耳每平方公尺)來計算。對表面能量而言,展示極性分量(P)及分散分量(D),以及總能量(T)。
甲烷-氫形成的電漿聚合表面改質層之順序N2且隨後H2電漿處理可在各種條件下進行,以達成各種表面能量。如自表10所見,表面能量自約60mJ/m2(實例10d)改變至約64mJ/m2(實例10a、10n、10o及10p),該等表面能量適合於接合至薄玻璃片。觀察到接合有用根據實例10a-10p形成的表面改質層之薄玻璃片及載體在450℃下之退火之後不永久黏附,亦即,其能夠順利完成400℃處理測試之部分(c)。此外,此等實例足夠強以在FPD處理(包括上述真空測試(1)、濕式製程測試(2)及超音波測試(5))中保全,且保持可藉由足夠剝離力之施加而脫接合。脫接合允許薄玻璃上製作的裝置之移除,及載體之重複使用。
根據表10之實例接合至載體中每一者之薄玻璃片為由Corning® Willow®玻璃製成之基板,該玻璃為鋁硼矽酸鹽不含鹼金屬玻璃(可購自Corning Incorporated,Corning NY)且具有100、130及150微米之厚度。在接合之前,使用氧電漿接著SC1及/或SC2化學方法及標準清潔技術來清潔Willow®玻璃。
在表10之實例中,儘管其上安置有表面改質層之接合表面為玻璃,但無需為此種狀況。取而代之,接合表面可為具有與玻璃類似的表面能量及性質之另一適合材料,例如,矽、多晶矽、單晶矽、陶瓷、玻璃-陶瓷、藍寶石或石英。
作為表10中之實例之變化形式,亦執行甲烷形成的表面改質層之順序氮隨後氫處理。在此狀況下,當藉由電漿聚合於玻璃載體上形成初始表面改質層時,單獨使用甲烷(無 氫)。確切言之,使40sccm之甲烷在5mTorr之壓力下、於1500/50W下流動60秒。表面能量量測為約42mJ/m2。在用氮(40sccm N2、在5mTorr壓力下、1500/50W功率、歷時15秒)且隨後氫(40sccm H2、在5mTorr壓力下、1500/50W功率、歷時15秒)順序處理之後,於載體接合表面上達成之表面能量增加至約64mJ/m2,其適用於將薄玻璃片接合至玻璃載體。
如上所述的碳質表面改質層之順序N2及H2處理達成約64mJ/m2之表面能量,且形成與薄玻璃片之初始室溫接合,其中接合前端速度稍小於利用氟化表面改質層的典型情況。如表10中之實例,觀察到此等樣本在450℃下之退火之後不永久黏附,亦即,其能夠順利完成400℃處理測試之部分(c)。此外,此等實例足夠強以在FPD處理(包括上述真空測試(1)、濕式製程測試(2)及超音波測試(5))中保全,且保持可藉由足夠剝離力之施加而脫接合。脫接合允許薄玻璃上製作的裝置之移除,及載體之重複使用。
極性基團藉由順序N2-O2隨後N2處理引入至碳質表面改質層
基於試圖在表面上產生更多極性醯亞胺基以增加接合前端速度之觀點,探查碳質表面改質層之順序N2-O2隨後N2電漿處理。
使用電漿聚合膜來調節接合表面之表面能量且於該接合表面上產生替代極性接合位點之此實例為碳質表面改質層薄膜自碳源(例如,含碳氣體(例如甲烷))及自氫H2之沉積,接著剛剛形成的表面改質層之順序N2-O2且隨後N2處 理。表面改質層之沉積可在大氣壓或減壓中發生,且利用電漿激發來執行,該電漿激發例如DC或RF平行板、感應耦合電漿(ICP)、電子迴旋共振(ECR)、下游微波或RF電漿。電漿聚合表面改質層可安置於載體、薄片或兩者上。如以上結合表3之實例所指出,電漿聚合產生高度交聯材料之層。對反應條件及源氣體之控制可用於控制表面改質層膜厚度、密度及化學性質,以特製用於所要應用之官能基,且藉由控制膜性質,接合表面之表面能量可獲調節。在後續電漿處理期間形成的基於氮之極性基團不與矽醇基縮合以引起永久共價鍵結,且因此能夠在執行來將膜或結構安置於薄片上之後續處理期間,控制薄片與載體之間的接合程度。
在以下表11之實例中,各種條件用於對沉積於玻璃載體上之電漿聚合膜處理,以增加表面能量且併入極性基團。玻璃載體係由Corning® Eagle XG®鋁硼矽酸鹽不含鹼金屬顯示玻璃(可購自Corning Incorporated,Corning NY)製成之基板。在表面改質層沉積之前,使用SC1及/或SC2化學方法及標準清潔技術清潔載體。
在步驟1中,在Oxford Plasmalab 380 ICP(可購自Oxford Instruments,Oxfordshire UK)中以感應耦合電漿(ICP)組態模式沉積表面改質層,其中載體置放於平台上,將50W之13.56MHz RF能量施加於該平台,該平台上方安置有線圈,將1500W之13.5MHz RF能量施加於該線圈。使20sccm之甲烷(CH4)及40sccm之氫(H2)流入處於5mTorr之壓力下 的腔室中。表面處理時間為60sec,且對表11所列的所有樣本而言,平台溫度為30C。
在步驟1之先前沉積之後,在步驟2中,表面改質層用氮及氧處理。確切言之,在步驟2處理期間,將50W之13.56MHz RF能量施加於平台,該平台上方安置有線圈,將800W之13.5MHz RF能量施加於該線圈。N2及O2以指定速率(以sccm計)流入腔室中歷時表中所列的時間(計為秒-s)。因此,例如,表11中對實例11a之步驟2之記法解讀如下:在步驟1中之表面改質層沉積之後,在Oxford ICP設備中,使35sccm之N2與5sccm之O2一起流入具有15mTorr之壓力的腔室中;將800W之13.5MHz RF能量施加於噴淋頭;且將50W之13.56MHz RF能量施加於其上置放有載體的溫度控制至30℃之平台;且處理進行5秒。對剩餘實例之記法可以類似方式解讀。
在步驟2之先前處理之後,在步驟3中,表面改質層用氮處理。確切言之,在步驟3處理期間,將50W之13.56MHz RF能量施加於平台,該平台上方安置有線圈,將1500W之13.5MHz RF能量施加於該線圈。N2以指定速率(以sccm計)流入腔室中歷時表中所列的時間(計為秒-s)。因此,例如,表11中對實例11a之步驟3之記法解讀如下:在步驟1中之表面改質層沉積之後,且在步驟2中之氮-氧處理之後,在Oxford ICP設備中,使40sccm之N2流入具有5mTorr之壓力的腔室中;將1500W之13.5MHz RF能量施加於噴淋頭;且將50W之13.56MHz RF能量施加於其上置放有載體的溫 度控制至30℃之平台;且處理進行15秒。對剩餘實例之記法可以類似方式解讀。
表面能量係藉由使用三種不同試驗液體(在此狀況下為去離子水、十六烷及二碘甲烷)之接觸角(CA)及Wu模型、以mJ/m2(毫焦耳每平方公尺)來計算。對表面能量而言,展示總表面能量(T,其包括極性分量及分散分量)。接合能量如上所述以mJ/m2來計算。在初始接合之後氣泡之數量在名稱為「23C%面積」之欄中指示,而在400℃溫度測試之後氣泡之數量在名稱為「400C%面積」之欄中指示。氣泡之數量係如下結合「除氣」所述藉由光學掃描器來測定。最後,氣泡面積自23℃下初始時至400℃溫度測試之後的變化在名稱為「△%面積」之欄中指示。
實例11a-11e展示:各種條件可用於甲烷/氫形成的表面改質層之順序氮-氧且隨後氮處理,藉以可獲得各種表面 能量,亦即,自約65mJ/m2(實例11a及11e)至約70mJ/m2(實例11b及11d),該等表面能量適合於接合至薄玻璃片。在順序氮-氧且隨後氮處理之後獲得的此等表面能量自約40-50mJ/m2(由形成自甲烷-氫電漿聚合之基礎層獲得)增加。觀察到接合有用根據實例11a-11f形成的表面改質層之薄玻璃片及載體在400℃下之退火之後不永久黏附,亦即,其順利完成400℃溫度測試之部分(c)。如實例11a-11e所示,在400℃退火期間%氣泡面積變化與沒有除氣一致。另一方面,實例11f在400℃退火期間的%氣泡面積變化與表面改質層中材料之一些除氣一致。因此,為獲得根據表11中之條件沉積的表面改質層沒有除氣,步驟3為重要的。然而,在用於步驟1及2之其他沉積/處理條件下,步驟3可不必獲得與實例11a-e之步驟3所獲得結果類似的沒有除氣結果。此外,此等實例足夠強以在FPD處理(包括上述真空測試(1)、濕式製程測試(2)及超音波測試(5))中保全,且在400℃溫度測試之後保持可藉由足夠剝離力之施加而脫接合。脫接合允許薄玻璃上製作的裝置之移除,及載體之重複使用。
此等順序步驟對表面能量、接合能量及起泡之影響展示於表11中。增加N2-O2步驟中之氧分數減小表面能量且在除氣測試期間增加起泡。利用短暫(約5秒)低氧分數(38/2)N2-O2步驟及後續短(15秒)N2電漿處理之執行(實例11d)產生在400℃溫度試驗期間產生69mJ/m2之表面能量及1.2%之氣泡面積(自在23℃下的%氣泡面積變化為-0.01,指示沒有除 氣)。樣本11a-e之效能相當於在至多400℃溫度測試之應用下的氟化表面改質層。
根據表11之實例接合至載體中每一者之薄玻璃片為由Corning® Willow®玻璃製成之基板,該玻璃為鋁硼矽酸鹽不含鹼金屬玻璃(可購自Corning Incorporated,Corning NY)且具有100、130及150微米之厚度。在接合之前,使用氧電漿接著SC1及/或SC2化學方法及標準清潔技術來清潔Willow®玻璃。
在表11之實例中,儘管其上安置有表面改質層之接合表面為玻璃,但無需為此種狀況。取而代之,接合表面可為具有與玻璃類似的表面能量及性質之另一適合材料,例如,矽、多晶矽、單晶矽、陶瓷、玻璃-陶瓷、藍寶石或石英。
上述實例例證感應耦合電漿(ICP)系統可如何利用來沉積適用於將薄玻璃片可控制地接合至玻璃載體以供裝置處理的薄有機表面改質層。然而,此解決方案針對顯示應用(其中具有大面積之基板為有利的)之可調性為關注點。ICP工具利用平面、圓柱形或半球形線圈來感應耦合電流,以產生引起離子循環的時變磁場。典型地,第二RF源連接至其上置放有基板之平台。ICP電漿之優點在於:ICP源可獨立於藉由平台RF源控制的基板偏置而達成高階離子化。當前的平行板反應性離子蝕刻(reactive ion etch;RIE)系統無法達成高階離子化。另外,經由RF功率及壓力耦合偏置及離子化。TEL及其他已將ICP蝕刻器定標至Gen 5,但向較大者定標對產生均勻ICP電漿源提出挑戰。另一方面,RIE模式製程適合於已定標 至Gen 10之平行板工具。因此,發明人探查在RIE模式製程中達成與利用如上所述的ICP工具所達成之彼等結果類似的結果之方式。
對藉由只利用Oxford之RIE模式(無線圈功率)及200W之偏置功率(等效於用於氟化表面改質層之沉積的情況)自非氟化源材料產生RIE模式表面改質層的初始努力產生暗的厚層,該等厚層可經氮改質來接合至薄玻璃片。然而,此種暗的材料在經歷400℃處理試驗之後產生許多氣泡,該等氣泡覆蓋接合區域之約25%。藉由光譜式橢圓偏光術對暗沉積物之表徵證實:膜為約100nm厚且展現更加狹窄的光學能帶隙,即相對ICP沉積表面改質層之1.7eV而言為0.6eV。根據此結果,得出結論:材料可能為石墨的且增加氫含量將為對減少起泡之考慮。
執行實驗來捕獲光學發射光譜學(optical emission spectroscopy;OES)光譜,以便對映RIE製程變數H2/CH4比率、RF功率及壓力。然而,在所使用的Oxford工具之製程窗內,此等比率不可匹配。然而,此實驗證實:製程將受益於聚合物形成氣體之極高氫稀釋度、高RF功率及低壓。
除引導自ICP模式至RIE模式之製程變換的OES之外,殘餘氣體分析(residual gas analysis;RGA)用於對映Oxford中存在的隨RIE模式中之氫/甲烷比率、RF功率及壓力變化的氣相物質。m/e=/16對壓力及H2/CH4氣體比之等高線圖再次證實:高的氫稀釋度有益於匹配約44之ICP比率。較高級烷烴與減小的H2/CH4氣體比及增加的壓力相關聯。等高線圖展 示m/e=28/16隨RF及H2/CH4氣體比兩者增加。擬合RGA回應表面暗示:H2/CH4及C2H6/CH4比率可在40:1 H2/CH4、25mTorr 275W RF下匹配。利用此條件沉積的碳質RIE模式表面改質層匹配ICP模式碳質表面改質層之約6nm厚度及1.6eV光學能帶隙。利用碳質RIE表面改質層之氮電漿處理的初始實驗亦證實低的起泡。
使用藉由RGA實驗所認定製程的RIE模式碳質表面改質層沉積之動力學展示於第14圖及第15圖。包括總表面能量(T)以及極性分量(P)及分散分量(D)之表面能量展示於第14圖中。如第14圖所示,表面能量相對無變化,其中輕微峰值處於60sec沉積時間處,而在第15圖中可見:膜厚度在雙對數尺度上幾乎線性地增加。此並非為自限制製程,因為自氫之回蝕刻(etch-back)無法跟上聚合物沉積。
如以上所論述,根據經驗所明白的是:約50mJ/m2或65mJ/m2之表面能量有益於在初始室溫接合時以及在熱循環期間最小化氣泡面積。根據第14圖,可見表面能量正好處於邊界線上。在一些情況下,此可適合於將薄片接合至載體,取決於將經歷之時間-溫度循環,以及取決於必須經受的其他FPD製程。然而另一方面,將有益於提高此表面改質層之表面能量。可使用上述後續處理中之任何處理,例如,氨處理、氮處理、順序氮隨後氫處理、氮-氧處理、順序氮-氧隨後氮處理。舉例而言,氮-氧處理將結合表12來描述。
極性基團藉由氮-氧處理引入至碳質表面改質層
使用電漿聚合膜來調節接合表面之表面能量且於該接合表面上產生替代極性接合位點之另一實例為薄表面改質層膜以RIE模式自碳源(例如,甲烷,即含碳氣體)及自氫(H2)之沉積,接著剛剛形成的表面改質層之氮-氧處理。可利用例如氮-氧電漿處理來執行氮-氧處理。表面改質層之沉積可在大氣壓或減壓中發生。電漿聚合表面改質層可安置於載體、薄片或兩者上。如以上結合表3之實例所指出,電漿聚合產生高度交聯材料之層。對反應條件及源氣體之控制可用於控制膜厚度、密度及化學性質,以特製用於所要應用之官能基,且藉由控制膜性質,接合表面之表面能量可獲調節。在後續氮-氧處理期間形成的基於氮之極性基團不與矽醇基縮合以引起永久共價鍵結,且因此能夠在執行來將膜或結構安置於薄片上之後續處理期間,控制薄片與載體之間的接合程度。
在以下表12之實例中,各種條件用於將電漿聚合表面改質層膜沉積於玻璃載體上。玻璃載體係由Corning® Eagle XG®鋁硼矽酸鹽不含鹼金屬顯示玻璃(可購自Corning Incorporated,Corning NY)製成之基板。在膜沉積之前,使用SC1及/或SC2化學方法及標準清潔技術來清潔載體。在Oxford Plasmalab 380 ICP(可購自Oxford Instruments,Oxfordshire UK)中以RIE組態模式沉積表面改質層,其中載體置放於平台上,將275W之RF能量施加於該平台,該平台上方安置有線圈,對該線圈不施加能量。在步驟1中,使2sccm之甲烷(CH4)及38sccm之氫(H2)流入處於25mTorr之壓力下的腔室中。表面處理時間為60sec,且對表12所列的所有樣 本而言,平台溫度為30C。在先前沉積之後,表面改質層在步驟2中用氮及氧處理。確切言之,在步驟2處理期間,將指定瓦特數(在「RF」欄中指出)之13.56MHz RF能量施加於平台,該平台上方安置有線圈,對該線圈不施加能量。N2以「N2」欄中所列的sccm之速率流入腔室中,且O2以「O2」欄中所列的sccm之速率流入腔室中,歷時表之「時間(s)」欄中所列的時間(計為秒-s)。腔室處於「Pr」欄中所列的壓力(以mTorr計)下。因此,例如,表12中對實例12b之步驟2氮及氧處理之記法解讀如下:在Oxford ICP設備中,使25sccm之N2與25sccm之O2一起流入具有10mTorr之壓力的腔室中;將300W之13.5MHz RF能量施加於其上置放有載體之平台,該平台溫度控制至30C;且處理進行10秒。對剩餘實例之記法可以類似方式解讀。表面能量係藉由使用三種不同試驗液體(在此狀況下為去離子水(W)、十六烷(HD)及二碘甲烷(DIM))之接觸角及Wu模型、以mJ/m2(毫焦耳每平方公尺)來計算。對表面能量而言,展示極性分量(P)及分散分量(D),以及總能量(T)。亦展示表面改質層之厚度(以埃計的「th」)、在表面改質層之沉積及其N2-O2處理之後載體之平均表面粗糙度(以埃計的「Ra」)、接合能量(以mJ/m2計的「BE」),以及在室溫下經由表面改質層將薄玻璃片初始地接合至載體之後的氣泡面積與經由400℃製程測試加熱載體之後的氣泡面積之間的%氣泡面積變化(「△氣泡面積」)。
根據表12之實例接合至載體中每一者之薄玻璃片為由Corning® Willow®玻璃製成之基板,該玻璃為鋁硼矽酸鹽不含鹼金屬玻璃(可購自Corning Incorporated,Corning NY)且具有100、130及150微米之厚度。在接合之前,使用氧電漿接著SC1及/或SC2化學方法及標準清潔技術來清潔Willow®玻璃。
在表12之實例中,儘管其上安置有表面改質層之接合表面為玻璃,但無需為此種狀況。取而代之,接合表面可為具有與玻璃類似的表面能量及性質之另一適合材料,例如,矽、多晶矽、單晶矽、陶瓷、玻璃-陶瓷、藍寶石或石英。
根據表12之實例中之處理,可見在400℃處理之後:實例12a至12j全部具有小於2之百分比氣泡面積變化,其與在此溫度下沒有除氣一致,參見表12中之起泡%欄;以及樣本12a、12b、12c、12g及12j各自具有在此溫度試驗之後允許薄片與載體之脫接合的接合能量,參見表12中之BE欄;但實例12d、12e、12f、12h及12i不能在400℃製程測試之後脫接合,如藉由表12之BE欄中之值2500所指示。
根據表12之實例,表面能量、氣泡面積、接合能量及厚度藉由橢圓偏光術隨% O2、RF及壓力進行對映。可見厚度之減少與增加的RF功率(將實例12g與實例12b比較)及%O2(將實例12a與實例12b比較)相關聯,與烴層之灰化一致。接合能量僅依賴於壓力:在10mTorr下處理的樣本可在400℃之退火之後脫接合(參見實例12a、12b、12c、12g)。在35mTorr及35mTorr以上處理的彼等樣本則不可脫接合。參見, 例如,在40mTorr之壓力下處理的實例12d,其具有2500之接合能量,以及具有70mTorr之壓力及2500之接合能量的實例12e。「BE」欄中為2500之接合能量指示:薄玻璃片不可與載體脫接合。所有經處理的膜之表面能量為65-72mJ/m2,其獨立於厚度。參見實例12a至12i及12k。此等結果暗示:高壓N2-O2電漿處理產生不連續膜。實際上,高壓快速地削磨該等膜,據此,較低壓力為有益的。至於起泡,量似乎隨% O2 * RF增加而減少。另外,可見:H2O分壓隨%O2增加及RF增加而增加;表面改質層厚度隨步驟2中之壓力增加而減小,且%起泡面積隨壓力增加而增加(因此在步驟2期間較低壓力為有益的);當處理時間增加時,表面改質層厚度減小且極性基團減少,因此有益地產生較短處理時間。
欲尋找適合接合能量與起泡之平衡。氮-氧處理之起始點為50% O2、10mTorr 300W及改變的製程時間。利用20秒、60秒及180秒RIE CH4-H2沉積、接著0、5、15及60秒之N2-O2電漿處理來製備三組樣本。表面能量及接合能量於5-15秒N2-O2電漿處理時間處出現峰值,其獨立於CH4-H2沉積時間。薄20秒CH4-H2層遭削磨,且薄玻璃片永久地接合至載體。峰值出現在聚合物層遭削磨之前,此與聚合物膜上之極性基團之形成一致,而非只與暴露玻璃基板之削磨一致。氣泡面積確實隨表面改質層沉積時間增加而增加,因此只增加表面改質層之厚度來避免在後續N2-O2表面處理期間的過多削磨不為有益的。因此,接合與氣泡面積之間的良好折衷為表面改質層沉積時間與N2-O2處理時間之平衡。基於 平衡表面改質層沉積時間(不太長的沉積時間,因而將產生更大厚度,從而導致增加的除氣)與N2-O2處理時間-不太長的處理時間,以便削磨或移除表面改質層(導致載體與薄片之永久接合),但足夠長以將極性基團併入表面改質層。良好的折衷為碳質層之60秒RIE沉積,接著5-10秒之短N2-O2處理時間。實例12a、12b、12c、12g及12k對RIE模式而言適用。
於表面改質層上併入極性基團
XPS N1s物質形成係用於研究N2-O2電漿處理產生高極性表面之機制。為研究且確認此等表面改質層之物質形成,研究沉積於EagleXG®玻璃晶圓上的CH4/H2之相對厚膜之表面化學,以使得該等厚膜達成對玻璃之完全覆蓋,且隨後用N2/O2電漿處理不同持續時間。厚烴膜之優點在於:允許吾等區別僅存在於烴膜上的彼等氮物質,且將此等氮物質與存在於暴露玻璃上之彼等氮物質分離。
EagleXG®玻璃晶圓之表面組成物首先暴露於600秒之CH4/H2電漿以沉積厚烴膜,接著暴露於N2/O2電漿5、15、60及600秒。對5秒及15秒處理而言,未偵測到存在於玻璃中之元素(諸如Al及Ca),其指示:在彼等情況下,碳質膜層厚於XPS之探針深度,該探針深度為約10nm。
使碳質膜暴露於N2/O2電漿60秒及600秒產生碳質層之一定程度的薄化,因為在彼等狀況下,XPS可偵測存在於玻璃中之元素。此觀察結果另外由考慮碳之表面濃度確認。對60秒及600秒處理而言,C濃度小於10at%,此強烈地暗示:對彼等狀況而言,表面部分地由碳質層覆蓋。
僅在實質量之碳質膜已蝕刻移除時,才偵測到NH3+物質。此點極強烈地暗示:NH3+物質可能僅存在於玻璃上,且其他物質涉及氮與碳質層之間的主要反應。以表面上所有原子之百分比(亦即,物質之分數x所偵測的氮之分數)計的氮物質之物質形成呈現於以下表13中。
可見:此N2-O2處理之主要效應為碳質表面改質層之蝕刻。實際上,對60秒及600秒處理而言,極少含碳物質存在於表面上。其他觀察結果為:氮物質甚至在極短N2-O2處理時間(例如5秒及15秒)之後存在於表面改質層上。其後,氮物質快速地減少,而氨物質(指示下伏玻璃表面之存在)快速地增加。對碳質表面改質層之5秒N2-O2電漿處理的碳物質形成之XPS評估亦揭露:含有氧及氮之若干不同物質存在於表面改質層上。含氧物質的存在引起對以下的認識:單獨的O2電漿可足以將極性基團賦予表面改質層。的確,所發現的狀況正是如此,且其在以下論述。
基於NH3+物質僅存在於玻璃上而不存在於碳質層上之假設,表面覆蓋率可藉由計算NH3+/Σ(所有氮化合物)之比率來估算。此表面覆蓋率估算之結果在圖17中給出。5秒與15秒之間存在極小變化。在N2-O2電漿處理時間之15秒與60秒之間出現最大變化。
碳質表面改質層之N2-O2電漿處理之模型如下。CH4-H2沉積產生連續烴層。在N2-O2電漿處理之第一秒中,極性-NH2基在烴層經氧化且削磨時形成於聚合物表面上。醯亞胺基或醯胺基亦可在此時形成,但XPS為非決定性的。在較長N2-O2電漿處理的情況下,聚合物移除到達玻璃表面,其中極性-NH3+基由N2-O2電漿與玻璃表面之間的相互作用形成。
O2單獨作為表面改質層之表面處理
作為碳質層之N2-O2處理之替代,亦探查使用單獨O2來增加表面能量且在碳質層上產生極性基團。如上所指出,碳質層之5秒N2-O2電漿處理之XPS碳物質形成證實:含氧物質的確存在於表面改質層上。因此,嘗試碳質層之O2處理。O2處理在ICP模式及RIE模式兩者中執行。
在ICP模式中,根據以上表11中之步驟1形成基礎碳質層。隨後藉由流動40sccm O2、0sccm N2、利用800/50W功率、於15mTorr壓力下執行步驟2表面處理,從而產生表面能量之所要增加,且在碳質層之表面上產生所要極性基團。薄玻璃片在室溫下易於接合至表面改質層。此外,觀察到此樣本在450℃之退火之後不永久地黏附,亦即,能夠順利完成400℃處理試驗之部分(c)。此外,此樣本足夠強以在FPD處理(包括上述真空測試(1)、濕式製程測試(2)及超音波測試(5))中保全,且保持可藉由足夠剝離力之施加而脫接合。脫接合允許薄玻璃上製作的裝置之移除,及載體之重複使用。
在RIE模式中,根據以上表12中之步驟1形成基礎碳質層。隨後藉由流動50sccm O2、0sccm N2、利用200W功率、於50mTorr壓力下執行步驟2表面處理。類似於ICP模式,此等條件亦產生表面能量之所要增加,且在碳質層之表面上產生所要極性基團。薄玻璃片在室溫下易於接合至表面改質層。此外,觀察到此樣本在450℃之退火之後不永久地黏附,亦即,能夠順利完成400℃處理試驗之部分(c)。此外,此樣本足夠強以在FPD處理(包括上述真空測試(1)、濕式製程測試(2)及超音波測試(5))中保全,且保持可藉由足夠剝離力之施加而脫接合。脫接合允許薄玻璃上製作的裝置之移除,及載體之重複使用。
因此,可見O2處理以與N2-O2處理類似的方式運作。就初始表面改質層沉積時間(其增加厚度)與O2處理時間之間的平衡而言,適用類似考慮。
小量之氟
在ICP模式烴聚合物沉積碳質層之XPS分析中發現幾原子%的F,即約2.2%的F。此係歸因於以下事實:Oxford用於玻璃、介電質及金屬之氟及氯蝕刻。據發現:小量之氟有益於烴沉積表面改質層性質。典型反應器清潔製程為SF6-O2清潔,接著O2清潔及H2電漿清潔。每一步驟時長30min,且包括各步驟之間的泵抽/沖洗步驟。SF6-O2用於初始清潔,因為烴聚合物之蝕刻率頗高於單獨的O2。H2電漿清潔步驟應自反應器壁上之沉積物移除大多數不定氟。若跳過H2電漿清潔,則將預期使更高量之氟併入烴表面改質層中。 第16圖在烴表面改質層的情況下跳過H2電漿步驟之影響。接合能量降低,使永久接合位移直至600℃,而沒有起泡之大的增加。因此,烴表面改質層中小量之氟,亦即,至少至多約3%之氟為有益的。
表面粗糙度
探查玻璃接合表面之表面粗糙度由於烴形成的表面改質層之沉積的變化。確切言之,選擇甲烷-氫形成的表面改質層,其隨後順序地經氮且隨後氫處理。利用甲烷-氫形成的表面改質層製備兩個載體,接著順序原位N2且隨後H2電漿處理(20CH4 40H2 5mT 1500/50W歷時60秒,隨後40N2 5mT 1500/50W歷時15秒,隨後40H2 15mT 1500/50W 15歷時幾秒)。第一載體(實例14a)之表面改質層藉由O2電漿清潔接著SC1清潔來移除。第二載體(實例14b)之表面改質層保持在適當位置。第三載體(實例14c)係用作參考且不具有施加於其的表面改質層。AFM用於評估以下者之表面粗糙度:施加表面改質層且隨後將其剝除之載體(實例14a),其上仍具有表面改質層之載體(實例14b),及參考載體(實例14c)。AFM量測之Rq、Ra及Rz範圍以nm(奈米)為單位展示於表14中。實例14a及14b之粗糙度不可與實例14c之粗糙度區別。應指出:對實例14c而言,5x5微米掃描之過量z-範圍係歸因於掃描區域中之粒子。因此,可見:本揭示內容之烴形成的表面改質層不改變玻璃接合表面之表面粗糙度。在某些情況下,接合表面之無變化表面粗糙度可有利於例如載體之重複使用。此等實例中之玻璃載體係由Corning® Eagle XG®鋁硼矽酸鹽不含 鹼金屬顯示玻璃(可購自Corning Incorporated,Corning NY)製成之基板。
總體考慮
在室溫下,在不增加任何另外的熱或化學能量來改質薄片與載體之間的接合界面的情況下,在實例2-12中執行薄片與載體之上述分離。唯一的能量輸入為機械拉力及/或剝離力。
因為實例3及5-12之表面改質層為薄有機層,所以其對熱及電漿處理中之氧敏感。因此,此等表面改質層應在裝置製作期間加以保護。表面改質層可藉由在熱處理期間使用非含氧環境(例如,N2環境)來保護。替代地,在接合薄玻璃片與載體之間的界面之邊緣上沉積保護塗層(例如,薄金屬層)足以保護表面改質層抵抗在高溫下氧環境之效應。
當薄片及載體兩者包括玻璃接合表面時,以上實例3至12中所述的表面改質材料可施加於載體,施加於薄片,或施加於將接合在一起的載體表面及薄片表面兩者。替代地,當一個接合表面為聚合物接合表面,而另一接合表面為玻璃接合表面(如以下進一步所述)時,將以上實例3至12中所述的適當表面改質材料(基於聚合物接合表面之表面能量) 施加於玻璃接合表面。另外,整個載體或薄片無需由相同材料製成,但可於其中包括不同的層及/或材料,只要其接合表面適於接收所關注之表面改質層即可。例如,接合表面可為玻璃、玻璃-陶瓷、陶瓷、矽或金屬,其中載體及/或薄片之剩餘部分可具有不同材料。例如,薄片20接合表面可具有任何適合材料,包括例如矽、多晶矽、單晶矽、藍寶石、石英、玻璃、陶瓷或玻璃-陶瓷。例如,載體10接合表面可為玻璃基板,或具有與玻璃類似的表面能量之另一適合材料,例如,矽、多晶矽、單晶矽、陶瓷、玻璃-陶瓷、藍寶石或石英。
如自本文論述的實例可見,表面改質層與其後續處理一起提供廣泛地改變玻璃接合表面上之表面能量之方式。例如,根據所有實例,可見:玻璃接合表面之表面能量可自約36mJ/m2(如在實例5g中)改變至約80mJ/m2(實例5f)。在單步製程中使用非氟化源材料而無後續表面處理的情況下,可見:玻璃接合表面之表面能量可自約37mJ/m2(實例16b)改變至約67mJ/m2(實例7h及7j)。使用碳質表面改質層與後續處理來增加極性基團的情況下,可見:玻璃接合表面之表面能量可自約52mJ/m2(實例12j)改變至約74mJ/m2(實例8a)。在單步製程或兩步製程中使用非氟化源材料的情況下,可見:玻璃接合表面之表面能量可自約37mJ/m2(實例16b)改變至約74mJ/m2(實例8a)。使用含氟源材料或非含氟源材料來沉積表面改質層並利用其後續處理的情況下,可見玻璃接合表面之表面能量可自約41mJ/m2(實例5m)改變至約80mJ/m2(實例5f)。
另外,如自本文論述的實例可見,表面改質層之厚度可大大地改變。利用在約2nm(如在實例3中)至約8.8nm(如在實例12c中)範圍內之表面改質層厚度獲得合乎需要的結果。
受控制接合之用途
可重複使用載體
經由表面改質層(包括材料及相關聯接合表面熱處理)的受控制接合之一個用途為提供載體於物件中之重複使用,該物件經歷需要600℃之溫度的製程,如在例如LTPS製程中的情況。如藉由實例2e、3a、3b、4c、4d及4e及以上表5中之實例所例證,表面改質層(包括材料及接合表面熱處理)可用於提供載體於此等溫度條件下之重複使用。確切言之,此等表面改質層可用於改質薄片(具有玻璃接合表面)之接合區域與載體(具有玻璃接合表面)之接合區域之間的重疊區域之表面能量,藉以整個薄片可在處理之後與載體分離。薄片可全部一次性分離,或可分部分分離,如例如當首先移除在薄片之部分上產生的裝置,且其後移除剩餘部分來清潔載體以供重複使用的情況。在整個薄片自載體移除的情況下,載體可藉由只是將另一薄片置放於其上而得以原樣重複使用。替代地,載體可經清潔且藉由重新形成表面改質層再一次製備來運載薄片。因為表面改質層阻止薄片與載體之永久接合,所以其可用於其中溫度600℃之製程。當然,儘管此等表面改質層可在600℃之溫度下處理期間控制接合表面能量,但該等表面改質層亦可用於產生薄片及載體組合,該組 合耐受較低溫度下之處理,且可用於此等較低溫度應用來控制接合。此外,在物件之熱處理將不超過400℃的情況下,如藉由實例2c、2d、4b、表7-11之實例(包括如表10之實例之替代例所論述的實例)、實例12a、12b、12c、12g、12j及利用單獨的O2之表面處理之實例所例證,表面改質層亦可以此相同方式來使用。
使用本文所述的表面改質層之一個優點在於:載體可以相同大小來重複使用,該等表面改質層例如包括以下者之彼等表面改質層:表3之實例、實例4b、4c、4d、4e、表5及7-11之實例、實例12a、12b、12c、12g、12j及利用單獨的O2之表面處理之實例。亦即,薄片可自載體移除,表面改質層可藉由非破壞性方式(例如O2或其他電漿清潔)自載體移除,且重複使用而不必以任何方式切割載體(例如,在載體之邊緣處切割)。
用以提供受控制接合區域
經由表面改質層(包括材料及相關聯接合表面熱處理)的受控制接合之第二用途為提供玻璃載體與玻璃薄片之間的受控制接合區域。更確切言之,在使用表面改質層的情況下,可形成受控制接合之區域,其中足夠的分離力可將薄片部分與載體分離,而無藉由接合引起的對薄片或載體之破壞,但仍在處理全程維持足夠的接合力以將薄片相對於載體固持。參考第6圖,玻璃薄片20可藉由接合區域40接合至玻璃載體10。在接合區域40中,載體10及薄片20彼此共價鍵結以便其充當單塊。另外,存在具有周邊52之受控制接合 區域50,其中載體10及薄片20相連接,但可彼此分離,甚至在高溫處理之後,例如在600℃之溫度下處理之後如此。儘管十個受控制接合區域50展示於第6圖中,但可提供任何適合數量,包括一個。如藉由實例2a、2e、3a、3b、4c、4d及4e、以上表5之實例所例證,包括材料及接合表面熱處理之表面改質層30可用於在具有玻璃接合表面之載體10與具有玻璃接合表面之薄片20之間提供受控制接合區域50。確切言之,此等表面改質層可形成於載體10上或薄片20上之受控制接合區域50之周邊52內。因此,當物件2在高溫下處理以在接合區域40中形成共價鍵結或在裝置處理期間處理時,可在載體10與薄片20之間、於藉由周邊52分界之區域內提供受控制接合,藉以分離力可在此區域中分離(無對薄片或載體之毀滅性破壞)薄片及載體,而薄片及載體將不會在包括超音波處理之處理期間脫層。如藉由表面改質層及任何相關聯熱處理提供的本申請案之受控制接合因此能夠對US‘727中之載體概念改良。確切言之,儘管US‘727之載體證明在FPD處理中該等載體之接合周邊及非接合中心區域保全,該FPD處理包括約600℃之高溫處理,但例如濕式清潔及抗蝕劑剝除處理之超音波製程仍受到挑戰。確切言之,注意到該解決方案中之壓力波誘導薄玻璃中非接合區域(如US‘727中描述的非接合)中之共振,因為薄玻璃與載體在彼區域中幾乎沒有或沒有黏著力接合。可在薄玻璃中形成駐波,其中若超音波攪動具有足夠強度,則此等波可引起振動,從而可導致薄玻璃在接合區域與非接合區域之間的界面處之破壞。可藉 由最小化薄玻璃與載體之間的間隙,且藉由在載體20與薄玻璃10之間的此等區域50中提供足夠的黏著或受控制接合來消除此問題。如藉由實例2a、2e、3a、3b、4c、4d、4e及表5之實例所例證,接合表面之表面改質層(包括材料及任何相關聯熱處理控制接合能量,以便提供薄片20上之玻璃接合表面與載體10上之玻璃表面之間的足夠接合,以便避免受控制接合區域中之此等不需要的振動。
隨後,在具有周邊57之所要部分56之提取期間,薄片20中處於周邊52內之部分可在處理之後及在薄片沿周邊57之分離之後簡單地與載體10分離。因為表面改質層控制接合能量來阻止薄片與載體之永久接合,所以其可用於其中溫度600℃之製程。當然,儘管此等表面改質層可在600℃之溫度下處理期間控制接合表面能量,該等表面改質層亦可用於產生薄片及載體組合,該組合耐受較低溫度下之處理,且可用於此等較低溫度應用。此外,在物件之熱處理將不超過400℃的情況下,如藉由實例2c、2d、4b、表7-11之實例(包括如表10之實例之替代例所論述的實例)、實例12a、12b、12c、12g、12j及利用單獨的O2之表面處理之實例所例證,表面改質層亦可以此相同方式來使用-在一些情況下,取決於其他製程要求-以控制接合表面能量。
用以提供接合區域
經由表面改質層(包括材料及任何相關聯接合表面熱處理)的受控制接合之第三用途為提供玻璃載體與玻璃薄片 之間的接合區域。參考第6圖,玻璃薄片20可藉由接合區域40接合至玻璃載體10。
在第三用途之一個實施例中,接合區域40、載體10及薄片20可彼此共價鍵結以便其充當單塊。另外,存在具有周邊52之受控制接合區域50,其中載體10及薄片20彼此接合,足以耐受處理,且甚至在高溫處理之後,例如在600℃之溫度下處理之後,仍允許薄片與載體之分離。因此,如藉由以上實例1a、1b、1c、2b、2c、2d、4a、4b、12d、12e、12f、12h及12i所例證,表面改質層30(包括材料及接合表面熱處理)可用於在載體10與薄片20之間提供接合區域40。確切言之,此等表面改質層及熱處理可形成於載體10上或薄片20上之受控制接合區域50之周邊52外部。因此,當物件2在高溫下處理(processed),或在高溫下處理(treated)以形成共價鍵時,載體及薄片20將在藉由周邊52分界之區域外部的接合區域40內彼此接合。隨後,在具有周邊57之所要部分56之提取期間,當需要將薄片20及載體10切塊時,物件可沿線5分離,因為此等表面改質層及熱處理將薄片20與載體10共價鍵結,因此該薄片20與該載體10在此區域中充當單塊。因為表面改質層提供薄片與載體之永久共價鍵結,所以其可用於其中溫度600℃之製程。此外,在物件之熱處理或接合區域40之初始形成之熱處理將為400℃但小於600℃的情況下,如藉由實例4a中之材料及熱處理所例證,表面改質層亦可以此相同方式來使用。
在第三用途之第二實施例中,在接合區域40中,載體10及薄片20可藉由經由以上所述的各種表面改質層之受控制接合而彼此接合。另外,存在具有周邊52之受控制接合區域50,其中載體10及薄片20彼此接合,足以耐受處理,且甚至在高溫處理之後,例如在600℃之溫度下處理之後,仍允許薄片與載體之分離。因此,若處理將在至多600℃之溫度下執行,且需要在區域40中不具有永久接合或共價鍵結,則如藉由以上實例2e、3a、3b、4c、4d、4e及表5之實例所例證,表面改質層30(包括材料及接合表面熱處理)可用於提供在載體10之玻璃接合表面與薄片20之玻璃接合表面之間的接合區域40。確切言之,此等表面改質層及熱處理可形成於受控制接合區域50之周邊52外部,且可形成於載體10上或薄片20上。受控制接合區域50可利用與接合區域40中形成的表面改質層相同或不同的表面改質層來形成。替代地,若處理將在僅至多400℃之溫度下執行,且需要在區域40中不具有永久接合或共價鍵結,則如藉由以上實例2c、2d、2e、3a、3b、4b、4c、4d、4e、表5之實例、表7-11之實例(包括如表10之實例之替代例所論述的實例)、實例12a、12b、12c、12g、12j及利用單獨的O2之表面處理之實例所例證,表面改質層30(包括材料及接合表面熱處理)可用於在載體10之玻璃接合表面與薄片20之玻璃接合表面之間提供接合區域40。
替代區域50中之受控制接合,可在區域50中存在非接合區域,其中非接合區域可為如US‘727所述的具有增加 的表面粗糙度之區域,或如藉由實例2a所例證,可為藉由表面改質層提供。
用於整體退火或整體處理
上述方式之受控制接合之第四用途係用於玻璃片堆疊之整體退火。退火為用於達成玻璃之壓實的熱製程。壓實涉及將玻璃主體再熱至低於玻璃軟化點,但高於在後續處理步驟中所達到之最高溫度的溫度。此舉在後續處理之前而非在後續處理期間於玻璃中達成結構重排及尺寸鬆弛。在後續處理之前退火有益於在後續處理期間維持玻璃主體中之精確對準及/或平坦度,如在平板顯示器裝置之製造的情況,其中由許多層製成之結構需要以極緊密容限來對準,甚至在經受高溫環境之後亦如此。若玻璃在一個高溫製程中壓實,則在高溫製程之前沉積於玻璃上之結構之層可不與在高溫製程之後沉積的結構之層正確地對準。
將呈堆疊之玻璃片壓實在經濟上為有吸引力的。然而,此使得將相鄰片材交錯或分離成為必需,以便避免黏結。同時,其有益於維持片材極為平坦且具有光學品質,或原始(pristine)表面精製度。另外,對玻璃片(例如具有小表面積之片材)之某些堆疊而言,可為有益的是:在退火製程期間使玻璃片「黏結」在一起,以便其可易於作為一單元移動而不分離,但在退火製程之後易於彼此分離(藉由例如剝離分離),以便片材可獲單獨使用。替代地,可為有益的是:退火玻璃片之堆疊,其中阻止玻璃片中之經選擇玻璃片彼此永久地接合,而同時,允許玻璃片之其他者或彼等其他玻璃片之部分(例 如其周邊)彼此永久地接合。作為又一替代,可為有益的是:將玻璃片堆疊來整體地、選擇性地永久接合堆疊中經選擇的一對相鄰片材之周邊。玻璃片之間的上述方式之受控制接合可用於達成前述整體退火及/或選擇性接合。為控制相鄰片材之間的任何特定界面處之接合,可於面向彼界面之主表面之至少一者上使用表面改質層。
適用於經選擇區域中(例如圍繞周邊)之整體退火或整體永久接合的玻璃片堆疊之一個實施例將參考第7圖及第8圖來描述。其中,第7圖為玻璃片770-772之堆疊760之示意側視圖,且第8圖為其展開圖,以達進一步解釋之目的。
玻璃片之堆疊760可包括玻璃片770-772及表面改質層790,該等表面改質層用以控制玻璃片770-772之間的接合。另外,堆疊760可包括安置於堆疊之頂部及底部上的蓋片780、781,且可包括在蓋材與相鄰玻璃片之間的表面改質層790。
如第8圖所示,玻璃片770-772之每一者包括第一主表面776及第二主表面778。玻璃片可由任何適合玻璃材料製成,該玻璃材料例如鋁矽酸鹽玻璃、硼矽酸鹽玻璃或鋁硼矽酸鹽玻璃。另外,玻璃可為含鹼金屬的,或可為不含鹼金屬的。玻璃片770-772之每一者可具有相同組成物,或片材可具有不同組成物。另外,玻璃片可具有任何適合類型。亦即,例如,玻璃片770-772可全部為如上所述的載體,可全部為如上所述的薄片,或可交替地為載體及薄片。有益的是當整體退火對載體而言與對薄片而言需要不同時間-溫度循環時,獲 得載體之堆疊及薄片之獨立堆疊。替代地,在正確的表面改質層材料及置放的情況下,可需要獲得具有交替載體及薄片之堆疊,藉以在需要時,成對之載體及薄片(亦即形成物件之彼等載體及薄片)可彼此整體地共價鍵結,以用於稍後處理,而同時保存相鄰物件彼此分離之能力。另外,堆疊中可存在任何適合數量之玻璃片。亦即,儘管僅三個玻璃片770-772展示於第7圖及第8圖中,但任何適合數量之玻璃片可包括於堆疊760中。
在任何特定堆疊760中,任一玻璃片可不包括表面改質層、包括一個表面改質層或包括兩個表面改質層。例如,如第8圖所示,片材770不包括表面改質層,片材771於其第二主表面778上包括一個表面改質層790,且片材772包括兩個表面改質層790,其中一個此種表面改質層處於其主表面776、778之每一者上。
蓋片780、781可為適合地耐受(例如,不僅就時間及溫度而言,而且相對於如除氣之其他切合考慮而言)給定製程之時間-溫度循環的任何材料。有利地,蓋片可由與所處理之玻璃片相同的材料製成。當蓋片780、781存在且具有不合需要地在置放成堆疊之後、在給定時間-溫度循環期間與玻璃片接合的材料時,表面改質層790可適當時包括在玻璃片771與蓋片781之間,及/或包括在玻璃片772與蓋片780之間。當存在於蓋材與玻璃片之間時,表面改質層可處於蓋材上(如用蓋材781及相鄰片材771所示),可處於玻璃片上(如用蓋材780及片材772所示),或可處於蓋材及相鄰片材兩者上(未圖 示)。替代地,若蓋片780、781存在,但具有將不與相鄰片材0、772接合的材料,則表面改質層790無需存在於其之間。
堆疊中相鄰片材之間存在界面。例如,在玻璃片770-772之相鄰玻璃片之間限定一界面,亦即,片材770與片材771之間存在界面791,且片材770與片材772之間存在界面792。另外,當蓋片780、781存在時,蓋材781與片材771之間存在界面793,以及片材772與蓋材780之間存在界面794。
為控制在相鄰玻璃片之間的給定界面791、792處或在玻璃片與蓋片之間的給定界面793、794處的接合,可使用表面改質層790。例如,如圖所示,在每一界面791、792處,於面向界面之主表面之至少一者上存在表面改質層790。例如,對界面791而言,玻璃片771之第二主表面778包括表面改質層790,以控制片材771與相鄰片材770之間的接合。儘管未圖示,但片材770之第一主表面776亦可於其上包括表面改質層790,以控制與片材771之接合,亦即,面向任何特定界面之主表面之每一者上存在表面改質層。
在任何給定界面791-794處之特定表面改質層790(及任何相關聯表面改質處理-例如,在特定表面改質層施加至特定表面之前對彼表面的熱處理,或可與表面改質層接觸之表面之表面熱處理)可經選擇用於面向彼特定界面791-794之主表面776、778,以控制相鄰片材之間的接合,且進而達成針對堆疊760所經受之給定時間-溫度循環之所要結果。
若需要在至多400℃之溫度下整體退火玻璃片770-772之堆疊,且在退火製程之後將玻璃片之每一者彼此分離,則在例如界面791之任何特定界面處之接合可使用根據以下實例中任一實例之材料連同任何相關聯表面製備一起來控制:實例2a、2c、2d、2e、3a、3b、4b-4e、表5之實例、表7-11之實例(包括如表10之實例之替代例所論述的實例)、實例12a、12b、12c、12g、12j或利用單獨的O2之表面處理之實例。更確切言之,片材770之第一表面776將如表2-4中之「薄玻璃」來處理,而片材771之第二表面778將如表2-4中之「載體」來處理,或反之亦然。具有至多400℃之溫度的適合時間-溫度循環可隨後基於所要壓實度、堆疊中片材之數量以及片材之大小及厚度來選擇,以便遍及堆疊達成必要的時間-溫度。
類似地,若需要在至多600℃之溫度下整體退火玻璃片770-772之堆疊,且在退火製程之後將玻璃片之每一者彼此分離,則在例如界面791之任何特定界面處之接合可使用根據以下實例中任一實例之材料連同任何相關聯表面製備來控制:實例2a、2e、3a、3b、4c、4d、4e或表5之實例。更確切言之,片材770之第一表面776將如表2-4中之「薄玻璃」來處理,而片材771之第二表面778將如表2-4中之「載體」來處理,或反之亦然。具有至多600℃之溫度的適合時間-溫度循環可隨後基於所要壓實度、堆疊中片材之數量以及片材之大小及厚度來選擇,以便遍及堆疊達成必要的時間-溫度。
另外,可能藉由適當地配置片材之堆疊及每一對片材之間的表面改質層來執行整體退火及整體物件形成。若需要在至多400℃之溫度下整體退火玻璃片770-772之堆疊,且隨後將一對相鄰片材整體地彼此共價鍵結以形成物件2,則適合材料及相關聯表面製備可經選擇用於控制接合。例如,圍繞周邊(或在其他所要接合區域40處),可使用以下來控制在欲形成為物件2之一對玻璃片(例如片材770及771)之間的界面處之接合:(i)圍繞片材770、771之周邊(或其他所要接合區域40),根據以下實例中任一實例之材料連同任何相關聯表面製備:實例2c、2d、4b、表7-11之實例(包括如表10之實例之替代例所論述的實例)、實例12a、12b、12c、12g、12j或利用單獨的O2之表面處理之實例;以及(ii)在片材770、771之內部區域(亦即,如在(i)中處理的周邊之區域內部,或在其中需要一個片材與另一片材之分離的所要受控制接合區域50中)上,根據以下實例中任一實例之材料連同任何相關聯表面製備:實例2a、2e、3a、3b、4c、4d、4e或表5之實例。在此狀況下,受控制接合區域50中之裝置處理可隨後在至多600℃之溫度下執行。
材料及熱處理可針對彼此之相容性來適當地選擇。例如,材料2c、2d或4b之任何材料可與根據實例2a的用於受控制接合區域之材料一起用於接合區域40。替代地,用於接合區域及受控制接合區域之熱處理可經適當地控制,以最小化不利地影響相鄰區域中之接合之所要程度的一個區域中的熱處理之效應。
在適當地選擇用於堆疊中之玻璃片的表面改質層790及相關聯熱處理之後,彼等片材可適當地佈置於堆疊中,且隨後加熱至400℃以整體退火堆疊中之所有片材,而該等片材彼此不永久地接合。隨後,堆疊可加熱至多至600℃以在一對相鄰片材之所要接合區域中形成共價鍵,從而形成具有接合區域及受控制接合區域之圖案的物件2。可利用實例2a、2e、3a、3b、4c、4d、4e、表5之實例之材料及相關聯熱處理來控制在欲藉由接合區域40共價鍵結來形成物件2之一對片材與形成單獨但相鄰物件2之另一對此等片材之間的界面處之接合,以便相鄰物件2不會彼此共價鍵結。以控制相鄰物件之間的接合之此相同方式,可控制物件與存在於堆疊中之蓋片之間的接合。
另外,類似於以上,可能自堆疊760整體地形成物件2,而不預先退火彼相同堆疊760。取而代之,片材可已獨立地退火,或在不同堆疊中退火且與之分離,之後針對在堆疊中之所要受控制接合來配置該等片材以整體地產生物件。根據剛於以上描述方式之整體退火且隨後自同一個堆疊整體地形成物件,簡單地省略整體退火。
儘管僅在以上詳細地解釋控制界面791處之接合之方式,但當然可在界面792處或對可存在於特定堆疊中之任何其他界面進行相同控制-如在堆疊中玻璃片大於三個的狀況,或如在存在將不合需要地接合至玻璃片之蓋片的情況。另外,控制接合之相同方式可在存在的任何界面791、792、793、794處使用,但控制接合之上述方式中之不同方式亦可 在不同界面處使用,以就所要接合類型而言產生相同或不同結果。
在整體退火或整體地形成物件2之以上製程中,當HMDS用作用於控制界面處之接合的材料,且HMDS暴露於堆疊之外周邊時,應在希望阻止HMDS之區域中之共價鍵結時,於不含氧氣氛中執行約400℃以上的加熱。亦即,若HMDS暴露於氣氛中足以氧化HMDS之一定量之氧(在約400℃以上之溫度下),則其中HMDS已氧化之任何此種區域中之接合將變成相鄰玻璃片之間的共價鍵結。其他烷基烴矽烷可類似地受在較高溫度下(例如約400℃以上)暴露於氧之影響,該等烷基烴矽烷例如乙基、丙基、丁基或硬脂基(steryl)矽烷。類似地,若使用其他材料用於表面改質層,則應選擇用於整體退火之環境,以便材料在退火之時間-溫度循環內不會降級。如本文所使用,不含氧可意指以體積計小於1000ppm,更佳以體積計小於100ppm之氧濃度。
一旦片材之堆疊已整體退火,即可將個別片材與堆疊分離。個別片材可經處理(例如,藉由氧電漿、在400℃之溫度下的氧環境中加熱或藉由化學氧化、SC1或SC2)以移除表面改質層790。個別片材可在需要時用作例如電子裝置基板,例如OLED、FPD或PV裝置)。
整體退火或整體處理之上述方法具有以經濟方式維持清潔片材表面之優點。更確切言之,片材不需要自始至終保持於清潔環境中,如保持於清潔室退火窯爐中。取而代之,堆疊可在清潔環境中形成,且隨後在標準退火窯爐(亦即,其 中清潔度不受控制之退火窯爐)中處理,而片材表面不因粒子而弄髒,因為片材之間沒有流體流動。因此,片材表面經保護而免於片材之堆疊於其中退火之環境。在退火之後,片材之堆疊可易於運送至另一處理區域(於相同設施中或不同設施中),因為片材維持一定黏著程度,但保持可以足夠的力彼此分離而不破壞片材。亦即,玻璃製造者(例如)可組裝且退火玻璃片之堆疊,且隨後將片材作為堆疊裝運,其中在裝運期間該等片材保持在一起(而不擔憂其在運輸中分離),在達到其目的地之後,可由消費者將片材自堆疊分離,該消費者可單獨地使用片材或以較小群組來使用片材。一旦需要分離,即可再次在清潔環境中處理片材之堆疊(必要時在洗滌堆疊之後)。
整體退火之實例
玻璃基板係以自熔融拉制拉製製程接收之狀態來使用。熔融拉制拉製玻璃組成物為(以莫耳%計):SiO2(67.7)、Al2O3(11.0)、B2O3(9.8)、CaO(8.7)、MgO(2.3)、SrO(0.5)。藉由微影方法、利用200nm深基準點/游標、使用HF將七(7)個0.7mm厚乘150mm直徑之熔融拉制拉製玻璃基板圖案化。將兩(2)nm之電漿沉積氟聚合物作為表面改質層塗佈於所有玻璃基板之所有接合表面上,亦即,塗佈基板面向另一基板之每一表面,其後,每一片材表面之所得表面能量為大致35mJ/m2。將7個經塗佈個別玻璃基板置放在一起,以形成單一、厚基板(稱為「玻璃堆疊」)。在氮沖洗管式爐中將玻璃堆疊歷經15分鐘時期自30℃斜坡升溫退火至590℃,在590℃下保持30分鐘,隨後歷經50分鐘時期斜坡降溫至約230 ℃,隨後將玻璃堆疊自爐移除,且於約10分鐘內冷卻至約30℃之室溫。在冷卻之後,將基板自爐移除,且易於使用剃刀楔形物分離成個別片材(亦即,樣本在總體上或局部上不永久地接合)。藉由將玻璃基準點與非退火石英參考相比較,對每一個別基板量測壓實。發現個別基板緊實約185ppm。使基板中之兩者作為個別樣本(未堆疊在一起)經歷如上所述的第二退火循環(590℃/保持30分鐘)。再次量測壓實,且發現基板由於第二熱處理進一步緊實小於10ppm(實際上0至2.5ppm)(在第二熱處理之後玻璃尺寸變化-相較於原始玻璃尺寸-減去在第一熱處理之後玻璃尺寸變化)。因此,發明人已證明:個別玻璃片可經塗佈、堆疊、在高溫下熱處理以達成壓實、冷卻、分離成個別片材,且在第二熱處理之後具有<10ppm及甚至<ppm之尺寸變化(相較於其在第一熱處理之後的大小)。
儘管上述退火實例中之爐係用氮沖洗,但退火爐亦可用包括空氣、氬、氧、CO2或其組合之其他氣體沖洗,此取決於退火溫度及表面改質層材料在特定環境中於彼等溫度下之穩定性。替代惰性氣氛,上述退火中之爐可為真空環境。
另外,儘管未圖示,但玻璃可以捲軸形式替代片材形式來退火。亦即,適合表面改質層可形成於玻璃帶之一或兩側上,且隨後輥制帶材。整個捲筒可經受如上對片材所指出相同的處理,其後將整個捲軸之玻璃退火,而不將玻璃之一個包覆材(wrap)黏結至相鄰包覆材。在展開之後,表面改質層可藉由任何適合製程來移除。
除氣
用於典型晶圓接合應用之聚合物黏著劑通常為10-100微米厚,且在其溫度極限處或附近損失其質量之約5%。對自厚聚合物膜逸出之此等材料而言,易於藉由質譜測定法來定量質量損失或除氣之量。另一方面,量測自大約10nm厚或更小之薄表面處理物之除氣更具挑戰,該等薄表面處理物例如以上所述的電漿聚合物或自組裝單層表面改質層,以及熱解矽油之薄層。對此等材料而言,質譜測定法不夠敏感。然而,存在許多量測除氣之其他方式。
量測小量除氣之第一方式係基於表面能量量測,且將參考第9圖來描述。為進行此試驗,可使用如第9圖所示的設置。其上具有待測試表面改質層之第一基板或載體900存在表面902,亦即,在組成物及厚度上相應於待測試之表面改質層30的表面改質層。第二基板或蓋材910經置放以便其表面912緊接於載體900之表面902,但未與其接觸。表面912為未塗佈表面,亦即,自其製成蓋材之裸材料之表面。隔片920置放於載體900與蓋材910之間的各種點處,以將其固持成彼此間隔的關係。隔片920應足夠厚以將蓋材910與載體900分離,從而允許材料自一者移動至另一者,但足夠薄以便在測試期間,最小化自腔室氣氛對表面902及912的污染之量。載體900、隔片920及蓋材910一起形成試驗物件901。
在試驗物件901之組裝之前,量測裸表面912之表面能量,如表面902(亦即,載體900的具有提供於其上之表 面改質層的表面)之表面能量。藉由對三種試驗液體;水、二碘甲烷及十六烷之接觸角擬合Wu模型來量測如第10圖所示的表面能量,即極性分量及分散分量兩者。
在組裝之後,將試驗物件901置放於加熱室930中,且經由時間-溫度循環加熱。在大氣壓下及流動N2氣下執行加熱,該流動N2氣亦即在箭頭940之方向上以每分鐘2標準公升之速率流動。
在加熱循環期間,表面902之變化(例如,包括表面改質層由於蒸發、熱解、分解、聚合、與載體反應及抗濕潤(de-wetting)之變化)係藉由表面902之表面能量變化來證明。表面902之表面能量自身之變化未必意指表面改質層已除氣,但指示材料於彼溫度下之總體不穩定性,因為其特性由於例如以上所指出之機制而變化。因此,表面902之表面能量變化愈小,表面改質層愈穩定。另一方面,由於表面912與表面902之緊接性,自表面902除氣的任何材料將收集於表面912上,且將使表面912之表面能量變化。因此,表面912之表面能量變化為存在於表面902上之表面改質層之除氣的代表(proxy)。
因此,用於除氣之一個試驗使用蓋材表面912之表面能量變化。確切言之,若表面能量-表面912之表面能量-之變化10mJ/m2,則存在除氣。此量值之表面能量變化與可導致膜黏著之損失或材料性質及裝置效能之降級的污染一致。5mJ/m2之表面能量變化接近表面能量量測之可重複性及表面能量之非均質性。此種小的變化與最少除氣一致。
在產生第10圖中之結果的測試期間,載體900、蓋材910及隔片920均由Eagle XG玻璃製成,該Eagle XG玻璃為可購自Corning Incorporated,Corning,NY之不含鹼金屬鋁硼矽酸鹽顯示級玻璃,儘管無需為此種狀況。載體900及蓋材910為150mm直徑0.63mm厚。通常,載體910及蓋材920將分別由與載體10及薄片20相同的材料製成,需要對該材料的除氣試驗。在此測試期間,矽隔片為0.63mm厚、2mm寬及8cm長,進而在表面902與表面912之間形成0.63mm之間隙。在此試驗期間,將腔室930併入MPT-RTF600s快速熱處理裝備中,該腔室以每分鐘9.2℃之速率自室溫循環至試驗極限溫度;該腔室保持在試驗極限溫度下歷時如圖表中「退火時間」所示的變化時間,且隨後以爐速率冷卻至200℃。在烘箱已冷卻至200℃之後,移除試驗物件,且在試驗物件已冷卻至室溫之後,再次量測每一表面902及表面912之表面能量。因此,舉例而言,在使用材料#1、線1003之蓋材表面能量變化(測試至450℃之極限溫度)之資料的情況下,資料係如下收集。在0分鐘處之資料點展示75mJ/m2(毫焦耳每平方公尺)之表面能量,且其為裸玻璃之表面能量,亦即,尚未運轉時間-溫度循環。在一分鐘處之資料點指示在如下執行的時間-溫度循環之後所量測的表面能量:將物件901(具有材料#1,其用作載體900上之表面改質層以呈現表面902)置放於處於室溫及大氣壓下之加熱室930中;在處於每分鐘兩標準公升下的N2氣流的情況下,以每分鐘9.2℃之速率將腔室加熱至450℃之試驗極限溫度,且在450℃之試驗極限溫度下保 持1分鐘;隨後以每分鐘1℃之速率使腔室冷卻至300℃,且隨後將物件901自腔室930移除;隨後使物件冷卻至室溫(無N2流動氣氛);隨後量測表面912之表面能量且繪製為線1003上針對1分鐘之點。隨後在試驗極限溫度(450℃或適當時600℃)下、利用相應於保持時間的數分鐘之退火時間,以類似方式測定材料#1之剩餘資料點(線1003、1004),以及材料#2(線1203、1204)、材料#3(線1303、1304)、材料#4(線1403、1404)、材料#5(線1503、1504)、材料#6(線1603及1604)及材料#7(線1703、1704)之資料點。表示相應表面改質層材料(材料#1-7)之表面902之表面能量的線1001、1002、1201、1202、1301、1302、1401、1402、1501、1502、1601、1602、1701及1702之資料點係以類似方式測定,例外之處在於表面902之表面能量係於每一時間-溫度循環之後量測。
如以下闡述,對七種不同材料進行上述組裝製程及時間-溫度循環,且將結果在第10圖作圖。七種材料中,材料#1-4及7相應於以上所述的表面改質層材料。材料#5及#6為比較實例。
材料#1為CHF3-CF4電漿聚合氟聚合物。此材料與以上實例3b中之表面改質層一致。如第10圖所示,線1001及1002展示載體之表面能量不會顯著變化。因此,此材料在自450℃至600℃之溫度下極為穩定。另外,如藉由線1003及1004所示,蓋材之表面能量亦不會顯著變化,亦即變化為5mJ/m2。因此,自450℃至600℃不存在與此材料相關聯的除氣。
材料#2為苯基矽烷,其為自苯基三乙氧基矽烷之1%甲苯溶液沉積的且於190℃下在真空烘箱中固化30分鐘之自組裝單層(SAM)。此材料與以上實例4c中之表面改質層一致。如第10圖所示,線1201及1202指示載體上之表面能量之一些變化。如上所指出,此指示表面改質層之一些變化,且相比較而言,材料#2比材料#1稍不穩定。然而,如藉由線1203及1204所示,載體之表面能量變化為5mJ/m2,證實對表面改質層之變化不產生除氣。
材料#3為五氟苯基矽烷,其為自五氟苯基三乙氧基矽烷之1%甲苯溶液沉積的且於190℃下在真空烘箱中固化30分鐘之SAM。此材料與以上實例4e中之表面改質層一致。如第10圖所示,線1301及1302指示載體上之表面能量之一些變化。如上所指出,此指示表面改質層之一些變化,且相比較而言,材料#3比材料#1稍不穩定。然而,如藉由線1303及1304所示,載體之表面能量變化為5mJ/m2,證實對表面改質層之變化不產生除氣。
材料#4為六甲基二矽氮烷(HMDS),其係於140℃下之YES HMDS烘箱中自蒸氣沉積。此材料與以上表2之實例2b中之表面改質層一致。如第10圖所示,線1401及1402指示載體上之表面能量之一些變化。如上所指出,此指示表面改質層之一些變化,且相比較而言,材料#4比材料#1稍不穩定。另外,材料#4的載體之表面能量變化大於材料#2及#3之任何材料之彼變化,相比較而言指示材料#4比材料#2及#3稍不穩定。然而,如藉由線1403及1404所示,載體之表面 能量變化為5mJ/m2,證實對表面改質層之變化不產生影響蓋材之表面能量的除氣。然而,此與其中HMDS除氣之方式一致。亦即,HMDS使氨及水除氣,該氨及水不影響蓋材之表面能量,且可不影響一些電子設備製作裝備及/或處理。另一方面,當除氣之產物捕獲於薄片與載體之間時,可存在其他問題,如以下結合第二除氣試驗所指出。
材料#5為縮水甘油氧基丙基矽烷,其為自縮水甘油氧基丙基三乙氧基矽烷之1%甲苯溶液沉積的且於190℃下在真空烘箱中固化30分鐘之SAM。此為比較實例材料。儘管載體之表面能量存在相對小的變化,如藉由線1501及1502所示,但蓋材之表面能量存在顯著變化,如藉由線1503及1504所示。亦即,儘管材料#5在載體表面上相對穩定,但是其的確在蓋材表面上使顯著量之材料除氣,藉以蓋材表面能量變化10mJ/m2。儘管在600℃下、在10分鐘結束時表面能量在10mJ/m2內,但在彼時間期間之變化確實超過10mJ/m2。參見,例如在1分鐘及5分鐘處之資料點。儘管不希望受理論約束,但表面能量自5分鐘至10分鐘之輕微提升可能係與分解且自蓋材表面掉落的一些除氣材料有關。
材料#6為DC704,其為藉由將5ml Dow Corning 704擴散泵油四甲基四苯基三矽氧烷(可購自Dow Corning)分配於載體上,在空氣中將其置放於500℃熱板上歷時8分鐘所製備的聚矽氧塗層。可見發煙之結束表明樣本製備之完成。在以上述方式製備樣本之後,進行以上所述的除氣測試。此為比較實例材料。如第10圖所示,線1601及1602指示載體上之 表面能量之一些變化。如上所指出,此指示表面改質層之一些變化,且相比較而言,材料#6比材料#1稍不穩定。另外,如藉由線1603及1604所示,載體之表面能量變化10mJ/M2,證實顯著除氣。更特定而言,在450℃之試驗極限溫度下,10分鐘之資料點展示約15mJ/m2之表面能量減小,及對在1分鐘及5分鐘處之點而言甚至更大的表面能量減小。類似地,就在600℃試驗極限溫度下之循環期間蓋材之表面能量變化而言,在10分鐘資料點處,蓋材之表面能量減小為約25mJ/m2,在5分鐘處稍微更大,且在1分鐘下稍微更小。總而言之,儘管如此,在測試之全程內,證實此材料之顯著量之除氣。
材料#7為CH4-H2電漿沉積聚合物,其係用短暫N2-O2及N2電漿順序地處理。此材料類似於以上表11之實例中之表面改質層。如第10圖所示,線7001及7002展示載體之表面能量不會顯著變化。因此,此材料在自450℃至600℃之溫度下極為穩定。另外,如藉由線7003及7004所示,蓋材之表面能量亦不會顯著變化,亦即變化為5mJ/m2。因此,自450℃至600℃不存在與此材料相關聯的除氣。
顯著地,對材料#1-4及7而言,時間-溫度循環全程之表面能量指示:蓋材表面之表面能量保持為與裸玻璃之彼者一致,亦即,未收集到自載體表面除氣之材料。在材料#4的狀況下,如結合表2所指出,製備載體表面及薄片表面之方式在物件(薄片經由表面改質層與載體接合在一起)是否將在PPD處理中保全方面產生大的差異。因此,儘管第10圖中 展示之材料#4之實例可能不除氣,但此材料可或可不在400℃或600℃試驗中保全,如結合表2之論述所指出。
量測小量除氣之第二方式係基於組裝物件,亦即,其中薄片經由表面改質層接合至載體之物件,且使用百分比氣泡面積變化來判定除氣。亦即,在物件之加熱期間,載體與薄片之間形成的氣泡指示表面改質層之除氣。如以上結合第一除氣試驗所指出,難以量測極薄表面改質層之除氣。在此第二試驗中,薄片下之除氣可藉由薄片與載體之間的強黏著來限制。儘管如此,10nm厚之層(例如,電漿聚合材料、SAM及熱解矽油表面處理物)可仍在熱處理期間產生氣泡,而不管其較小的絕對質量損失。而且,薄片與載體之間的氣泡之產生可引起圖案形成、光微影術處理及/或於薄片上之裝置處理期間對準之問題。另外,在薄片與載體之間的接合區域之邊界處的起泡可引起來自一個製程之製程流體污染下游製程的問題。5之%氣泡面積變化為顯著的(指示除氣)且不為合乎需要的。另一方面,1之%氣泡面積變化不為顯著的,且指示尚無除氣。
在1000級清潔室中利用手動接合的接合薄玻璃之平均氣泡面積為1%。接合載體中之%氣泡隨載體、薄玻璃片之清潔度及表面製備而變。因為此等初始缺陷充當用於在熱處理之後氣泡生長之形核位點,在熱處理之後小於1%的氣泡面積之任何變化在樣本製備之可變性以內。為進行此試驗,使用具有透明度單元之市售桌上型掃描器(Epson Expression 10000XL Photo)來獲取在接合之後立即將薄片及載體接合的 區域之第一掃描影像。使用標準Epson軟體、使用508dpi(50微米/像素)及24位元RGB來掃描各部分。影像處理軟體首先藉由以下方式來準備影像:按需要將樣本之不同區段之影像拼接成單一影像,且移除掃描器假影(藉由使用在掃描器中不具有樣本的情況下執行的校準參考掃描)。隨後使用標準影像處理技術來分析接合區域,該等影像處理技術諸如定限化、孔洞填充、侵蝕/擴張及二進制大型物件分析(blob analysis)。亦可以類似方式使用新的Epson Expression 11000XL Photo。在透射模式中,接合區域中之氣泡在掃描影像中可見,且可判定氣泡面積之值。隨後,將氣泡面積與總接合面積(亦即,薄片與載體之間的總重疊面積)相比較,以計算接合區域中之氣泡相對於總接合面積之%面積。隨後在MPT-RTP600s快速熱處理系統中、於N2氣氛下、在300℃、450℃及600℃之試驗極限溫度下,將樣本熱處理至多10分鐘。確切言之,所進行的時間-溫度循環包括:將物件插入處於在室溫及大氣壓下的加熱室中;隨後以每分鐘9℃之速率將腔室加熱至試驗極限溫度;將腔室保持在試驗極限溫度下歷時10分鐘;隨後以爐速率將腔室冷卻至200℃;將物件自腔室移除且使其冷卻至室溫;隨後利用光學掃描器第二次掃描物件。然後如上計算來自第二掃描之%氣泡面積,且將其與來自第一掃描之%氣泡面積相比較,以判定%氣泡面積變化(△%氣泡面積)。如上所指出,5%之氣泡面積變化為顯著的且指示除氣。由於原始%氣泡面積之可變性,將%氣泡面積變化選擇為量測準則。亦即,大多數表面改質層在第一掃描中具有約2%之氣泡面積,此歸 因於在已製備薄片及載體之後且在其接合之前的處置及清潔度。然而,在材料之間可發生變化。相對於第一除氣試驗方法闡述的相同材料#1-7再次用於此第二除氣試驗方法。此等材料中,材料#1-4在第一掃描中展現約2%氣泡面積,而材料#5及#6在第一掃描中展示顯著更大的氣泡面積,亦即,約4%。
第二除氣試驗之結果將參考第11圖及第12圖來描述。材料#1-3及#7之除氣試驗結果展示於第11圖中,而材料#4-6之除氣試驗結果展示於第12圖中。
材料#1之結果在第11圖中展示為正方形資料點。如自該圖可見,%氣泡面積變化對300℃、450℃及600℃之試驗極限溫度而言接近零。因此,材料#1證實在此等溫度下沒有除氣。
材料#2之結果在第11圖中展示為菱形資料點。如自該圖可見,%氣泡面積變化對450℃及600℃之試驗極限溫度而言小於1。因此,材料#2證實在此等溫度下沒有除氣。
材料#3之結果在第11圖中展示為三角形資料點。如自該圖可見,類似於材料#1之結果,%氣泡面積變化對300℃、450℃及600℃之試驗極限溫度而言接近零。因此,材料#1證實在此等溫度下沒有除氣。
材料#7之結果在第11圖中展示為十字形資料點。如自該圖可見,%氣泡面積變化對300℃及450℃之試驗極限溫度而言接近零。因此,材料#7證實在此等溫度下沒有除氣。對600℃之試驗極限溫度而言,材料#7展示小於2之%氣泡面積變化。因此,材料#7證實在此溫度下至多最少的除氣。
材料#4之結果在第12圖中展示為圓形資料點。如自該圖可見,%氣泡面積變化對300℃之試驗極限溫度而言接近零,但對一些樣本而言,在450℃及600℃之試驗極限溫度下接近1%,且對彼相同材料之其他樣本而言,在450℃及600℃之試驗極限溫度下為約5%。材料#4之結果極不一致,且取決於製備薄片表面及載體以供利用HMDS材料進行接合之方式。取決於製備樣本之方式的樣本執行之方式與結合以上表2闡述之此材料之實例及相關聯論述一致。要指出的是,對此材料而言,對450℃及600℃試驗極限溫度而言具有接近1%之%氣泡面積變化的樣本不允許薄片根據以上闡述的分離試驗與載體之分離。亦即,薄片與載體之間的強黏著可具有有限的氣泡產生。另一方面,具有接近5%之%氣泡面積變化的樣本允許薄片與載體之分離。因此,不具有除氣之樣本在將載體及薄片黏結在一起的溫度處理之後具有增加黏著之非所要結果(阻止薄片自載體之移除),而允許薄片從載體之移除的樣本具有除氣之非所要結果。
材料#5之結果在第12圖中展示為三角形資料點。如自該圖可見,%氣泡面積變化對300℃之試驗極限溫度而言約15%,且對450℃及600℃之較高試驗極限溫度而言相當高。因此,材料#5證實在此等溫度下之顯著除氣。
材料#6之結果在第12圖中展示為正方形資料點。如自此圖可見,%氣泡面積變化對300℃之試驗極限溫度而言超過2.5%,且對450℃及600℃之試驗極限溫度而言超過5%。 因此,材料#6證實在450℃及600℃之試驗極限溫度下之顯著除氣。
將聚合物表面接合至玻璃表面
已在諸如聚萘二甲酸乙二酯(PEN)、聚對苯二甲酸乙二酯(polyethylene terephthalate;PET)及聚醯亞胺(polyimide;PI)之聚合物片材上示範論證顯示器,其中裝置製作係利用積層至玻璃載體之PEN的片材至片材製作。聚合物黏著劑之至多100微米厚層典型地用以將PEN及PET積層至玻璃載體,以用於片材至片材處理。此等黏著劑在裝置處理期間之重量損失典型地大於1%,從而對由於溶劑之除氣的污染而言產生挑戰。另外,黏著劑之完全移除受到挑戰,因此玻璃載體通常未得以重複使用。
本申請案描述使用薄表面改質層來在玻璃載體與聚合物片材之間形成適度黏附,以產生受控制暫時接合,該受控制暫時接合十分強以在TFT處理中保全但足夠弱以允許脫接合。然而,熱、真空、溶劑及酸性以及超音波平板顯示器(FPD)製程需要供薄聚合物片材結合至載體之穩健接合,但本文論述的本發明表面改質層之各種表面改質層能夠達成此種受控制接合,以用於在玻璃載體上處理聚合物薄片。另外,受控制接合能夠允許聚合物薄片自載體移除,而無對聚合物薄片或玻璃載體之毀滅性破壞,且進而提供可重複使用玻璃載體。
三種電晶體技術用於FPD背板製作之大量生產中:非晶矽(aSi)底部閘極TFT、多晶矽(polycrystalline silicon;pSi)頂級閘極TFT,及非晶形氧化物(IGZO)底部閘極TFT。此等 技術全部需要>300C之高溫處理步驟。對能夠實現高溫製程之基板的此項要求以及對化學、機械及真空相容性的要求已成為可撓性顯示器於諸如聚合物之現存可撓性基板上之工業化的主要限制。通用製程以聚合物基板之清潔開始,典型地於鹼性溶液中利用超音波或兆頻超音波攪動清潔,接著DI水清洗。裝置結構係在材料沉積及光微影圖案化接著材料蝕刻之許多減除性循環中製作。金屬、介電質及半導體材料係藉由真空製程來沉積,該等真空製程例如濺鍍金屬、透明導電氧化物及氧化物半導體;非晶矽、氮化矽及二氧化矽於高溫下之化學氣相沉積(CVD)沉積。雷射及閃光燈退火允許p-Si結晶而無過量基板加熱,但均勻性受到挑戰且效能相較於玻璃基板而言不良。藉由聚合物抗蝕劑及蝕刻,接著抗蝕劑剝除之光微影圖案化來圖案化各層。使用真空電漿(乾式)蝕刻製程及酸性濕式蝕刻製程。在FPD處理中,光阻劑典型地藉由熱溶劑,典型地利用超音波或兆頻超音波攪動來剝除。
移除黏著劑之厚層阻止載體之可重複使用性。對適用於FPD處理之聚合物黏著劑而言,必須在溶劑、強酸及強鹼中具有良好的化學耐受性。然而,此等相同性質使移除受到挑戰。而且,利用至多100微米厚之層的情況下,電漿製程對移除層而言不為實際的。對有機薄膜電晶體製作之主要挑戰為薄聚合物片材至載體之積層。
本申請案描述用於將聚合物片材受控制暫時接合至玻璃載體以用於FPD製程之方法,且描述用於薄聚合物基板之片材至片材處理之可重複使用玻璃載體。表面改質層於玻 璃載體上之形成以薄聚合物片材與載體之間的適度黏著產生暫時接合。適度黏著係藉由最佳化凡得瓦力及共價吸引能量對總黏著能量之貢獻來達成,該總黏著能量係藉由調變薄片及載體之極性及非極性表面能量分量來控制。此適度接合足夠強以在FPD處理(包括濕式超音波製程、真空製程及熱製程)中保全,且仍允許聚合物片材保持可藉由足夠剝離力之施加而與載體脫接合。脫接合允許在薄聚合物片材上製作之裝置之移除及載體之重複使用,因為表面改質層為<1微米厚且易於在氧電漿中移除。
可獲得以下優點:使用薄表面改質層來產生薄聚合物片材與玻璃載體之間的適度接合。
(1)相較於商業黏著劑而言,用以將薄聚合物片材接合至載體之材料之量的大致100X減少使除氣減少,且使吸收與污染下游製程之污染可能性減少。
(2)高度交聯電漿聚合物表面改質層為非揮發性的及不可溶的,從而減少除氣及製程污染之機率。
(3)表面改質層易於在高溫下、在氧電漿或下游氧電漿中移除。
(4)玻璃載體可重複使用,因為表面改質層為薄的且易於移除。
PEN及PET尤其為可以捲筒形式利用於電子製作之典型選擇聚合物基板。相較於大多數聚合物而言,其為相對化學惰性的,具有低吸水率、低膨脹性,且耐溫度的。然而,此等性質次於玻璃之彼等性質。例如,非熱穩定PEN之最高 溫度為155℃,而PET之最高溫度僅為120℃。此等溫度相較於適用於pSi處理之顯示玻璃之>600℃使用溫度而言為低的。與顯示玻璃之3.5ppm相對,PEN之熱膨脹為約20ppm。而且,在150℃下30min之後,在溫度下之收縮率約0.1%,其遠超過玻璃在顯著更高溫度下之鬆弛及壓實。聚合物基板之此等次級物理性質需要製程適應來以高產率沉積高品質裝置。例如,二氧化矽、氮化矽及非晶矽沉積溫度必須降低以保留於適於聚合物基板之極限值內。
聚合物之上述物理性質亦使得與用於片材至片材處理的剛性載體之接合成為挑戰。例如,聚合物片材之熱膨脹典型地大於顯示玻璃之熱膨脹6x。儘管溫度上限較小,但熱應力足夠大以產生翹曲度及彎曲度,且當使用習知接合技術時引起脫層。使用諸如鹼石灰之高膨脹度玻璃或較高膨脹度金屬載體有助於管控翹曲挑戰,但此等載體典型地相對於污染、相容性或粗糙度(熱轉移)而言具有挑戰。 PEN及PET之表面能量亦顯著地低於玻璃之表面能量。如以下表16所示,在利用SC1化學方法及標準清潔技術清潔之後,Corning® Eagle XG®玻璃展現約77mJ/m2之表面能量。參見實例16e。在無表面處理的情況下,PEN及PET為非極性的,表面能量為43-45mJ/m2(43-45dyn/cm)。參見以下表15,該表為來自「Remote Atmospheric-Pressure Plasma Activation of the Surfaces of Polyethylene Terephthalate and Polyethylene Naphthalate」,E.Gonzalez,II,M.D.Barankin,P.C.Guschl及R.F.Hicks,Langmuir 2008 24(21),12636-12643 之表2。電漿清潔處理(例如藉由氧電漿進行)藉由增加極性分量將表面能量大大地增加至55-65mJ/m2(55-65dyn/cm,「電漿」)。此外,UV臭氧處理或電暈放電可用於清潔聚合物,且短暫地提高其表面能量。然而,隨時間推移,表面能量減小回其先前值(「老化」)。
a 在電漿處理之後大致4000h。
在聚合物接合表面之此等表面能量(約55mJ/m2至約65mJ/m2)及玻璃載體接合表面之約77mJ/m2的情況下,聚合物片材不會充分黏結至玻璃載體來允許結構於片材上之處理,但若首先設置於玻璃載體上且隨後加熱至適度溫度,則聚合物不能自玻璃載體剝離。因此,為在室溫下將PEN或PET初始地接合至玻璃,據發現有益的是:將玻璃載體之表面能量改質至大約匹配PEN或PET之表面能量。另外,據發現上述表面改質層之各種表面改質層控制接合能量,以便聚合物層可甚至在有機-TFT處理循環(包括一小時120℃真空退火及一分鐘150℃後烘焙步驟)之後自玻璃載體剝離。
藉由選擇適當的表面改質層來適當地調整玻璃載體之表面能量,可達成足夠濕潤強度及黏著強度來以一方式將例如PEN或PET之聚合物可控制地接合至玻璃載體,該方式 適用於有機-TFT處理(包括一小時120℃真空退火及一分鐘150℃後烘焙步驟),同時允許在處理之後聚合物自載體之可移除性。聚合物片材可成功地自載體移除,亦即,聚合物片材可控制地接合至載體,即使在以上處理之後,聚合物片材上之OTFT與用以產生其之遮罩上之OTFT之間亦未見電晶體幾何結構之明顯差異。表面改質層可自本說明書全篇中所例證的各種材料及處理中選擇。聚合體材料可有利地在接合之前經電漿清潔(以增加其表面能量之極性分量,以便促進初始接合),但無需如此,因為玻璃載體之表面能量可大大改變,以便達成用於在其當前狀態(亦即,如所接收狀態、如經清潔狀態,或如經老化狀態)下與聚合物受控制接合之適合位準。基於以上實例及以下表16中之彼等實例,可在玻璃載體接合表面上獲得自約36mJ/m2(實例5g)至約80mJ/m2(實例5f)之表面能量範圍。
表面改質之上述方法中之若干方法適合於聚合物片材與玻璃載體之黏著接合,該表面改質包括自碳源形成,例如自烴氣體之電漿聚合形成的彼等表面改質。例如:自氟碳化合物氣體沉積的電漿聚合物膜(實例5a及5g);自氟碳化合物氣體沉積且隨後同時用氮及氫處理的電漿聚合物膜(實例5m);自各種非含氟氣體沉積的電漿聚合物膜(實例6a-6j);自烴氣體、視需要氮及氫沉積的電漿聚合物膜(實例7a-g、12j);自各種非含氟氣體沉積且隨後用氮處理的電漿聚合物膜(實例9a-9j),其中此等表面能量可適用於在清潔度及/或老化之各種狀態下的聚合物;以及自各種非含氟氣體沉積且隨後順序地 用氮隨後氫處理(實例10a-10p),或用稀氨處理(實例8b、8d),或順序地用N2-O2隨後用N2處理(實例11a、11e),或用N2-O2處理(實例11f、12c)的電漿聚合物膜,其全部將尤其良好地與電漿清潔PEN一起工作。在利用不同於PET或PEN之聚合物的情況下,其他表面處理可為適合的,此取決於聚合物在其剛好於接合之前之表面能量、聚合物可受清潔程度及老化程度影響之表面能量。據發現,大約匹配聚合物片材之表面能量之玻璃載體表面能量在初始接合及在控制接合兩者中效能良好,以便聚合物片材可易於在有機-TFT類型處理(包括一小時120℃真空退火及一分鐘150℃後烘焙步驟)之後脫接合。
另外,如下,探查表面改質層之其他調配物,以達成在用以將聚合物薄片接合至玻璃載體之聚合物片材表面能量範圍內之表面能量。
自氣體之混合物形成的表面改質層
使用電漿聚合膜來調節接合表面之表面能量且覆蓋接合表面上之表面羥基及/或控制接合表面上之極性接合類型之一個實例為:表面改質層薄膜自包括烴(例如,甲烷)之源氣體之混合物的沉積。表面改質層之沉積可在大氣壓或減壓中發生,且利用電漿激發來執行,該電漿激發例如DC或RF平行板、感應耦合電漿(ICP)、電子迴旋共振(ECR)、下游微波或RF電漿。電漿聚合表面改質層可安置於載體之接合表面、薄片或兩者上。如以上結合表3之實例所指出,電漿聚合產生高度交聯材料之層。對反應條件及源氣體之控制可用於控制表面改質層膜厚度、密度及化學性質,以特製用於所要應用 之官能基。藉由控制膜性質,包括控制受覆蓋的表面羥基之量,載體接合表面之表面能量可獲調節。表面能量可經調節以便控制接合之程度,亦即,以便在執行來將膜或結構安置於薄片上之後續處理期間,阻止薄片與載體之間的永久共價鍵結。
在以下表16之實例中,各種條件用於將電漿聚合膜沉積於玻璃載體上。玻璃載體係由Corning® Eagle XG®鋁硼矽酸鹽不含鹼金屬顯示玻璃(可購自Corning Incorporated,Corning NY)製成之基板。在膜沉積之前,使用SC1及/或SC2化學方法及標準清潔技術來清潔載體。在STS多工PECVD設備(可購自SPTS,Newport,UK)中以三極體電極組態模式來沉積膜,其中載體置放於平台上,將50瓦特之380kHz RF能量施加於該平台,該平台上方安置有線圈(噴淋頭),將300瓦特之13.5MHz RF能量施加於該線圈(噴淋頭),平台之溫度為200℃,且氣體穿過噴淋頭之流率如表16所示(流率係計為每分鐘之標準立方公分數-sccm)。因此,例如,表16之「表面改質層沉積製程」欄中對實例16b之記法解讀如下:在STS多工PECVD設備中,在200℃之平台溫度下,使200sccm之H2、50sccm之CH4及50sccm之C2F6一起流動穿過噴淋頭,進入具有300mTorr之壓力的腔室中;將300W之13.5MHz RF能量施加於噴淋頭;將50W之380kHz RF能量施加於其上置放有載體之平台;且沉積時間為120秒。表面處理欄中對剩餘實例之記法可以類似方式解讀。表面能量係藉由使用三種不同試驗液體(在此狀況下為水(W)、十六烷(HD)及二碘 甲烷DIM)之接觸角(CA)及Wu模型、以mJ/m2(毫焦耳每平方公尺)來計算。對表面能量而言,展示極性分量(P)及分散分量(D),以及總能量(T)。對此等實例而言,亦展示表面改質層之厚度,以埃「Th(A)」。
實例16e為已利用SC1化學方法及標準清潔技術清潔之後的一塊裸Eagle XG®玻璃。實例16e展示:在清潔之後,玻璃之表面能量為約77mJ/m2
實例16a至16d展示:表面改質層可沉積於玻璃表面上以改質其表面能量,以便玻璃之表面可特製來適於特定接合應用。表16之實例為用於具有所要表面能量及極性基團之表面改質層之沉積的單步製程之實例,如表6及7之實例。
實例16a展示:表面改質層可為自氫及甲烷(烴)氣體之混合物沉積的電漿聚合膜。在此等實例中,表面改質層係沉積於清潔玻璃載體上。因此,所展示的表面改質層之沉積將表面能量自約77mJ/m2減少至約49mJ/m2,其在典型聚合物接合表面上之表面能量之範圍內。
實例16b展示:表面改質層可為自氫、甲烷(烴)及含氟氣體(例如,C2F6,其為一種氟碳化合物)之混合物沉積的電漿聚合膜。在此等實例中,表面改質層係沉積於清潔玻璃基板上。因此,所展示的表面改質層之沉積將表面能量自約77mJ/m2減少至約37mJ/m2,其大約在典型聚合物接合表面上之表面能量之範圍內。實例16b中達成的表面能量低於實例16a中達成的表面能量,從而證實:添加氟至沉積氣體可降低藉由在其他情況下類似表面改質層沉積條件所達成的表面能量。
實例16c展示:表面改質層可為自氫、甲烷(烴)及含氮氣體(例如,N2)之混合物沉積的電漿聚合膜。在此等實例中,表面改質層係沉積於清潔玻璃載體上。因此,所展示的表面改質層之沉積將表面能量自約77mJ/m2減少至約61mJ/m2,其在已受O2電漿處理(如在聚合物片材之清潔期間)的典型聚合物接合表面上之表面能量之範圍內。此表面能量亦在達成將薄玻璃片接合至載體之適合性的範圍內。
實例16d展示:表面改質層可為自甲烷(烴)及含氮氣體(例如,NH3)之混合物沉積的電漿聚合膜。在此等實例中,表面改質層係沉積於清潔玻璃基板上。因此,所展示的表面 改質層之沉積將表面能量自約77mJ/m2減少至約57mJ/m2,其再次在典型聚合物接合表面上之表面能量之範圍內。此外,對一些應用而言,此可適合於將載體接合至薄玻璃片。
相較於藉由實例16a所達成的表面能量,藉由實例16c及16d所達成的表面能量證實:添加氮(藉由N2或藉由NH3)至沉積氣體可增加藉由在其他情況下類似沉積氣體所達成的表面能量。
藉由實例16b之表面改質層獲得的表面能量低於50mJ/m2(視為適合於玻璃薄片與玻璃載體之受控制接合),然而,此表面改質層適合於將聚合物接合表面接合至玻璃接合表面。另外,應指出,藉由實例16c及16d(自烴(甲烷)、視需要含氫氣體(H2)及含氮氣體(N2或氨)之電漿聚合形成)之表面改質層產生的表面能量大於約50mJ/m2,且因此在一些情況下可適合於將薄玻璃片接合至玻璃載體。
接合至具有其上安置有根據表16之實例16a至16d之表面改質層的載體之薄片為自TEONEX® Q65 PEN(可購自DuPont)製成且具有200微米之厚度的基板。
在表16之實例中,儘管其上安置有表面改質層之接合表面為玻璃,但無需為此種狀況。取而代之,接合表面可為具有與玻璃類似的表面能量及性質之另一適合材料,例如,矽、多晶矽、單晶矽、陶瓷、玻璃-陶瓷、藍寶石或石英。
電漿聚合烴聚合物膜可在STS多工CVD中以三極體模式自甲烷及氫(實例16a)並在可選氟碳化合物(實例16b)、可選氮(實例16c)或可選氨(實例16d)添加的情況下沉積。低 達37mJ/M2(實例16b)之表面能量及更高表面能量(約61mJ/m2,實例16c)可利用氟碳化合物或氮添加來達成。亦可達成介於實例16b之能量位準與實例16c之能量位準之間的表面能量(亦即,如實例16a中之約49mJ/m2,及如實例16d中之約57mJ/m2),因此證明基於包括沉積氣體之沉積條件來調節表面改質層之表面能量的能力。
舉相對實例而言,將聚合物膜安置於呈SC1清潔形式的裸玻璃載體上(實例16e)。然而,聚合物片材未充分黏結至載體來允許結構於聚合物片材上之處理。
不僅如此,需要濕潤強度及接合強度適合於有機-TFT處理。聚合物膜與載體之間的巨大不同的熱膨脹係藉由選擇高膨脹度玻璃來最小化膨脹差異,並且藉由減小加熱步驟及冷卻步驟之速率而獲最好管控。對在處理期間具有最小吸水率之平滑及清潔基板表面之需要可藉由旋塗及固化適合有機介電質之薄層來滿足,該旋塗及固化兩者均使表面平面化以及產生用於濕氣及其他污染物之障壁。
表面改質層製程用於將PEN(來自DuPont之TEONEX® Q65 200微厚片材)接合至Corning® Eagle XG®玻璃載體。在利用以下條件沉積之非晶形碳層的情況下發現極好的接合效能:50CH4、200H2、施加於噴淋頭之300W 13.56MHz RF、施加於200℃平台之50W 380kHz RF及2分鐘沉積時間。將PEN在接合之前暴露於UV-臭氧清潔劑5分鐘,因為發現此舉改良黏著。Teflon塗刷器用於塗覆PEN。將約150nm厚環脂族環氧樹脂層旋塗且固化於PEN上,以消除表 面缺陷。有機閘極絕緣體(organic gate insulator;OGI)為光可圖案化環脂族環氧樹脂。
底部閘極底部接觸有機薄膜電晶體之陣列藉由以下製程形成。100nm Al閘極金屬係藉由以下方式沉積:在AJA中濺鍍,且用Fuji 6512抗蝕劑微影圖案化,且藉由在A型Al蝕刻劑中濕式蝕刻來閘極圖案化。藉由於室溫PGMEA浴中3min、接著IPA/DI清洗(基於NMP之剝除劑與環氧樹脂層不相容)來移除光阻劑。將第二環氧樹脂閘極絕緣體層旋塗於圖案化閘極上且使其固化。將100nm厚Ag S/D金屬濺鍍,且用Fuji 6512微影圖案化,且用Transene TFS:pH 10緩衝液之1:1混合物蝕刻。蝕刻成為挑戰,因為Ag蝕刻率快,但蝕刻產物之溶解慢。藉由蝕刻5s,在噴灑DI水的情況下移除蝕刻產物,且重複四至五次而獲得極好的結果。四噻吩并苯-DPP共聚物(tetrathienoacene-DPP co-polymer;PTDPPTFT4)有機半導體(organic semiconductor;OSC)層之濕潤成為挑戰。OSC黏著藉由在120℃下之YES烘箱中的HMDS處理來促進。OSC聚合物以5mg/mL濃度溶於6份十氫萘:4份甲苯中。OSC藉由在Laurel旋塗器中利用手動分配(20秒靜止、500rpm 30秒、1000rpm 60秒)旋塗來塗覆。將OSC膜在熱板上軟烘焙90℃ 2min,且在120℃下、於處於粗真空下之Salvis烘箱中真空退火1hr,以移除殘餘十氫萘。在Branson中使用短暫5秒O2電漿以改良黏附,將第三OGI層旋塗於OSC上,且用2.5秒暴露、1min靜止及1min 150℃後烘焙來直接光圖案化。在1min靜止之後,在PGMEA中使活性層圖 案托盤顯影1min,接著IPA及DI清洗。在Unaxis 790 RIE中使用30sccm O2 10sccm Ar 20sccm CHF3 50mT 200W 15s之乾式蝕刻用於圖案化活性層且暴露閘極金屬。75/75um TFT’s之效能匯總於第18圖中所示的表中,該圖展示典型電晶體之汲極電流相對閘極電壓及效能,該典型電晶體具有75微米通道寬度及75微米通道長度、製作於如上所述可控制地接合至玻璃載體之PEN上的底部閘極底部接觸有機薄膜電晶體。PEN易於藉由使用刀片來起始裂紋且隨後剝落而脫接合。聚合物片材可成功地自載體移除,甚至在以上處理之後如此,因為聚合物片材上之OTFT與用以產生其之遮罩上之OTFT之間亦未見電晶體幾何結構之明顯差異。
形成底部閘極底部接觸有機薄膜電晶體之陣列之上述製程亦成功地利用PEN片材(來自DuPont之TEONEX® Q65200微米厚片材)來進行,該PEN片材可控制地接合至由Corning® Gorilla®玻璃(可購自Corning Incorporated,Corning,NY之含鹼金屬、可化學強化蓋玻璃)製成之載體,並具有選自本文所述的彼等表面改質層之適合表面改質層。
如上所述,聚合物可自身為其上製作有其他裝置之基板。替代地,聚合物可為例如玻璃/聚合物複合物之複合材料基板上之聚合物表面。在此狀況下,玻璃/聚合物複合物之聚合物表面將面向載體,且將如上所述與其接合,而玻璃/聚合物複合物之玻璃表面將暴露為電子或其他結構可製作於其上之表面。在電子或其他結構於玻璃/聚合物複合物之玻璃表面上之製作之後,可將複合物之聚合物表面自載體上之表面 改質層剝離。此實施例可為有利的,因為玻璃/聚合物複合物中之玻璃層變得特別薄,例如,具有以下厚度:50微米、40微米、30微米、20微米、10微米或5微米。在此狀況下,玻璃/聚合物複合物之聚合物部分不僅充當將複合物附接至載體之接合表面,其亦可在複合物未處於載體上時賦予複合物一些處置優點。
用以在半導體及/或中介層處理中處理薄晶圓
本發明之一些實例總體上係關於載體,該等載體接合至較薄基板且自較薄基板移除以允許較薄基板之處理。更特定而言,本發明之一些實例係關於方法及設備,其用於將晶圓接合至載體以供半導體及/或中介層處理,且隨後在此種處理之後將晶圓與載體脫接合。
半導體裝置係藉由在半導體晶圓上或內形成主動裝置來製作。半導體晶圓可包括例如玻璃、矽、多晶矽、單晶矽、氧化矽、氧化鋁、此等者之組合,及/或類似物。數百或數千個積體電路(integrated circuit;IC)或晶粒典型地製造於單一晶圓上。典型地,將複數個絕緣、導電及半導電材料層順序地沉積且圖案化於晶圓上以形成IC。最上方形成的材料層之一典型地包含用於接合焊盤之層,該等接合焊盤達成與晶圓內下伏主動區域及組件之電氣連接。
在形成IC之後,晶圓可經受背側處理。背側處理可包括使晶圓薄化以製備用於封裝之晶圓。例如,在一些技術中,背側處理可包括形成與貫穿基板通孔之電氣連接,該等貫穿基板通孔經形成穿過晶圓以提供背部觸點。在此實例 中,晶圓之背側經由諸如研磨之製程薄化,以便暴露晶圓之背側上的導電通孔。薄化晶圓之此製程可破壞晶圓之邊緣,且可使得晶圓在後續晶圓之運送及處理期間甚至更易碎且對破壞更敏感。
為幫助減輕此等類型之破壞,通常將載體附接至晶圓。此載體係使用黏著劑來附接,且意欲允許晶圓藉由處置載體來處置。另外,載體之增加強度支撐晶圓,以便藉由運送及/或處理引起之應力將不破壞晶圓。
典型載體可為使用黏著劑附接至晶圓之玻璃基板。然而,已發現:晶圓可在處理期間翹曲,且典型載體不提供足夠的支撐以阻止翹曲。由於晶圓之翹曲,製程可失效及/或引起警報條件。IC製作之第一部分稱為前端製程(front-end-of-line;FEOL)處理,其中在半導體中圖案化主動電晶體、電阻器及RC電路,以及用以互連電晶體之局部佈線。FEOL處理亦可包括:阱形成;閘極模組形成;源極及汲極模組形成;DRIE(乾式反應性離子蝕刻);PVD、Ti或Cu或其他;CVD TiN或其他;PECVD SiO2或其他;電解Cu(或其他)電鍍;Cu(或其他)退火;計量學(X射線或其他);Cu(或其他)CMP(化學機械拋光);Cu(H2O2+H2SO4)+Ti(DHF)濕式蝕刻;濺鍍黏著層(Ti或其他);濺鍍種子層(Cu或其他);微影術(光阻劑、暴露、剝除、蝕刻Cu)。由於與FEOL處理相關聯的一些高溫(例如,500℃,在一些情況下,500℃至650℃,且在一些狀況下,至多700℃)製程,許多基於黏著劑之解決方案無法使用,因為該等黏著劑可不能保持接合,其 會使污染物除氣,或兩者兼有。許多黏著劑甚至在例如約300℃的低得多的溫度下除氣。IC製作生產線中將跨於個別晶片連接較長距離且到達偏離晶片位置之粗佈線與晶圓上之佈線互連的部分稱為後端製程(back-end-of-line;BEOL)佈線。BEOL處理亦可包括以下一或多者:觸點、絕緣層、互連佈線、RF屏蔽、鈍化、ESD保護、接合焊盤及用於晶片至封裝解決方案之其他接合位點之形成。儘管BEOL處理溫度通常低於FEOL處理溫度,但介電質沉積典型地發生在350-450℃下,且大多數黏著劑在此等較低溫度下除氣。此外,大多數暫時黏著劑具有高的CTE,其與晶圓及載體材料錯配,且難以移除同時在留下晶圓上留下完整的精細微結構。另外,黏著劑與晶圓及/或載體材料之間的CTE失配可引起晶圓之不合需要翹曲。另外,當黏著劑結合至載體時,其可找到進入中介層之通孔中的方式,且不合需要地阻止通孔之至少部分的金屬化。
因此,對改良的載體-基板解決方案存在需要,該解決方案可耐受處理條件,尤其是FEOL處理之高溫要求。另外,可耐受FEOL之苛刻條件且仍於之後提供容易脫接合之載體-基板解決方案將允許較薄初始基板在一開始就得以使用,進而緩和對後端薄化之需要。亦即,典型的現存半導體工具係設計來處理大約500微米及500微米以上之晶圓。然而,利用支撐晶圓之載體,組合厚度僅需要在工具之處理厚度範圍內即可。因此,例如,具有400微米之厚度的載體可用於支撐100微米之晶圓,且在現存半導體工具中加以組合 處理。在本發明解決方案的情況下,由於甚至在高溫處理之後允許容易分離的受控制接合,100微米晶圓可用作基板,進而避免浪費及在晶圓上形成裝置之後薄化之可能的產量減少。耐受FEOL處理之能力將允許載體-基板解決方案以具有200微米之厚度的晶圓開始,該厚度例如200、190、180、170、160、150、140、130、120、110、100、90、80、70、60、50、40、30或20微米。此種厚度(例如200微米)之晶圓可附接至載體、經處理且隨後自載體移除。當例如多晶矽或單晶矽晶圓用作基板時,此可為主要優點,因為可避免極昂貴材料之移除及浪費;材料可只在其形成狀態厚度下獲處理。
另外,3D IC技術已廣泛地由半導體工業接受為主要技術,該技術趨於改良半導體之效能而無需更多的昂貴高級微影術解決方案,或無需較大晶片大小來適應較大電路。用於3D IC之此種技術依賴於薄化矽IC,且亦依賴於中介層,以將IC之間的電信號以平面組態(2.5D IC)直接再分配於單一中介層上,且將薄化IC(3D IC)堆疊。
可由多晶矽、單晶矽或玻璃製成之此等中介層允許藉由減少路徑長度數毫米至數微米達成對通訊之速度的顯著改良。針對此新技術之超前應用為現場可規劃閘陣列(Field Programmable Gate Arrays;FPGA),其為藉由例如Xilinx(San Jose,CA,USA)製造的高端專用功能性。
中介層特徵為大約50um至100um厚,大小自200mm OD至當今的300mm OD、長期來看趨向大小較大的面板。在金屬化之後電信號經由其得以處理之通孔為5um OD 至150um OD,密度典型地為每平方毫米1至20個通孔,此取決於設計及應用。中介層根據定義為薄的,因為厚中介層引起不可接受的形狀因數(高度)及效能(熱)障礙。薄通常視為約100微米,但通常不超過200微米。另一方面,國際半導體技術藍圖(the International Technology Roadmap for Semiconductors;ITRS)允許降至50um之厚度。此外,具有此等厚度之基板通常無法在現存工具中處理。因此,本揭示內容涵蓋載體之有利用途,及可甚至在高溫處理期間保持與晶圓附接且在此種處理之後仍允許晶圓之容易釋放的載體。
儘管中介層技術為新的,但佔優勢中介層基板為單晶矽,而玻璃作為替代物出現。玻璃之吸引力為效能及成本,但當今尚不存在實現玻璃之此等優點的解決方案。本揭示內容中之概念將允許作為晶圓之各種薄基板(包括矽及玻璃)之處理以及在各種條件下(包括FEOL及BEOL)之處理,以便提供包括IC、RC電路及中介層之各種裝置。
本揭示內容之接合解決方案允許經由所有現存的所需製程步驟以高產率及以低處理時間對在最終厚度玻璃處之薄型材(thin form)以及薄化矽之處理。在薄晶圓經由金屬化、分配層置放處理之後,可使其脫接合,從而留下完整的薄化及經處理中介層及/或IC。此外,將載體與已薄化(大約200微米)矽晶圓一起使用允許晶圓在於其上處理任何裝置之間受屏蔽。因此,成本可得以減少及/或產率得以改良。
鑒於以上,需要一種薄片-載體物件,其可耐受包括高溫處理的FEOL處理之苛刻條件(不存在與其中使用該薄片 -載體物件之半導體或顯示器製作製程不相容的除氣),但允許薄片之整體區域自載體移除(一次性移除,或分部分移除)。本說明書描述用以控制載體與薄片之間的黏著以產生暫時接合之方式,該暫時接合足夠強以在FEOL處理(包括高溫處理)中保全,但足夠弱以甚至在高溫處理之後允許片材與載體之脫接合。更確切言之,本揭示內容提供可提供於薄片上、載體上或兩者上之表面改質層(包括各種材料及相關聯表面熱處理),以便控制薄片與載體之間的室溫凡得瓦力接合及/或氫鍵結及高溫共價鍵結兩者。甚至更確切言之,室溫接合可受控制以便足以在真空處理、濕式處理及/或超音波清潔處理期間將薄片及載體固持在一起。且在同時,高溫共價鍵結可受控制以便在高溫處理期間阻止薄片與載體之間的永久接合,以及維持足夠接合以阻止在高溫處理期間之脫層。在替代實施例中,表面改質層可用於產生各種受控制接合區域(其中載體及片材仍經由各種製程而保持充分接合,該等製程包括真空處理、濕式處理及/或超音波清潔處理)。另外,一些表面改質層提供對載體與片材之間的接合之控制,而同時減少在FPD(例如,LTPS)處理環境中之苛刻條件期間的除氣排放,該等苛刻條件例如包括高溫及/或真空處理。
經由表面改質層(包括各種材料及相關聯接合表面熱處理)之受控制接合之另一用途為將薄片提供於載體上以用於在需要400℃(例如450℃、500℃、550℃、600℃)之溫度之製程中(如在FEOL處理中)處理薄片。亦即,薄片可為在厚度下處理而不必在稍後使其薄化之晶圓。如藉由以上實 例2e、3a、3b、4c、4d、4e及5b-f、5h-l所例證,表面改質層(包括材料及接合表面熱處理)可用於提供載體於此等溫度條件下之重複使用。確切言之,此等表面改質層可用於改質薄片之接合區域與載體之接合區域之間的重疊區域之表面能量,藉以整個薄片可在處理之後與載體分離。薄片可全部一次性分離,或可分部分分離,如例如當首先移除在薄片之部分上產生的裝置,且其後移除任何剩餘部分來清潔載體以供重複使用的情況。在整個薄片自載體移除的情況下,如藉由移除整體薄片,或如藉由移除薄片之切塊區段(該等切塊區段之總和構成整個薄片),載體可藉由只是將另一薄片置放於其上而得以原樣重複使用。替代地,載體可經清潔且藉由重新形成表面改質層再一次製備來運載薄片。因為表面改質層阻止薄片與載體之永久接合,所以其可用於其中溫度600℃之製程。當然,儘管此等表面改質層可在600℃之溫度下處理期間控制接合表面能量,但該等表面改質層亦可用於產生薄片及載體組合,該組合耐受較低溫度下之處理,該等較低溫度例如400℃(例如450℃、500℃、550℃)之溫度,且可用於此等較低溫度應用來控制接合,而無除氣(在實例3a、3b、4c、4d、4e、5b-f、h-l之材料的狀況下),例如用於BEOL處理。此外,在物件之熱處理將不超過400℃的情況下,如藉由以上實例2c、2d、4b、表7-11之實例(包括如表10之實例之替代例)、12、16、或利用單獨的O2之表面處理之實例連同任何表面製備(其描述為適合於將薄玻璃片可控制地接合至玻璃載體)一起所例證,表面改質層亦可以此相同方式在以上 結合彼等表所指出之製程溫度下使用。薄片可為多晶矽或單晶矽晶圓、矽晶圓、玻璃、陶瓷、玻璃-陶瓷、石英、藍寶石,其具有200微米之厚度,且該薄片可在例如500℃之溫度下處理來在FEOL處理中、於其上形成RC電路、IC或其他電子裝置。在FEOL處理之後,晶圓可易於自載體移除而不破壞電子裝置。然而在移除之前,晶圓可另外經歷較低溫度處理,如例如經歷BEOL處理。
經由表面改質層(包括材料及相關聯接合表面熱處理)之受控制接合之第二用途為製作中介層。更確切言之,在使用表面改質層的情況下,可形成受控制接合之區域,其中足夠的分離力可將薄片(或其部分)與載體分離,而無藉由接合引起的對薄片或載體之破壞,但仍在處理全程維持足夠的接合力以將薄片相對於載體固持。在此狀況下,薄片為中介層,其可為自任何適合材料製成的晶圓,該材料包括例如矽、多晶矽、單晶矽、玻璃、陶瓷、玻璃-陶瓷、石英、藍寶石,且該中介層可具有例如200微米之厚度。
現將參考第19圖-第21圖來描述中介層及其製作之實例。
參考第19圖,薄片20可藉由受控制接合區域40接合至載體10。
在此實施例中,載體10可為玻璃基板,或具有與玻璃類似的表面能量之另一適合材料,例如,矽、多晶矽、單晶矽、陶瓷、玻璃-陶瓷、藍寶石或石英。使用玻璃基板之優點在於:具有最小厚度變化之平坦片材可以相對低的成本來 獲得,從而避免對昂貴載體基板之需要。另外,利用玻璃可以成本有效方式達成高品質。亦即,極均勻厚度玻璃基板可極為廉價地製成,且可用作載體。然而,利用本揭示內容之表面改質層的情況下,載體無需如其中晶圓將薄化至最終厚度之狀況一樣為具有低的總厚度變化之高精度載體。亦即,當載體上之晶圓將受薄化時,載體必須具有對總厚度變化之極嚴密控制,因為載體之任何變化將在薄化之後存在於薄化晶圓中。在利用本揭示內容的允許在晶圓已處於最終厚度時於晶圓上形成裝置之表面改質層的情況下,載體之總厚度變化不那麼重要。
在此實施例中,薄片20用於形成中介層56。片材可為矽,包括例如多晶矽或單晶矽晶圓、石英、藍寶石、陶瓷或玻璃。片材20可具有200微米之厚度。中介層56各自具有周邊52及通孔之陣列50,其中陣列50具有周邊57。儘管十個中介層56展示於圖中,但可在一個薄片20上安置任何適合數量-包括一個。為達例示之便利性,每一中介層56係展示為僅具有通孔之一個陣列50,但無需為此種狀況;取而代之,任何中介層56可具有大於一個陣列50。另外,儘管每一中介層係展示為具有相同數量之陣列50,但無需為此種狀況;任何數量(包括零)之中介層可具有相同數量之陣列50。另外,儘管陣列50將典型地具有相同數量及通孔之圖案,但無需為此種狀況。為達例示之便利性,通孔60係僅展示為中介層56之一的陣列50之一,但無需為此種狀況,亦即,剩 餘中介層56之任何一或多者可具有通孔60之一或多個陣列50。
現將對第20圖進行參考,該圖為沿第19圖中之線20-20截取的橫截面圖。通孔60可包括穿通孔,或盲孔,亦即終止於片材20之厚度內的通孔。通孔60具有直徑62,且以間距64間隔。儘管直徑62係展示為相同的,但無需為此種狀況,亦即,可存在處於一個中介層56上之一個陣列50或不同陣列50中之不同直徑通孔。直徑62可例如為5微米至150微米。類似地,儘管通孔62係以相同間距64間隔,但無需為此種狀況,亦即,在一個中介層56上或在一個薄片20上之不同中介層56中的一個陣列50或不同陣列50中可存在不同間距。間距可如此使得例如每平方毫米存在1個至20個通孔,且將取決於中介層之設計及應用。另外,材料61可存在於通孔60之任何一或多者中。材料61可為導電材料、電絕緣材料或其組合。例如,導電材料可形成於通孔之周邊上,亦即,處於其外部直徑62處,且不同導電材料或絕緣材料可用於填充於通孔之剩餘部分中。
現將對第21圖進行參考,該圖為類似於第20圖中之彼者的視圖,但裝置/結構係安置於中介層56上且連接至通孔60。如第21圖所示,裝置66可安置於複數個通孔60上且與其連接。裝置66可包括積體電路;MEMS;微感測器;功率半導體;發光二極體;光子電路;CPU;SRAM;DRAM、eDRAM;ROM、EEPROM;快閃記憶體;中介層;埋入式被動裝置;及製作於矽、矽-鍺、砷化鎵及氮化鎵上或自其製作 之微裝置。儘管僅展示一個裝置66,但可在一個中介層56上存在任何適合數量之裝置66,包括裝置66之陣列。替代地,結構68可安置於僅一個通孔60上且與其連接。結構68可包括:焊料凸塊;金屬柱;金屬支柱;互連路由線路(routing);互連線;絕緣氧化物層;以及自選自由以下組成之群的材料形成的結構:矽、多晶矽、二氧化矽、矽(氧化)氮化物、金屬(例如,Cu、Al、W)、低k介電質、聚合物介電質、金屬氮化物及金屬矽化物。儘管僅展示一個結構68,但可在一個中介層56上存在任何適合數量之結構68,包括結構68之陣列。另外,一或多個結構68可安置於裝置66上。
在受控制接合區域40中,載體10及薄片20彼此接合,以便在整個重疊區域內,載體10及薄片20相連接,但可甚至在高溫處理之後彼此分離,該高溫處理例如在以下溫度下處理;400℃,例如450℃、500℃、550℃、600℃及至多約650℃,或在一些狀況下至多700℃。
如藉由以上實例2a、2e、3a、3b、4c、4d、4e及表5、7-11之實例(包括表10之實例之替代例)、12、16、或利用單獨的O2之表面處理之實例連同任何表面製備(其描述為適合於將薄玻璃片可控制地接合至玻璃載體)一起所例證,包括材料及接合表面熱處理之表面改質層30可用於在載體10與薄片20之間、在以上結合彼等表所指出之製程溫度下提供受控制接合區域40。確切言之,此等表面改質層可形成於載體10上或薄片20上之陣列50之周邊52內。因此,當物件2在裝置處理期間之高溫下處理時,可在載體10與薄片20之 間、於藉由周邊52分界之區域內提供受控制接合,藉以分離力可在此區域中分離(無對薄片或載體之毀滅性破壞)薄片及載體,而薄片及載體將不會在包括超音波處理之處理期間脫層。另外,由於表面改質層之極小厚度,亦即,小於100奈米、小於40奈米、小於10奈米及在一些情況下約2奈米之厚度,不存在由於晶圓與表面改質層之間的CTE錯位晶圓之效應(如在較厚黏著層的狀況下存在該效應,該較厚黏著層亦即大約40-60微米或更大)。另外,當存在對限制薄片與載體之間的除氣之需要時,可使用實例3b、4c、4e、5b-f、h-l、11a-e及12a-j之表面改質層材料。
隨後,在具有周邊52之中介層56(各自具有通孔60之陣列50)之提取期間,薄片20中處於周邊52內之部分可在處理之後及在薄片沿周邊52之分離之後簡單地與載體10分離。替代地,薄片20(及替代地薄片20及載體10兩者)可沿線5切塊,藉以薄片20的大於中介層56周邊52之區段可自載體10移除,或如在載體與薄片20一起切塊的情況下,自載體10之區段移除。因為表面改質層控制接合能量以阻止薄片與載體之永久接合,所以其可用於其中溫度600℃之製程。當然,儘管此等表面改質層可在600℃之溫度下處理期間控制接合表面能量,但該等表面改質層亦可用於產生薄片及載體組合,該組合耐受例如400℃(例如,450℃、500℃、550℃)之較低溫度下之處理,且可用於此等較低溫度應用來控制接合。此外,在物件之熱處理將不超過400℃的情況下,如藉由實例2c、2d、4b、表7-11之實例(包括如表10之實例 之替代例所論述的實例)、實例12a、12b、12c、12g、12J、實例16c、16d及利用單獨的O2之表面處理之實例所例證,表面改質層亦可以此相同方式來使用-在一些情況下,取決於其他製程要求-來控制接合表面能量。此外,如上所指出,實例3b、4c及4e、11a-e及12a-j之表面改質層材料可在薄片與載體之間的除氣為關注點的情況下使用。
結論
應強調的是,本發明之上述實施例,尤其任何「較佳」實施例僅僅為實行方案之可能實例,僅僅闡述來達成對本發明之各種原理之清晰理解。在實質上不脫離本發明之精神及各種原理的情況下,可對本發明之上述實施例做出許多變化及修改。所有此等修改及變化意欲在本文中包括於本揭示內容及本發明之範疇內,且藉由隨附申請專利範圍來保護。
例如,儘管許多實施例之表面改質層30係展示且論述為形成於載體10上,但其可取而代之或另外形成於薄片20上。亦即,適當時,如表3-12及16之實例中所闡述的材料可施加於載體10、施加於薄片20、施加於載體10及薄片20兩者的將接合在一起的面上。
另外,儘管一些表面改質層30描述為控制接合強度以便甚至在400℃或600℃之溫度下處理物件2之後,允許薄片20自載體10移除,但當然可能在比物件2順利完成之特定試驗之彼等溫度更低的溫度下處理物件,且仍達成自載體10移除薄片20而不破壞薄片20或載體10之能力。
另外,儘管受控制接合概念已在本文中描述為用於載體及薄片,但在某些情況下,該等概念適用於控制玻璃、陶瓷或玻璃陶瓷之較厚片材之間的接合,其中可需要使片材(或其部分)彼此脫離。
另外,儘管本文之受控制接合概念已描述為適用於玻璃載體及玻璃薄片,但載體可由其他材料製成,該等其他材料例如陶瓷、玻璃陶瓷或金屬。類似地,可控制地接合至載體之片材可由其他材料製成,該等其他材料例如陶瓷或玻璃陶瓷。
另外,儘管以上在實例3及5-12中之表面改質層係描述為藉由電漿聚合形成,但其他技術可為可能的,例如,藉由熱蒸發濺鍍、呈氣體形式的與接合表面反應之物質之UV活化,或濕式化學方法。
另外,儘管藉由實例6-12之電漿聚合形成的碳質表面改質層係使用甲烷作為聚合物形成氣體來形成,但其他含碳源材料可為可能的。例如,含碳源可包括以下至少一者:1)烴(烷烴、烯烴、炔烴或芳族化合物)。烷烴包括但不限於:甲烷、乙烷、丙烷及丁烷;烯烴包括但不限於:乙烯、丙烯及丁烯;炔烴包括但不限於:乙炔、甲基乙炔、乙基乙炔及二甲基乙炔;芳族化合物包括但不限於:苯、甲苯、二甲苯、乙苯);2)醇(包括:甲醇、乙醇、丙醇);3)醛或酮(包括:甲醛、乙醛及丙酮);4)胺(包括:甲胺、二甲胺、三甲胺及乙胺);5)有機酸(包括:甲酸及乙酸);6)腈(包括:乙腈);7)CO;以及8)CO2。替代地,含碳源可包括以下一或多者:1)飽和 或不飽和烴,或2)含氮或3)含氧飽和或不飽和烴,4)CO或CO2。一些通常典型含碳源材料包括含碳氣體,例如甲烷、乙烷、丙烷、丁烷、乙烯、丙烯、丙炔、乙炔、MAPP、CO及CO2。
另外,儘管如實例5及8-12中用以處理表面改質層且進而增加該表面改質層之表面能量的極性基團,或如實例7、16c、16d中用於表面改質層自身之形成的極性基團為氮及氧,但例如硫及/或磷之其他極性基團可為可能的。
另外,儘管N2及NH3係用作含氮氣體,但其他含氮材料可能可使用,該等其他含氮材料例如肼、N2O、NO、N2O4、甲胺、二甲胺、三甲胺及乙胺、乙腈。
此外,儘管所使用的含氧氣體為N2-O2及O2,但可能可使用其他含氧氣體,例如,O3、H2O、甲醇、乙醇、丙醇、N2O、NO及N2O4。
如自本文論述的實例可見,包括彼等後續處理表面改質層之表面改質層可達成自約1nm(實例16b)或2nm(實例3、4)至約10nm(實例12c、8.8nm)之厚度。另外,較厚表面改質層亦為可能的,如相對於第15圖所解釋。然而,當厚度變成大於約70nm時,表面改質層開始變得半透明,其對受益於光學清晰度之應用而言可為不合需要的。
根據本申請案之各種上述概念可以任何及所有不同組合方式彼此組合。舉例而言,各種概念可根據以下態樣加以組合。
根據第一態樣,提供一種將薄片可控制地接合至載體之方法,該方法包含:獲得具有薄片接合表面之薄片;獲得具有載體接合表面之載體;將碳質表面改質層沉積於該薄片接合表面及該載體接合表面之至少一者上;將極性基團併入該表面改質層;以及經由該表面改質層將該薄片接合表面接合至該載體接合表面。
根據第二態樣,提供態樣1之方法,其中該薄片接合表面及該載體接合表面之至少一者具有包括極性分量之表面能量,且其中該等極性基團之該併入使該表面能量之該極性分量增加至少20mJ/m2
根據第三態樣,提供態樣1或態樣2之方法,其中該等極性基團包含氮及氧之至少一者。
根據第四態樣,提供態樣1-3中任一態樣之方法,其中該表面改質層係藉由含碳氣體之電漿聚合來沉積。
根據第五態樣,提供態樣4之方法,其中該含碳氣體包含烴、烷烴、烯烴、炔烴或芳族化合物之至少一者。
根據第六態樣,提供態樣4之方法,其中該含碳氣體包含甲烷、乙烷、丙烷、丁烷、乙烯、丙烯、丙炔、乙炔、CO及CO2之至少一者。
根據第七態樣,提供態樣4之方法,其進一步包含:在該電漿聚合期間使氫與該含碳氣體一起流動。
根據第八態樣,提供態樣4-7中任一態樣之方法,其進一步包含:在該電漿聚合期間使用稀釋劑氣體來控制沉積氣體之莫耳分數。
根據第九態樣,提供態樣8之方法,其中該稀釋劑氣體包含惰性氣體。
根據第十態樣,提供態樣4-10中任一態樣之方法,其進一步包含:在該電漿聚合期間使反應性氣體流動。
根據第十一態樣,提供態樣10之方法,其中該反應性氣體包含含氮氣體、含氫氣體及含氧氣體之至少一者。
根據第十二態樣,提供態樣1-11中任一態樣之方法,其中沉積該表面改質層係於極性基團之該併入之前執行,且在該薄片接合表面及該載體接合表面之該至少一者上提供第一表面能量;且其中該等極性基團之該併入之步驟係於該表面改質層之該沉積之後執行,且使該第一表面能量變化至第二表面能量,其中該第二表面能量大於該第一表面能量。
根據第十三態樣,提供態樣1-12中任一態樣之方法,其中極性基團併入係藉由以下方式之一來執行:用含氮氣體處理該表面改質層;用第一處理氣體隨後第二處理氣體順序處理該表面改質層,其中該第一處理氣體為含氮氣體及含氫氣體之一,且其中該第二處理氣體為該含氮氣體及該含氫氣體之另一者;用含氧氣體處理該表面改質層;用含氮氣體及含氧氣體電漿處理該表面改質層; 用第一處理氣體隨後第二處理氣體順序電漿處理該表面改質層,其中該第一處理氣體為含氮氣體及含氧氣體且其中該第二處理氣體為含氮氣體。
根據第十四態樣,提供態樣13之方法,其中當使用含氫氣體時,該含氫氣體包含H2,且其中當使用含氮氣體時,該含氮氣體包含氨、N2、肼、N2O、NO、N2O4、甲胺、二甲胺、三甲胺、乙胺及乙腈之至少一者。
根據第十五態樣,提供態樣13之方法,其中當使用含氫氣體時,該含氫氣體包含H2,且其中當使用含氧氣體時,該含氧氣體包含O2、O3、H2O、甲醇、乙醇、丙醇、N2O、NO及N2O4之至少一者。
根據第十六態樣,提供態樣1-15中任一態樣之方法,其中該薄片接合表面包含玻璃。
根據第十七態樣,提供態樣1-16中任一態樣之方法,其中該載體接合表面包含玻璃。
根據第十八態樣,提供態樣17之方法,其中該薄片接合表面及該載體接合表面之該至少一者在該表面改質層之沉積之前具有1nm之平均表面粗糙度Ra。
根據第十九態樣,提供態樣17或態樣18之方法,其中該薄片接合表面及該載體接合表面之該至少一者在該表面改質層之沉積及藉由O2電漿清潔之後續移除之後具有1nm之平均表面粗糙度Ra。
根據第二十態樣,提供態樣17或態樣18之方法,其中該載體接合表面在該表面改質層之沉積之前具有第一平 均表面粗糙度Ra1,其中該載體在該表面改質層已安置於其上且隨後藉由O2電漿清潔移除之後具有第二表面粗糙度Ra2,且當平均表面粗糙度量測係於5x5微米區域上進行時,Ra1與Ra2之間的差異1nm。
根據第二十一態樣,提供態樣1-20中任一態樣之方法,其中該薄片具有300微米之厚度。
根據第二十二態樣,提供態樣1-21中任一態樣之方法,其中該表面改質層具有1nm至70nm之厚度。
根據第二十三態樣,提供態樣1-21中任一態樣之方法,其中該表面改質層具有2nm至10nm之厚度。
根據第二十四態樣,提供態樣1-23中任一態樣之方法,其中該薄片接合表面及該載體接合表面之該至少一者包含玻璃,且另外其中在與該薄片接合表面及該載體接合表面之該另一者接合之前,該表面改質層在該至少一個接合表面上達成37mJ/m2至74mJ/m2之表面能量。
根據第二十五態樣,提供一種薄片可移除地接合至載體之物件,該物件包含:薄片,其具有薄片接合表面;載體,其具有載體接合表面;表面改質層,其中該表面改質層包含將該薄片接合表面接合至該載體接合表面之電漿聚合烴。
根據第二十六態樣,提供一種薄片可移除地接合至載體之物件,該物件包含:薄片,其具有薄片接合表面; 載體,其具有載體接合表面;表面改質層,其中該表面改質層包含具有第一極性基團濃度之本體碳質層及具有第二極性基團濃度之表面層,其中該第二極性基團濃度高於該第一極性基團濃度,該表面改質層將該薄片接合表面接合至該載體接合表面。
根據第二十七態樣,提供態樣25或態樣26之方法,其中該薄片接合表面包含玻璃。
根據第二十八態樣,提供態樣25-27中任一態樣之物件,其中該載體接合表面包含玻璃。
根據第二十九態樣,提供態樣28之物件,其中該薄片接合表面及該載體接合表面之該至少一者在該表面改質層之沉積之前具有1nm之平均表面粗糙度Ra。
根據第三十態樣,提供態樣28或態樣29之物件,其中該薄片接合表面及該載體接合表面之該至少一者在該表面改質層安置於其上的情況下具有1nm之平均表面粗糙度Ra。
根據第三十一態樣,提供態樣25-30中任一態樣之物件,其中該薄片具有300微米之厚度。
根據第三十二態樣,提供態樣25-31中任一態樣之物件,其中該表面改質層具有1nm至70nm之厚度。
根據第三十三態樣,提供態樣25-31中任一態樣之物件,其中該表面改質層具有2nm至10nm之厚度。
根據第三十四態樣,提供態樣25-33中任一態樣之物件,其中該表面改質層將該載體接合表面與該薄片接合表 面接合,以便在使該接合片材及載體物件經受藉由以下方式之溫度循環之後:在腔室中以每分鐘9.2℃之速率自室溫循環加熱至400℃,在400℃之溫度下保持10分鐘且隨後以爐速率冷卻至300℃,且隨後將該物件自該腔室移除且使該物件冷卻至室溫,該載體及薄片在一者受固持且另一者經受重力的情況下不彼此分離,且該薄片可與該載體分離而不使該載體及該片材之較薄一者破裂成兩個或兩個以上碎塊。
根據第三十五態樣,提供態樣25-34中任一態樣之物件,其中該表面改質層經配置以便當該載體接合表面與該薄片接合表面經由其之間的該表面改質層接合以形成物件時,在使該物件經受藉由以下方式之除氣溫度循環之後:在腔室中以每分鐘9.2℃之速率自室溫循環加熱至450℃,在450℃之溫度下保持10分鐘且隨後以爐速率冷卻至200℃,且隨後將該物件自該腔室移除且使該物件冷卻至室溫,該表面改質層在該除氣溫度循環期間不會除氣。
根據第三十六態樣,提供態樣35之物件,其中除氣係定義為根據除氣試驗#2,5之%氣泡面積變化。
根據第三十七態樣,提供態樣35之物件,其中除氣係定義為根據除氣試驗#1,在450℃之試驗極限溫度下,15mJ/m2之蓋材之表面能量變化。
根據第三十八態樣,提供態樣34-37中任一態樣之玻璃物件,其中該加熱係在氮中執行。
根據第三十九態樣,提供態樣25-38中任一態樣之物件,其中該表面改質層與該接合表面直接接觸之部分包含至多3%氟。
根據態樣A,提供一種玻璃物件,其包含:載體,其具有載體接合表面;表面改質層,其安置於該載體接合表面上,其中該表面改質層經配置以便當該載體接合表面與玻璃片接合表面經由其之間的該表面改質層接合時,在使該物件經受藉由以下方式之溫度循環之後:在腔室中以每分鐘9.2℃之速率自室溫循環加熱至600℃,在600℃之溫度下保持10分鐘且隨後以每分鐘1℃冷卻至300℃,且隨後將該物件自該腔室移除且使該物件冷卻至室溫,該載體及片材在一者受固持且另一者經受重力的情況下不彼此分離,在該溫度循環期間不存在來自該表面改質層之除氣,且該片材可與該載體分離而不使該載體及該片材之較薄一者破裂成兩個或兩個以上碎塊。
根據態樣B,提供一種玻璃物件,其包含:載體,其具有載體接合表面;片材,其具有片材接合表面;表面改質層,其安置於該載體接合表面及該片材接合表面之一上;該載體接合表面與該片材接合表面經由其之間的該表面改質層接合,其中將該片材接合至該載體之表面能量具有如下特性,即在使該物件經受藉由以下方式之溫度循環之後:在腔室中以每分鐘9.2℃之速率自室溫循環加熱至600℃,在 600℃之溫度下保持10分鐘且隨後以每分鐘1℃冷卻至300℃,且隨後將該物件自該腔室移除且使該物件冷卻至室溫,該載體及片材在一者受固持且另一者經受重力的情況下不彼此分離,在該溫度循環期間不存在來自該表面改質層之除氣,且該片材可與該載體分離而不使該載體及該片材之較薄一者破裂成兩個或兩個以上碎塊。
根據態樣C,提供態樣A或B中任一態樣之玻璃物件,其中該表面改質層具有0.1nm至100nm之厚度。
根據態樣D,提供態樣A或B中任一態樣之玻璃物件,其中該表面改質層具有0.1nm至10nm之厚度。
根據態樣E,提供態樣A或B中任一態樣之玻璃物件,其中該表面改質層具有0.1nm至2nm之厚度。
根據態樣F,提供態樣A至E或1-39中任一態樣之玻璃物件,其中該載體為包含不含鹼金屬、鋁矽酸鹽或硼矽酸鹽或鋁硼矽酸鹽玻璃之玻璃,其具有各自處於0.05wt.%之含量的砷及銻。
根據態樣G,提供態樣A至F或1-39中任一態樣之玻璃物件,其中該載體及該片材之每一者具有100mm x 100mm或更大之大小。
根據第四十態樣,提供一種物件,其包含:載體,其具有載體接合表面;片材,其中具有至少一個通孔,該片材進一步包含片材接合表面;表面改質層; 該載體接合表面與該片材接合表面經由其之間的該表面改質層接合,其中該表面改質層具有如下特性,即在使該物件經受藉由以下方式之溫度循環之後:在腔室中以每分鐘9.2℃之速率自室溫循環加熱至500℃,在500℃之溫度下保持10分鐘且隨後以爐速率冷卻至300℃,且隨後將該物件自該腔室移除且使該物件冷卻至室溫,該載體及片材在一者受固持且另一者經受重力的情況下不彼此分離,且當在室溫下執行分離時,該片材可與該載體分離而不使該載體及該片材之較薄一者破裂成兩個或兩個以上碎塊。
根據第四十一態樣,提供一種物件,其包含:載體,其具有載體接合表面;片材,其中具有至少一個通孔,該片材進一步包含片材接合表面;表面改質層;該載體接合表面與該片材接合表面經由其之間的該表面改質層接合,其中該表面改質層具有如下特性,即在使該物件經受藉由以下方式之溫度循環之後:在腔室中以每分鐘9.2℃之速率自室溫循環加熱至400℃,在400℃之溫度下保持10分鐘且隨後以爐速率冷卻至300℃,且隨後將該物件自該腔室移除且使該物件冷卻至室溫,該載體及片材在一者受固持且另一者經受重力的情況下不彼此分離,根據試驗#2不存在來自該表面改質層之除氣,且當在室溫下執行分離時,該片材可與該載體分離而不使該載體及該片材之較薄一者破裂成兩個或兩個以上碎塊。
根據第四十二態樣,提供態樣40或態樣41之物件,該片材包含矽、石英、藍寶石、陶瓷或玻璃。
根據第四十三態樣,提供態樣40之物件,該片材厚度200微米。
根據第四十四態樣,提供一種物件,其包含:載體,其具有載體接合表面;晶圓片材,其包含200微米之厚度,該片材進一步包含片材接合表面,該片材包含矽、石英或藍寶石;表面改質層;該載體接合表面與該片材接合表面經由其之間的該表面改質層接合,其中該表面改質層具有如下特性,即在使該物件經受藉由以下方式之溫度循環之後:在腔室中以每分鐘9.2℃之速率自室溫循環加熱至500℃,在500℃之溫度下保持10分鐘且隨後以爐速率冷卻至300℃,且隨後將該物件自該腔室移除且使該物件冷卻至室溫,該載體及片材在一者受固持且另一者經受重力的情況下不彼此分離,且當在室溫下執行分離時,該片材可與該載體分離而不使該載體及該片材之較薄一者破裂成兩個或兩個以上碎塊。
根據第四十五態樣,提供一種物件,其包含:載體,其具有載體接合表面;晶圓片材,其包含200微米之厚度,該片材進一步包含片材接合表面,該片材包含矽、石英或藍寶石;表面改質層; 該載體接合表面與該片材接合表面經由其之間的該表面改質層接合,其中該表面改質層具有如下特性,即在使該物件經受藉由以下方式之溫度循環之後:在腔室中以每分鐘9.2℃之速率自室溫循環加熱至400℃,在400℃之溫度下保持10分鐘且隨後以爐速率冷卻至300℃,且隨後將該物件自該腔室移除且使該物件冷卻至室溫,該載體及片材在一者受固持且另一者經受重力的情況下不彼此分離,根據試驗#2不存在來自該表面改質層之除氣,且當在室溫下執行分離時,該片材可與該載體分離而不使該載體及該片材之較薄一者破裂成兩個或兩個以上碎塊。
根據第四十六態樣,提供態樣44或態樣45之物件,該片材進一步包含處於其中之至少一個通孔。
根據第四十七態樣,提供態樣40-43、46中任一態樣之物件,該至少一個通孔具有150微米之直徑。
根據第四十八態樣,提供態樣40-43、46、47中任一態樣之物件,該至少一個通孔包含處於其中之導電材料。
根據第四十九態樣,提供態樣40-48中任一態樣之物件,該片材包含與該片材接合表面相對的裝置表面,該裝置表面包含選自由以下組成之群的裝置之陣列:積體電路;MEMS;CPU;微感測器;功率半導體;發光二極體;光子電路;中介層;埋入式被動裝置;以及製作於矽、矽-鍺、砷化鎵及氮化鎵上或自其製作之微裝置。
根據第五十態樣,提供態樣40-48中任一態樣之物件,該片材包含與該片材接合表面相對的裝置表面,該裝置 表面包含選自由以下組成之群的至少一種結構:焊料凸塊;金屬柱;金屬支柱;互連路由線路;互連線;絕緣氧化物層;以及自選自由以下組成之群的材料形成的結構:矽、多晶矽、二氧化矽、矽(氧化)氮化物、金屬、低k介電質、聚合物介電質、金屬氮化物及金屬矽化物。
根據第五十一態樣,提供態樣40-50中任一態樣之物件,其中該加熱係在氮中執行。
根據第五十二態樣,提供態樣40、42-44、46-51中任一態樣之物件,其中在該加熱期間不存在來自該表面改質層之除氣,其中來自該表面改質層之除氣係定義為以下至少一者:(a)其中根據除氣試驗#1,在600℃之試驗極限溫度下,15mJ/m2之蓋材之表面能量變化;以及(b)其中根據除氣試驗#2,在600℃之試驗極限溫度下,5之%氣泡面積變化。
根據第五十三態樣,提供態樣40-52中任一態樣之物件,該表面改質層包含以下之一:a)電漿聚合氟聚合物;以及b)芳族矽烷。
根據第五十四態樣,提供態樣40-53中任一態樣之物件,該表面改質層之厚度為0.1nm至100nm。
根據第五十五態樣,提供態樣40-54中任一態樣之物件,該載體包含玻璃。
根據第五十六態樣,提供態樣40-55中任一態樣之物件,其中該載體及該片材之至少一者之該接合表面包含100平方cm之面積。
根據第五十七態樣,提供一種製成中介層之方法,該方法包含:獲得具有載體接合表面之載體;獲得其中具有至少一個通孔之片材,該片材進一步包含片材接合表面,其中該載體接合表面及該片材接合表面之至少一者包含處於其上之表面改質層;用該等接合表面及該表面改質層將該載體接合至該片材以形成物件;使該物件經受前端製程(FEOL)處理,其中在FEOL處理之後,該載體及片材在一者受固持且另一者經受重力的情況下不彼此分離;將該片材自該載體移除而不使該載體及該片材之較薄一者破裂成兩個或兩個以上碎塊。
根據第五十八態樣,提供態樣57之方法,該片材包含矽、石英、藍寶石、陶瓷或玻璃。
根據第五十九態樣,提供態樣57之方法,該片材厚度200微米。
根據第六十態樣,提供一種處理矽晶圓片材之方法,該方法包含:獲得具有載體接合表面之載體; 獲得具有200微米之厚度的晶圓片材,該片材包含矽、石英或藍寶石,該片材進一步包含片材接合表面,其中該載體接合表面及該片材接合表面之至少一者包含處於其上之表面改質層;用該等接合表面及該表面改質層將該載體接合至該片材以形成物件;使該物件經受前端製程(FEOL)處理,其中在FEOL處理之後,該載體及片材在一者受固持且另一者經受重力的情況下不彼此分離;將該片材自該載體移除而不使該載體及該片材之較薄一者破裂成兩個或兩個以上碎塊。
根據第六十一態樣,提供態樣60之方法,該片材進一步包含處於其中之至少一個通孔。
根據第六十二態樣,提供態樣57-61中任一態樣之方法,其中該FEOL處理包含500℃至700℃之處理-腔室溫度。
根據第六十三態樣,提供態樣57-61中任一態樣之方法,其中該FEOL處理包含以下至少一者:DRIE(乾式反應性離子蝕刻);PVD;CVD TiN;PECVD SiO2;電解Cu電鍍;Cu退火;計量學;Cu CMP;Cu(H2O2+H2SO4)+Ti(DHF)濕式蝕刻;濺鍍黏著層;濺鍍種子層;微影術(光阻劑、暴露、剝除、蝕刻Cu)。
根據第六十四態樣,提供態樣57-59、61-63中任一態樣之方法,該至少一個通孔具有150微米之直徑。
根據第六十五態樣,提供態樣57-59、61-64中任一態樣之方法,該至少一個通孔包含處於其中之導電材料。
根據第六十六態樣,提供態樣57-65中任一態樣之方法,該片材包含與該片材接合表面相對的裝置表面,該裝置表面包含選自由以下組成之群的裝置之陣列:積體電路;MEMS;CPU;微感測器;功率半導體;發光二極體;光子電路;中介層;埋入式被動裝置;以及製作於矽、矽-鍺、砷化鎵及氮化鎵上或自其製作之微裝置。
根據第六十七態樣,提供態樣57-65中任一態樣之方法,該片材包含與該片材接合表面相對的裝置表面,該裝置表面包含選自由以下組成之群的至少一種結構:焊料凸塊;金屬柱;金屬支柱;互連路由線路;互連線;絕緣氧化物層;以及自選自由以下組成之群的材料形成的結構:矽、多晶矽、二氧化矽、矽(氧化)氮化物、金屬、低k介電質、聚合物介電質、金屬氮化物及金屬矽化物。
根據第六十八態樣,提供態樣57-67中任一態樣之方法,其中該加熱係在氮中執行。
根據第六十九態樣,提供態樣57-68中任一態樣之方法,其中在該加熱期間不存在來自該表面改質層之除氣,其中來自該表面改質層之除氣係定義為以下至少一者:(a)其中根據除氣試驗#1,在600℃之試驗極限溫度下,15mJ/m2之蓋材之表面能量變化;以及(b)其中根據除氣試驗#2,在600℃之試驗極限溫度下,5之%氣泡面積變化。
根據第七十態樣,提供態樣57-69中任一態樣之方法,該表面改質層包含以下之一:a)電漿聚合氟聚合物;以及b)芳族矽烷。
根據第七十一態樣,提供態樣57-70中任一態樣之方法,該表面改質層之厚度為0.1nm至100nm。
根據第七十二態樣,提供態樣57-71中任一態樣之方法,該載體包含玻璃。
根據第七十三態樣,提供態樣57-72中任一態樣之方法,其中該載體及該片材之至少一者之該接合表面包含100平方cm之面積。

Claims (19)

  1. 一種將一薄片可控制地接合至一載體之方法,該方法包含以下步驟:獲得具有一薄片接合表面之一薄片;獲得具有一載體接合表面之一載體;將一碳質表面改質層沉積於該薄片接合表面及該載體接合表面之至少一者上;將極性基團併入該表面改質層;以及經由該表面改質層將該薄片接合表面接合至該載體接合表面。
  2. 如請求項1所述之方法,其中該薄片接合表面及該載體接合表面之至少一者具有包括一極性分量之一表面能量,且其中該等極性基團之該併入使該表面能量之該極性分量增加至少20mJ/m2
  3. 如請求項1或請求項2所述之方法,其中該表面改質層係藉由一含碳氣體之電漿聚合來沉積。
  4. 如請求項3所述之方法,其進一步包含以下步驟:在該電漿聚合期間使氫與該含碳氣體一起流動。
  5. 如請求項3所述之方法,其進一步包含以下步驟:在該電漿聚合期間使用一稀釋劑氣體來控制沉積氣體之莫耳分數。
  6. 如請求項5所述之方法,其進一步包含以下步驟:在該電漿聚合期間使一反應性氣體流動。
  7. 如請求項1所述之方法,其中沉積該表面改質層之步驟係於極性基團之該併入之前執行,且在該薄片接合表面及該載體接合表面之該至少一者上提供一第一表面能量;且其中該等極性基團之該併入係於該表面改質層之該沉積之後執行,且使該第一表面能量變化至一第二表面能量,其中該第二表面能量大於該第一表面能量。
  8. 如請求項1所述之方法,其中該極性基團併入之步驟係藉由以下方式之一來執行:用一含氮氣體處理該表面改質層;用一第一處理氣體隨後一第二處理氣體順序處理該表面改質層,其中該第一處理氣體為一含氮氣體及一含氫氣體之一,且其中該第二處理氣體為該含氮氣體及該含氫氣體之另一者;用一含氧氣體處理該表面改質層;用一含氮氣體及含氧氣體電漿處理該表面改質層;用一第一處理氣體隨後一第二處理氣體順序電漿處理該表面改質層,其中該第一處理氣體為一含氮氣體及含氧氣體且其中該第二處理氣體為一含氮氣體。
  9. 如請求項1所述之方法,其中該薄片接合表面及該載體接合表面之該至少一者在該表面改質層之沉積之前具有1nm之一平均表面粗糙度Ra,且其中該薄片接合表面及該載體接合表面之該至少一者在該表面改質層之沉積及藉由O2電漿清潔之後續移除之後具有1nm之一平均表面粗糙度Ra。
  10. 如請求項1所述之方法,其中該薄片接合表面及該載體接合表面之該至少一者包含玻璃,且另外其中在與該薄片接合表面及該載體接合表面之該另一者接合之前,該表面改質層在該至少一個接合表面上達成37mJ/m2至74mJ/m2之一表面能量。
  11. 如請求項1所述之方法,其中該薄片包含處於其中之至少一個通孔。
  12. 一種薄片可移除地接合至一載體之物件,該物件包含:一薄片,其具有一薄片接合表面;一載體,其具有一載體接合表面;一表面改質層,其中該表面改質層包含具有一第一極性基團濃度之一本體碳質層及具有一第二極性基團濃度之一表面層,其中該第二極性基團濃度高於該第一極性基團濃度,該表面改質層將該薄片接合表面接合至該載體接合表面。
  13. 如請求項12所述之物件,其中該薄片接合表面及該載體接合表面之該至少一者在該表面改質層之沉積之前具有1nm之一平均表面粗糙度Ra。
  14. 如請求項12所述之物件,其中該表面改質層將該載體接合表面與該薄片接合表面接合,以便在使接合的薄片及載體之物件經受藉由以下方式之一溫度循環之後:在一腔室中以每分鐘9.2℃之一速率自室溫循環加熱至400℃,在400℃之一溫度下保持10分鐘且隨後以爐速率冷卻至300℃,且隨後將該物件自該腔室移除且使該物件冷卻至室溫,該載體及該薄片在一者受固持且另一者經受重力的情況下不彼此分離,且該薄片可與該載體分離而不使該載體及該薄片之較薄一者破裂成兩個或兩個以上碎塊。
  15. 如請求項12所述之物件,其中該表面改質層經配置以便當該載體接合表面與該薄片接合表面經由其之間的該表面改質層接合以形成一物件時,在使該物件經受藉由以下方式之一除氣溫度循環之後:在一腔室中以每分鐘9.2℃之一速率自室溫循環加熱至450℃,在450℃之一溫度下保持10分鐘且隨後以爐速率冷卻至200℃,且隨後將該物件自該腔室移除且使該物件冷卻至室溫,該表面改質層在該除氣溫度循環期間不會除氣。
  16. 如請求項15所述之物件,其中除氣係定義為根據除氣試驗#2,5之一%氣泡面積變化。
  17. 如請求項15所述之物件,其中除氣係定義為根據除氣試驗#1,在450℃之一試驗極限溫度下,15mJ/m2之蓋材之一表面能量變化。
  18. 如請求項12所述之物件,其中該表面改質層與該接合表面直接接觸之部分包含至多3原子%的氟。
  19. 如請求項12所述之物件,其中該薄片包含處於其中之至少一個通孔。
TW104102733A 2014-01-27 2015-01-27 用於薄片與載體之受控制接合的物件及方法 TWI671200B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201461931927P 2014-01-27 2014-01-27
US61/931,927 2014-01-27

Publications (2)

Publication Number Publication Date
TW201545886A TW201545886A (zh) 2015-12-16
TWI671200B true TWI671200B (zh) 2019-09-11

Family

ID=53682014

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104102733A TWI671200B (zh) 2014-01-27 2015-01-27 用於薄片與載體之受控制接合的物件及方法

Country Status (7)

Country Link
US (2) US10046542B2 (zh)
EP (1) EP3099483B1 (zh)
JP (1) JP6770432B2 (zh)
KR (1) KR102353030B1 (zh)
CN (1) CN106132688B (zh)
TW (1) TWI671200B (zh)
WO (1) WO2015112958A1 (zh)

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10543662B2 (en) 2012-02-08 2020-01-28 Corning Incorporated Device modified substrate article and methods for making
US9725357B2 (en) 2012-10-12 2017-08-08 Corning Incorporated Glass articles having films with moderate adhesion and retained strength
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
TWI615367B (zh) 2012-10-12 2018-02-21 康寧公司 具有保留強度之物品
US9340443B2 (en) 2012-12-13 2016-05-17 Corning Incorporated Bulk annealing of glass sheets
US10510576B2 (en) 2013-10-14 2019-12-17 Corning Incorporated Carrier-bonding methods and articles for semiconductor and interposer processing
KR102353030B1 (ko) 2014-01-27 2022-01-19 코닝 인코포레이티드 얇은 시트와 캐리어의 제어된 결합을 위한 물품 및 방법
EP3129221A1 (en) * 2014-04-09 2017-02-15 Corning Incorporated Device modified substrate article and methods for making
WO2016073658A1 (en) 2014-11-05 2016-05-12 Corning Incorporated Bottom-up electrolytic via plating method
US20160270247A1 (en) * 2015-03-11 2016-09-15 Apple Inc. Laminating sapphire and glass using intermolecular force adhesion
WO2016147828A1 (ja) * 2015-03-19 2016-09-22 ウシオ電機株式会社 ワークの貼り合わせ方法
US11167532B2 (en) 2015-05-19 2021-11-09 Corning Incorporated Articles and methods for bonding sheets with carriers
US11905201B2 (en) 2015-06-26 2024-02-20 Corning Incorporated Methods and articles including a sheet and a carrier
CN108473365B (zh) * 2015-10-02 2022-09-16 康宁股份有限公司 用于处理玻璃表面以减少颗粒附着的方法
CN108353507B (zh) 2015-10-30 2020-11-27 康宁股份有限公司 用于加工与第二基材粘结的第一基材的方法
US10316010B2 (en) 2015-12-17 2019-06-11 South Dakota Board Of Regents Versatile non-destructive surface modification of carbonaceous materials and process for grafting into polymer matrices
TW201737766A (zh) 2016-01-21 2017-10-16 康寧公司 處理基板的方法
US10410883B2 (en) * 2016-06-01 2019-09-10 Corning Incorporated Articles and methods of forming vias in substrates
US10134657B2 (en) 2016-06-29 2018-11-20 Corning Incorporated Inorganic wafer having through-holes attached to semiconductor wafer
US10794679B2 (en) 2016-06-29 2020-10-06 Corning Incorporated Method and system for measuring geometric parameters of through holes
TW202216444A (zh) * 2016-08-30 2022-05-01 美商康寧公司 用於片材接合的矽氧烷電漿聚合物
TWI821867B (zh) * 2016-08-31 2023-11-11 美商康寧公司 具以可控制式黏結的薄片之製品及製作其之方法
EP3532443A1 (en) * 2016-10-25 2019-09-04 Corning Incorporated Methods and apparatus for positioning and securing glass, glass-ceramic and ceramic substrates for coating
TWI673230B (zh) * 2017-01-16 2019-10-01 昇佳電子股份有限公司 微機電裝置的製造方法
US10580725B2 (en) 2017-05-25 2020-03-03 Corning Incorporated Articles having vias with geometry attributes and methods for fabricating the same
US11078112B2 (en) 2017-05-25 2021-08-03 Corning Incorporated Silica-containing substrates with vias having an axially variable sidewall taper and methods for forming the same
CN107633997B (zh) * 2017-08-10 2019-01-29 长江存储科技有限责任公司 一种晶圆键合方法
US10727195B2 (en) * 2017-09-15 2020-07-28 Technetics Group Llc Bond materials with enhanced plasma resistant characteristics and associated methods
KR102304660B1 (ko) * 2017-10-18 2021-09-23 동우 화인켐 주식회사 플렉서블 디스플레이 장치
US11629096B2 (en) 2017-11-20 2023-04-18 Corning Incorporated Temporary bonding of glass pairs using cationic surfactants and/or organic salts
WO2019118660A1 (en) 2017-12-15 2019-06-20 Corning Incorporated Method for treating a substrate and method for making articles comprising bonded sheets
US10917966B2 (en) 2018-01-29 2021-02-09 Corning Incorporated Articles including metallized vias
US11554984B2 (en) 2018-02-22 2023-01-17 Corning Incorporated Alkali-free borosilicate glasses with low post-HF etch roughness
US11152294B2 (en) 2018-04-09 2021-10-19 Corning Incorporated Hermetic metallized via with improved reliability
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US11664357B2 (en) 2018-07-03 2023-05-30 Adeia Semiconductor Bonding Technologies Inc. Techniques for joining dissimilar materials in microelectronics
WO2020018408A1 (en) 2018-07-16 2020-01-23 Corning Incorporated Methods for ceramming glass with nucleation and growth density and viscosity changes
US11014848B2 (en) 2018-07-16 2021-05-25 Corning Incorporated Glass ceramic articles having improved properties and methods for making the same
WO2020018285A1 (en) * 2018-07-16 2020-01-23 Corning Incorporated Methods of ceramming glass articles having improved warp
JP2020128077A (ja) * 2018-08-01 2020-08-27 東洋紡株式会社 積層フィルム、エッジクリーニング装置、及び、クリーニングされた積層フィルムの製造方法
CN110790517B (zh) 2018-08-02 2022-03-18 比亚迪股份有限公司 壳体及其制备方法、显示装置以及终端设备
KR102269203B1 (ko) * 2018-08-22 2021-06-24 주식회사 엘지화학 마스크 필름 및 이를 이용한 편광판의 제조방법
JP7128697B2 (ja) * 2018-09-19 2022-08-31 ファスフォードテクノロジ株式会社 ダイボンディング装置および半導体装置の製造方法
EP3887151A1 (en) * 2018-11-30 2021-10-06 Corning Incorporated Insulated glass units with low cte center panes
CN113196418A (zh) * 2018-12-20 2021-07-30 株式会社村田制作所 层叠体、电子部件和层叠体的制造方法
JP2022521578A (ja) 2019-02-21 2022-04-11 コーニング インコーポレイテッド 銅金属化貫通孔を有するガラスまたはガラスセラミック物品およびその製造方法
WO2021092376A1 (en) * 2019-11-08 2021-05-14 Mosaic Microsystems Llc Processed inorganic wafer and processing wafer stack with abrasive process
US11673830B2 (en) * 2020-11-11 2023-06-13 Applied Materials, Inc. Glass carrier cleaning using ozone
CN116457112A (zh) * 2020-11-26 2023-07-18 日本电气硝子株式会社 玻璃膜层叠体的制造方法以及玻璃板的清洗方法
KR102577497B1 (ko) * 2022-11-28 2023-09-11 에스케이엔펄스 주식회사 반도체 소자 제조 장치용 부품, 이를 포함하는 반도체 소자 제조 장치 및 반도체 소자의 제조 방법

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201517175A (zh) * 2013-10-14 2015-05-01 Corning Inc 用於半導體與中介層處理之載具接合方法與物件

Family Cites Families (360)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1082116A (en) 1964-09-03 1967-09-06 Owens Illinois Inc Organopolysiloxane resins and their production
US3722181A (en) 1970-05-22 1973-03-27 Du Pont Chromatographic packing with chemically bonded organic stationary phases
FR2110495A5 (zh) 1970-10-19 1972-06-02 Michelin & Cie
IE35508B1 (en) 1971-08-11 1976-03-03 Intercontinental Chem Co Ltd Method of forming adhesive bonds between surfaces
US4096315A (en) 1976-12-15 1978-06-20 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Process for producing a well-adhered durable optical coating on an optical plastic substrate
GB1583544A (en) 1977-07-25 1981-01-28 Uop Inc Metal-clad laminates
US4179324A (en) 1977-11-28 1979-12-18 Spire Corporation Process for fabricating thin film and glass sheet laminate
US4397722A (en) 1981-12-31 1983-08-09 International Business Machines Corporation Polymers from aromatic silanes and process for their preparation
US4599243A (en) 1982-12-23 1986-07-08 International Business Machines Corporation Use of plasma polymerized organosilicon films in fabrication of lift-off masks
US4849284A (en) 1987-02-17 1989-07-18 Rogers Corporation Electrical substrate material
US4822466A (en) 1987-06-25 1989-04-18 University Of Houston - University Park Chemically bonded diamond films and method for producing same
US4810326A (en) 1987-08-31 1989-03-07 International Business Machines Corporation Interlaminate adhesion between polymeric materials and electrolytic copper surfaces
US5357726A (en) 1989-02-02 1994-10-25 Chemfab Corporation Composite materials for structural end uses
US5141800A (en) 1989-02-02 1992-08-25 Chemical Fabrics Corporation Method of making laminated PTFE-containing composites and products thereof
US4990462A (en) 1989-04-12 1991-02-05 Advanced Micro Devices, Inc. Method for coplanar integration of semiconductor ic devices
US5073181A (en) 1990-08-09 1991-12-17 Corning Incorporated Method of protecting glass surfaces using submicron refractory particles
CA2069038C (en) 1991-05-22 1997-08-12 Kiyofumi Sakaguchi Method for preparing semiconductor member
US5462781A (en) 1991-06-14 1995-10-31 W. L. Gore & Associates, Inc. Surface modified porous expanded polytetrafluoroethylene and process for making
US5222494A (en) 1991-07-31 1993-06-29 Cyberonics, Inc. Implantable tissue stimulator output stabilization system
JP3039070B2 (ja) 1991-10-09 2000-05-08 住友電気工業株式会社 弗素樹脂被覆物
FR2690279B1 (fr) 1992-04-15 1997-10-03 Picogiga Sa Composant photovoltauique multispectral.
US5491571A (en) 1993-01-19 1996-02-13 Hughes Aircraft Company Liquid crystal display including electrodes and driver devices integrally formed in monocrystalline semiconductor layer
US5482896A (en) 1993-11-18 1996-01-09 Eastman Kodak Company Light emitting device comprising an organic LED array on an ultra thin substrate and process for forming same
US5616179A (en) 1993-12-21 1997-04-01 Commonwealth Scientific Corporation Process for deposition of diamondlike, electrically conductive and electron-emissive carbon-based films
US5554680A (en) 1994-02-16 1996-09-10 E. I. Du Pont De Nemours And Company Heat-resistant perfluoroelastomer composition
JP3081122B2 (ja) 1994-07-18 2000-08-28 シャープ株式会社 基板搬送用治具及びそれを用いた液晶表示素子の製造方法
US5760100B1 (en) 1994-09-06 2000-11-14 Ciba Vision Corp Extended wear ophthalmic lens
US5413940A (en) 1994-10-11 1995-05-09 Taiwan Semiconductor Manufacturing Company Process of treating SOG layer using end-point detector for outgassing
DE69606942T2 (de) 1995-09-25 2000-10-05 Dow Corning Verwendung von präkeramischen Polymeren als Klebstoffe für Elektronik
BR9611084A (pt) 1995-10-13 1999-07-13 Dow Chemical Co Substrato revestido de plástico
US5661618A (en) 1995-12-11 1997-08-26 International Business Machines Corporation Magnetic recording device having a improved slider
JP3930591B2 (ja) 1995-12-22 2007-06-13 東陶機器株式会社 光触媒性親水性コーティング組成物、親水性被膜の形成方法および被覆物品
US5888591A (en) 1996-05-06 1999-03-30 Massachusetts Institute Of Technology Chemical vapor deposition of fluorocarbon polymer thin films
US5989998A (en) 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
US6124154A (en) 1996-10-22 2000-09-26 Seiko Epson Corporation Fabrication process for thin film transistors in a display or electronic device
US5820991A (en) 1997-02-24 1998-10-13 Cabo; Ana M. Fused glass sheets having ceramic paint and metal foil and method of making same
DE19711459A1 (de) 1997-03-19 1998-09-24 Flachglas Automotive Gmbh Verfahren zur Herstellung einer gebogenen Verbundsicherheitsglasscheibe
JP3551702B2 (ja) 1997-05-08 2004-08-11 カシオ計算機株式会社 液晶表示素子とその駆動方法
US5972152A (en) 1997-05-16 1999-10-26 Micron Communications, Inc. Methods of fixturing flexible circuit substrates and a processing carrier, processing a flexible circuit and processing a flexible circuit substrate relative to a processing carrier
US6687969B1 (en) 1997-05-16 2004-02-10 Micron Technology, Inc. Methods of fixturing flexible substrates and methods of processing flexible substrates
JPH1126733A (ja) 1997-07-03 1999-01-29 Seiko Epson Corp 薄膜デバイスの転写方法、薄膜デバイス、薄膜集積回路装置,アクティブマトリクス基板、液晶表示装置および電子機器
US6180496B1 (en) 1997-08-29 2001-01-30 Silicon Genesis Corporation In situ plasma wafer bonding method
US5966622A (en) 1997-10-08 1999-10-12 Lucent Technologies Inc. Process for bonding crystalline substrates with different crystal lattices
US6852650B2 (en) 1998-02-05 2005-02-08 Asm Japan K.K. Insulation film on semiconductor substrate and method for forming same
EP1065665A4 (en) 1998-02-23 2004-10-06 Toshiba Kk INFORMATION RECORDING MEDIUM AND INFORMATION RECORDING / PLAYBACK METHOD
US6159385A (en) 1998-05-08 2000-12-12 Rockwell Technologies, Llc Process for manufacture of micro electromechanical devices having high electrical isolation
EP1048628A1 (de) 1999-04-30 2000-11-02 Schott Glas Polymerbeschichtete Dünnglasfoliensubstrate
US6379746B1 (en) 1999-02-02 2002-04-30 Corning Incorporated Method for temporarily protecting glass articles
JP3202718B2 (ja) 1999-02-23 2001-08-27 鹿児島日本電気株式会社 表示装置製造用治具及びそれを用いた表示装置の製造方法
US6387736B1 (en) 1999-04-26 2002-05-14 Agilent Technologies, Inc. Method and structure for bonding layers in a semiconductor device
US6338901B1 (en) 1999-05-03 2002-01-15 Guardian Industries Corporation Hydrophobic coating including DLC on substrate
US6602606B1 (en) 1999-05-18 2003-08-05 Nippon Sheet Glass Co., Ltd. Glass sheet with conductive film, method of manufacturing the same, and photoelectric conversion device using the same
US8853696B1 (en) 1999-06-04 2014-10-07 Semiconductor Energy Laboratory Co., Ltd. Electro-optical device and electronic device
US6824879B2 (en) 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
SG93210A1 (en) 1999-06-29 2002-12-17 Univ Singapore Method for lamination of fluoropolymer to metal and printed circuit board (pcb) substrate
TW487959B (en) 1999-08-13 2002-05-21 Semiconductor Energy Lab Laser apparatus, laser annealing method, and manufacturing method of a semiconductor device
CN1314086C (zh) 2000-02-01 2007-05-02 模拟装置公司 具有抗静摩擦特性的芯片、微机电装置及其制造方法
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
US6528145B1 (en) 2000-06-29 2003-03-04 International Business Machines Corporation Polymer and ceramic composite electronic substrates
DE10034737C2 (de) 2000-07-17 2002-07-11 Fraunhofer Ges Forschung Verfahren zur Herstellung einer permanenten Entformungsschicht durch Plasmapolymerisation auf der Oberfläche eines Formteilwerkzeugs, ein nach dem Verfahren herstellbares Formteilwerkzeug und dessen Verwendung
US6649540B2 (en) 2000-11-09 2003-11-18 The Boc Group, Inc. Organosilane CVD precursors and their use for making organosilane polymer low-k dielectric film
FR2823599B1 (fr) 2001-04-13 2004-12-17 Commissariat Energie Atomique Substrat demomtable a tenue mecanique controlee et procede de realisation
FR2823596B1 (fr) 2001-04-13 2004-08-20 Commissariat Energie Atomique Substrat ou structure demontable et procede de realisation
FR2824821B1 (fr) 2001-05-17 2003-08-29 Essilor Int Procede de preparation d'un verre apte au debordage, verre ainsi obtenu et procede de debordage d'un tel verre
US7045878B2 (en) 2001-05-18 2006-05-16 Reveo, Inc. Selectively bonded thin film layer and substrate layer for processing of useful devices
US6956268B2 (en) 2001-05-18 2005-10-18 Reveo, Inc. MEMS and method of manufacturing MEMS
JP5415658B2 (ja) 2001-05-28 2014-02-12 三菱樹脂株式会社 中間膜用粘着シート及び透明積層体
CN100440568C (zh) 2001-06-20 2008-12-03 昭和电工株式会社 发光材料和有机发光装置
EP1275624B1 (en) 2001-06-29 2007-08-15 Crystal Systems Inc. Antifogging product, inorganic hydrophilic hard layer forming material and process for producing antifogging lens
US6735982B2 (en) 2001-07-12 2004-05-18 Intel Corporation Processing relatively thin glass sheets
US6649212B2 (en) 2001-07-30 2003-11-18 Guardian Industries Corporation Modified silicon-based UV absorbers useful in crosslinkable polysiloxane coatings via sol-gel polymerization
US7351300B2 (en) 2001-08-22 2008-04-01 Semiconductor Energy Laboratory Co., Ltd. Peeling method and method of manufacturing semiconductor device
JP2003077187A (ja) 2001-08-30 2003-03-14 Toshiba Corp 光ディスクの製造方法
JP2003071937A (ja) * 2001-09-05 2003-03-12 Toppan Printing Co Ltd 積層体及びその製造方法、並びに多層回路基板
US7033910B2 (en) 2001-09-12 2006-04-25 Reveo, Inc. Method of fabricating multi layer MEMS and microfluidic devices
US6528351B1 (en) 2001-09-24 2003-03-04 Jigsaw Tek, Inc. Integrated package and methods for making same
US6814833B2 (en) 2001-10-26 2004-11-09 Corning Incorporated Direct bonding of articles containing silicon
US6521857B1 (en) 2001-11-19 2003-02-18 Geomat Insights, Llc Plasma enhanced bonding method and device
DE10162435A1 (de) 2001-12-19 2003-07-17 Joerg Lahann Verfahren zur Erzeugung von Oberflächenbeschichtungen, die die Adsorption von Proteinen bzw. die Adhäsion von Bakterien und/oder Zellen vermindern
US6824872B2 (en) 2002-04-23 2004-11-30 Laurel Products Llc Surface-treating fluoropolymer powders using atmospheric plasma
US6699798B2 (en) 2002-04-24 2004-03-02 Intel Corporation Promoting adhesion of fluoropolymer films to semiconductor substrates
FR2874455B1 (fr) 2004-08-19 2008-02-08 Soitec Silicon On Insulator Traitement thermique avant collage de deux plaquettes
JP3639978B2 (ja) 2002-05-10 2005-04-20 日本航空電子工業株式会社 光スイッチ
DE60325669D1 (de) 2002-05-17 2009-02-26 Semiconductor Energy Lab Verfahren zum Transferieren eines Objekts und Verfahren zur Herstellung eines Halbleiterbauelements
KR101005989B1 (ko) 2002-06-11 2011-01-05 코니카 미놀타 홀딩스 가부시키가이샤 표면 처리 방법 및 광학 부품
US7101947B2 (en) 2002-06-14 2006-09-05 Florida State University Research Foundation, Inc. Polyelectrolyte complex films for analytical and membrane separation of chiral compounds
AU2003254851A1 (en) * 2002-08-07 2004-02-25 Kabushiki Kaisha Toyota Chuo Kenkyusho Laminate having adherent layer and laminate having protective film
JP3941627B2 (ja) * 2002-08-07 2007-07-04 株式会社豊田中央研究所 密着層を備える積層体
KR20050089147A (ko) 2002-09-18 2005-09-07 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 알킬-수소 실록산 분해 방지용 첨가제
DE20215401U1 (de) 2002-10-07 2004-02-19 Schott Glas Verbund aus einem Dünnstsubsrat und einem Trägersubstrat mit lösbarem Verbindungsmittel
TW578439B (en) 2002-10-25 2004-03-01 Ritdisplay Corp Organic light emitting diode and material applied in the organic light emitting diode
KR20050083935A (ko) 2002-11-20 2005-08-26 레베오 인코포레이티드 기판상에 다층 장치들을 제조하기 위한 방법 및 장치
JP2004178891A (ja) 2002-11-26 2004-06-24 Mitsubishi Electric Corp 電子放出型発光素子の製造方法
KR101180497B1 (ko) 2002-11-29 2012-09-06 안드레아스 야콥 중간층 및 지지층을 갖는 웨이퍼 및 웨이퍼를 처리하기위한 방법 및 장치
DE10256247A1 (de) * 2002-11-29 2004-06-09 Andreas Jakob Schichtverbund aus einer Trennschicht und einer Schutzschicht zum Schutze und zum Handling eines Wafers beim Dünnen, bei der Rückseitenbeschichtung und beim Vereinzeln
WO2004054728A2 (de) * 2002-12-17 2004-07-01 Wipf Ag Substrat mit einer polaren plasmapolymerisierten schicht
US6762074B1 (en) 2003-01-21 2004-07-13 Micron Technology, Inc. Method and apparatus for forming thin microelectronic dies
US7089635B2 (en) 2003-02-25 2006-08-15 Palo Alto Research Center, Incorporated Methods to make piezoelectric ceramic thick film arrays and elements
US6964201B2 (en) 2003-02-25 2005-11-15 Palo Alto Research Center Incorporated Large dimension, flexible piezoelectric ceramic tapes
TWI361814B (en) 2003-03-07 2012-04-11 Kuraray Co Plastic bonding method
US7220656B2 (en) 2003-04-29 2007-05-22 Micron Technology, Inc. Strained semiconductor by wafer bonding with misorientation
US6969166B2 (en) 2003-05-29 2005-11-29 3M Innovative Properties Company Method for modifying the surface of a substrate
JP2005014599A (ja) * 2003-05-30 2005-01-20 Toyota Central Res & Dev Lab Inc 樹脂シート及びエレクトロルミネッセンス表示装置
FR2855909B1 (fr) 2003-06-06 2005-08-26 Soitec Silicon On Insulator Procede d'obtention concomitante d'au moins une paire de structures comprenant au moins une couche utile reportee sur un substrat
US20040258850A1 (en) 2003-06-18 2004-12-23 Ann Straccia Environmentally friendly reactive fixture to allow localized surface engineering for improved adhesion to coated and non-coated substrates
US20050001201A1 (en) 2003-07-03 2005-01-06 Bocko Peter L. Glass product for use in ultra-thin glass display applications
FR2859312B1 (fr) 2003-09-02 2006-02-17 Soitec Silicon On Insulator Scellement metallique multifonction
US7242041B2 (en) 2003-09-22 2007-07-10 Lucent Technologies Inc. Field-effect transistors with weakly coupled layered inorganic semiconductors
US20050069713A1 (en) 2003-09-30 2005-03-31 Rahul Gupta Capillary coating method
US20050242341A1 (en) 2003-10-09 2005-11-03 Knudson Christopher T Apparatus and method for supporting a flexible substrate during processing
US6992371B2 (en) 2003-10-09 2006-01-31 Freescale Semiconductor, Inc. Device including an amorphous carbon layer for improved adhesion of organic layers and method of fabrication
US20050081993A1 (en) 2003-10-16 2005-04-21 Ilkka Steven J. Method of bonding glass
GB0326537D0 (en) 2003-11-14 2003-12-17 Koninkl Philips Electronics Nv Flexible devices
US20050118742A1 (en) 2003-11-17 2005-06-02 Frank Henning Method for reducing the adhesive properties of MEMS and anti-adhesion-coated device
GB0327093D0 (en) 2003-11-21 2003-12-24 Koninkl Philips Electronics Nv Active matrix displays and other electronic devices having plastic substrates
KR101002936B1 (ko) 2003-12-17 2010-12-21 삼성전자주식회사 캐리어 기판, 이를 이용한 플라스틱 기판의 적층 방법 및유연한 디스플레이 장치의 제조 방법
US7220497B2 (en) 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
KR101073032B1 (ko) 2003-12-19 2011-10-12 삼성전자주식회사 플라스틱 기판의 적층 방법 및 이를 이용한 유연한디스플레이 장치의 제조방법
US7041608B2 (en) 2004-02-06 2006-05-09 Eastman Kodak Company Providing fluorocarbon layers on conductive electrodes in making electronic devices such as OLED devices
US7147891B2 (en) 2004-02-11 2006-12-12 Dionex Corporation Polymer substrate coated with weak cationic-exchange functional layer
EP2246894B2 (en) 2004-03-12 2018-10-10 Japan Science and Technology Agency Method for fabricating a thin film transistor having an amorphous oxide as a channel layer
US7087134B2 (en) * 2004-03-31 2006-08-08 Hewlett-Packard Development Company, L.P. System and method for direct-bonding of substrates
JP2005300972A (ja) 2004-04-13 2005-10-27 Seiko Epson Corp 表示装置の製造方法及び基板貼り合わせ装置
JP2006003684A (ja) 2004-06-18 2006-01-05 Nikon Corp 基板レスフィルタの製造方法
ITMI20041252A1 (it) 2004-06-22 2004-09-22 Solvay Solexis Spa Composizioni perfluoroelastomeriche
US7261793B2 (en) 2004-08-13 2007-08-28 Hewlett-Packard Development Company, L.P. System and method for low temperature plasma-enhanced bonding
US20060134362A1 (en) 2004-12-17 2006-06-22 3M Innovative Properties Company Optically clear pressure sensitive adhesive
US7541264B2 (en) 2005-03-01 2009-06-02 Dow Corning Corporation Temporary wafer bonding method for semiconductor processing
WO2006112523A1 (ja) 2005-04-19 2006-10-26 Ube Industries, Ltd. ポリイミドフィルム積層体
US20060246218A1 (en) 2005-04-29 2006-11-02 Guardian Industries Corp. Hydrophilic DLC on substrate with barrier discharge pyrolysis treatment
TWI402935B (zh) 2005-05-17 2013-07-21 Koninkl Philips Electronics Nv 彩色主動矩陣顯示器
US7462552B2 (en) 2005-05-23 2008-12-09 Ziptronix, Inc. Method of detachable direct bonding at low temperatures
US20070020451A1 (en) 2005-07-20 2007-01-25 3M Innovative Properties Company Moisture barrier coatings
US7166520B1 (en) 2005-08-08 2007-01-23 Silicon Genesis Corporation Thin handle substrate method and structure for fabricating devices using one or more films provided by a layer transfer process
WO2007018028A1 (ja) 2005-08-09 2007-02-15 Asahi Glass Company, Limited 薄板ガラス積層体及び薄板ガラス積層体を用いた表示装置の製造方法
US20070048530A1 (en) 2005-08-29 2007-03-01 Wen-Kuang Tsao Anti-static substrate
TWI288493B (en) 2005-09-13 2007-10-11 Ind Tech Res Inst Method for fabricating a device with flexible substrate and method for stripping flexible-substrate
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
US8039049B2 (en) 2005-09-30 2011-10-18 Tokyo Electron Limited Treatment of low dielectric constant films using a batch processing system
US7462551B2 (en) 2005-09-30 2008-12-09 Intel Corporation Adhesive system for supporting thin silicon wafer
JP2007138144A (ja) 2005-10-18 2007-06-07 Hitachi Chem Co Ltd シリカ系被膜形成用組成物
KR20070047114A (ko) 2005-11-01 2007-05-04 주식회사 엘지화학 플렉서블 기판을 구비한 소자의 제조방법 및 이에 의해제조된 플렉서블 기판을 구비한 소자
WO2007055142A1 (en) 2005-11-11 2007-05-18 Semiconductor Energy Laboratory Co., Ltd. Layer having functionality, method for forming flexible substrate having the same, and method for manufacturing semiconductor device
FR2893750B1 (fr) 2005-11-22 2008-03-14 Commissariat Energie Atomique Procede de fabrication d'un dispositif electronique flexible du type ecran comportant une pluralite de composants en couches minces.
US20070134784A1 (en) 2005-12-09 2007-06-14 Halverson Kurt J Microreplicated microarrays
EP2259213B1 (en) 2006-02-08 2015-12-23 Semiconductor Energy Laboratory Co., Ltd. RFID device
KR100831562B1 (ko) 2006-03-23 2008-05-21 주식회사 엘지화학 유연성 기판 반송용 점착제 조성물
US7909928B2 (en) 2006-03-24 2011-03-22 The Regents Of The University Of Michigan Reactive coatings for regioselective surface modification
US7737035B1 (en) 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US20070248809A1 (en) 2006-04-19 2007-10-25 Steven Vincent Haldeman Interlayers Comprising Stable Infrared Absorbing Agents
WO2007121524A1 (en) 2006-04-20 2007-11-01 Epitactix Pty Ltd. Method of manufacture and resulting structures for semiconductor devices
WO2007129554A1 (ja) 2006-05-08 2007-11-15 Asahi Glass Company, Limited 薄板ガラス積層体、薄板ガラス積層体を用いた表示装置の製造方法および、支持ガラス基板
KR101358255B1 (ko) 2006-06-27 2014-02-05 엘지디스플레이 주식회사 광경화 타입 소수성 몰드 및 그 제조방법
KR20090037856A (ko) 2006-07-12 2009-04-16 아사히 가라스 가부시키가이샤 보호 유리가 부착된 유리 기판, 보호 유리가 부착된 유리 기판을 사용한 표시 장치의 제조 방법 및 박리지용 실리콘
US20080044588A1 (en) 2006-08-15 2008-02-21 Sakhrani Vinay G Method for Treating a Hydrophilic Surface
US8084103B2 (en) 2006-08-15 2011-12-27 Sakhrani Vinay G Method for treating a hydrophilic surface
JP2008072087A (ja) 2006-08-16 2008-03-27 Kyoto Univ 半導体装置および半導体装置の製造方法、ならびに表示装置
EP2074188A1 (en) 2006-10-13 2009-07-01 Sunwoo AMC Co., Ltd. Laminating film of plastic/teflon-silicon and method for preparing the same
GB0620955D0 (en) 2006-10-20 2006-11-29 Speakman Stuart P Methods and apparatus for the manufacture of microstructures
US7903083B2 (en) 2006-11-13 2011-03-08 Motorola Mobility, Inc. Mixed-mode encapsulated electrophoretic display for electronic device
JP5008381B2 (ja) 2006-11-15 2012-08-22 富士フイルム株式会社 可撓性基板を用いた有機エレクトロルミネッセンス発光パネルの製造方法、それにより製造された有機エレクトロルミネッセンス発光パネル、及びその製造に使用する支持基板
US8069229B2 (en) 2006-12-28 2011-11-29 Computer Associates Think, Inc. Topology static zones
KR100890250B1 (ko) 2007-01-08 2009-03-24 포항공과대학교 산학협력단 플렉서블 소자의 제조 방법 및 플렉서블 표시 장치의 제조방법
US8110906B2 (en) 2007-01-23 2012-02-07 Infineon Technologies Ag Semiconductor device including isolation layer
CN101626991B (zh) 2007-03-12 2012-08-22 旭硝子株式会社 带保护用玻璃的玻璃基板及采用带保护用玻璃的玻璃基板的显示装置的制造方法
JP5277552B2 (ja) 2007-03-19 2013-08-28 富士通セミコンダクター株式会社 半導体装置の製造方法
US8399047B2 (en) 2007-03-22 2013-03-19 The Regents Of The Univeristy Of Michigan Multifunctional CVD coatings
CN101687893B (zh) 2007-04-26 2014-01-22 巴斯夫欧洲公司 含有吩噻嗪s-氧化物或吩噻嗪s,s-二氧化物基团的硅烷及其在oled中的用途
KR101436115B1 (ko) 2007-04-27 2014-09-01 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 기판의 제조방법, 및 반도체장치의 제조방법
US7960916B2 (en) 2007-05-16 2011-06-14 Advanced Lcd Technologies Development Center Co., Ltd. Display device and electronic device using thin-film transistors formed on semiconductor thin films which are crystallized on insulating substrates
CN101679109B (zh) 2007-06-20 2011-11-09 旭硝子株式会社 采用氟化剂的氧化物玻璃的表面处理方法
KR20080113576A (ko) 2007-06-25 2008-12-31 엘지디스플레이 주식회사 액정표시장치 및 그 제조방법
WO2009003029A2 (en) 2007-06-25 2008-12-31 Brewer Science Inc. High-temperature spin-on temporary bonding compositions
TW200907003A (en) 2007-07-03 2009-02-16 Hitachi Chemical Co Ltd Adhesive composition and method of manufacturing thereof, adhesive member using the adhesive composition and method of manufacturing thereof, supporting member for mounting semiconductor and method of manufacturing thereof, and semiconductor apparatus an
JP2009035721A (ja) 2007-07-11 2009-02-19 Seiko Epson Corp 接合膜付き基材、接合方法および接合体
JP2009028922A (ja) 2007-07-24 2009-02-12 Seiko Epson Corp 接合方法、接合体、液滴吐出ヘッドおよび液滴吐出装置
JP2009028923A (ja) 2007-07-24 2009-02-12 Seiko Epson Corp 接合方法、接合体および配線基板
JP2009074002A (ja) * 2007-09-21 2009-04-09 Seiko Epson Corp 接着シート、接合方法および接合体
US20090091025A1 (en) 2007-10-04 2009-04-09 Agency For Science, Technology And Research Method for forming and releasing interconnects
US9056951B2 (en) 2007-10-05 2015-06-16 The Regents Of The University Of Michigan Ultrastrong and stiff layered polymer nanocomposites and hierarchical laminate materials thereof
JP4710897B2 (ja) * 2007-11-28 2011-06-29 セイコーエプソン株式会社 接合体の剥離方法
KR101445734B1 (ko) 2007-12-07 2014-10-01 삼성전자 주식회사 액정표시장치
EP2238618B1 (en) 2008-01-24 2015-07-29 Brewer Science, Inc. Method for reversibly mounting a device wafer to a carrier substrate
JP5024087B2 (ja) 2008-02-05 2012-09-12 旭硝子株式会社 ガラス積層体、支持体付き表示装置用パネル、およびそれらの製造方法
JP5881293B2 (ja) 2008-02-05 2016-03-09 サン−ゴバン パフォーマンス プラスティックス コーポレイション 多層物品
GB0802912D0 (en) 2008-02-15 2008-03-26 Carben Semicon Ltd Thin-film transistor, carbon-based layer and method of production thereof
KR20090095026A (ko) 2008-03-04 2009-09-09 삼성전자주식회사 표시 장치 제조 방법
EP2274162A1 (en) 2008-04-08 2011-01-19 The Arizona Board Of Regents, A Body Corporate Of The State Of Arizona Acting For And On Behalf Of Arizona State University Assemblies and methods for reducing warp and bow of a flexible substrate during semiconductor processing
KR101500684B1 (ko) 2008-04-17 2015-03-10 삼성디스플레이 주식회사 캐리어 기판 및 이를 이용한 가요성 표시 장치의 제조 방법
JPWO2009128359A1 (ja) * 2008-04-17 2011-08-04 旭硝子株式会社 ガラス積層体、支持体付き表示装置用パネルおよびこれらの製造方法
US7842548B2 (en) 2008-04-22 2010-11-30 Taiwan Semconductor Manufacturing Co., Ltd. Fixture for P-through silicon via assembly
KR101458901B1 (ko) 2008-04-29 2014-11-10 삼성디스플레이 주식회사 가요성 표시 장치의 제조 방법
US7960840B2 (en) 2008-05-12 2011-06-14 Texas Instruments Incorporated Double wafer carrier process for creating integrated circuit die with through-silicon vias and micro-electro-mechanical systems protected by a hermetic cavity created at the wafer level
JP2009283155A (ja) 2008-05-19 2009-12-03 Seiko Epson Corp 表示装置の製造方法、表示装置および電子機器
JP5029523B2 (ja) 2008-07-14 2012-09-19 旭硝子株式会社 ガラス積層体、支持体付き表示装置用パネル、表示装置用パネル、表示装置およびこれらの製造方法
DE102008045370B4 (de) 2008-09-02 2010-07-08 Grenzebach Maschinenbau Gmbh Verfahren und Vorrichtung zum Transport großflächiger, dünner Glasplatten
US20110311789A1 (en) 2008-09-12 2011-12-22 Arizona Board of Regents, a body corporate acting for and on behalf of Arizona State University Methods for Attaching Flexible Substrates to Rigid Carriers and Resulting Devices
TWI354854B (en) 2008-09-15 2011-12-21 Ind Tech Res Inst Substrate structures applied in flexible electrica
JP2010107597A (ja) 2008-10-28 2010-05-13 Seiko Epson Corp 光学素子および光学素子の製造方法
KR101555551B1 (ko) 2008-11-07 2015-09-24 엘지디스플레이 주식회사 플렉시블 표시장치 제조방법
JP2012509393A (ja) 2008-11-19 2012-04-19 ダウ コーニング コーポレーション シリコーン組成物およびその製造方法
US8211270B2 (en) 2008-11-21 2012-07-03 Nitto Denko Corporation Method of detaching attached boards from each other
DE102009022628A1 (de) 2008-12-05 2010-06-10 Evonik Goldschmidt Gmbh Verfahren zur Modifizierung von Oberflächen
EP2374149B1 (en) 2008-12-05 2018-06-13 Hydis Technologies Co., Ltd Method of forming electronic devices having plastic substrates
TW201033000A (en) 2009-01-09 2010-09-16 Asahi Glass Co Ltd Glass laminate and manufacturing method therefor
US9063605B2 (en) 2009-01-09 2015-06-23 Apple Inc. Thin glass processing using a carrier
JP5207306B2 (ja) 2009-01-26 2013-06-12 武井電機工業株式会社 薄膜積層ガラス基板の薄膜除去方法及び装置
US20110318589A1 (en) 2009-02-27 2011-12-29 Massimo Pignatelli Plasma Treated EVOH Multilayer Film
TWI410329B (zh) 2009-03-09 2013-10-01 Ind Tech Res Inst 可撓式裝置的取下設備及其取下方法
JP5402184B2 (ja) 2009-04-13 2014-01-29 日本電気硝子株式会社 ガラスフィルムおよびその製造方法
CN101873532B (zh) 2009-04-22 2013-01-02 电信科学技术研究院 广播多播系统中发送和获取控制信息的方法、基站和ue
CN102422406B (zh) 2009-05-06 2014-07-09 康宁股份有限公司 用于玻璃基片的支承件
JP5578174B2 (ja) 2009-05-08 2014-08-27 日立化成株式会社 半導体封止用フィルム状接着剤、半導体装置及びその製造方法
WO2010141257A2 (en) 2009-06-03 2010-12-09 Applied Materials, Inc. Method and apparatus for etching
CN101924067B (zh) 2009-06-09 2013-05-08 财团法人工业技术研究院 挠性膜自载板上脱离的方法及可挠式电子装置的制造方法
JP2010284869A (ja) 2009-06-11 2010-12-24 Shin-Etsu Chemical Co Ltd 接合部材
JP5594522B2 (ja) 2009-07-03 2014-09-24 日本電気硝子株式会社 電子デバイス製造用ガラスフィルム積層体
US8568184B2 (en) 2009-07-15 2013-10-29 Apple Inc. Display modules
US7987566B2 (en) 2009-07-15 2011-08-02 Sturzebecher Richard J Capacitor forming method
CN101989469A (zh) 2009-07-31 2011-03-23 群康科技(深圳)有限公司 导电板
US8048794B2 (en) 2009-08-18 2011-11-01 International Business Machines Corporation 3D silicon-silicon die stack structure and method for fine pitch interconnection and vertical heat transport
DE102009028640A1 (de) 2009-08-19 2011-02-24 Evonik Goldschmidt Gmbh Härtbare Masse enthaltend Urethangruppen aufweisende silylierte Polymere und deren Verwendung in Dicht- und Klebstoffen, Binde- und/oder Oberflächenmodifizierungsmitteln
JP2011048979A (ja) 2009-08-26 2011-03-10 Canon Inc 画像表示装置
CN102596565B (zh) 2009-08-27 2014-09-10 旭硝子株式会社 挠性基材-支撑体的层叠结构体、带有支撑体的电子装置用面板、以及电子装置用面板的制造方法
US9847243B2 (en) * 2009-08-27 2017-12-19 Corning Incorporated Debonding a glass substrate from carrier using ultrasonic wave
JP5562597B2 (ja) 2009-08-28 2014-07-30 荒川化学工業株式会社 支持体、ガラス基板積層体、支持体付き表示装置用パネル、および表示装置用パネルの製造方法
WO2011030716A1 (ja) 2009-09-08 2011-03-17 旭硝子株式会社 ガラス/樹脂積層体、及びそれを用いた電子デバイス
JP2011058579A (ja) 2009-09-10 2011-03-24 Tokyo Institute Of Technology エネルギ吸収構造体
KR101583394B1 (ko) 2009-09-11 2016-01-07 헨켈 아이피 앤드 홀딩 게엠베하 중합체 결합을 위한 조성물
WO2011034034A1 (ja) 2009-09-18 2011-03-24 日本電気硝子株式会社 ガラスフィルムの製造方法及びガラスフィルムの処理方法並びにガラスフィルム積層体
JP5637140B2 (ja) 2009-10-20 2014-12-10 旭硝子株式会社 ガラス積層体、支持体付き表示装置用パネル、表示装置用パネル、表示装置、およびこれらの製造方法
KR20120098640A (ko) 2009-10-20 2012-09-05 아사히 가라스 가부시키가이샤 유리 적층체 및 그의 제조 방법, 및 표시 패널의 제조 방법 및 그 제조 방법에 의해 얻어지는 표시 패널
KR20110043376A (ko) 2009-10-21 2011-04-27 엘지디스플레이 주식회사 전기영동 표시장치 및 그 제조 방법
KR101617280B1 (ko) 2009-10-21 2016-05-03 엘지디스플레이 주식회사 플라스틱 기판을 이용한 표시장치 제조 방법
KR20110045136A (ko) 2009-10-26 2011-05-04 주식회사 삼영테크놀로지 윈도우와 디스플레이 패널을 분리하기 위한 코팅방법
US9019211B2 (en) 2009-10-30 2015-04-28 Corning Incorporated Methods and apparatus for providing touch sensitive displays
KR101635914B1 (ko) 2009-12-16 2016-07-05 엘지디스플레이 주식회사 플렉서블 표시장치의 제조 방법
CN102753503B (zh) 2009-12-17 2016-06-22 陶瓷技术有限责任公司 改进骨粘固剂在陶瓷基材上的粘附的表面调整
JP5645123B2 (ja) * 2010-01-12 2014-12-24 日本電気硝子株式会社 ガラスフィルム積層体及びその製造方法並びにガラスフィルムの製造方法
JP2011159697A (ja) 2010-01-29 2011-08-18 Dainippon Printing Co Ltd 薄膜トランジスタ搭載基板、その製造方法及び画像表示装置
US8995146B2 (en) 2010-02-23 2015-03-31 Semblant Limited Electrical assembly and method
JP2011201977A (ja) 2010-03-24 2011-10-13 Seiko Epson Corp 接合方法
JP2011201976A (ja) 2010-03-24 2011-10-13 Seiko Epson Corp 接合方法
US8349727B2 (en) 2010-04-08 2013-01-08 Liang Guo Integrated method for high-density interconnection of electronic components through stretchable interconnects
US20110256385A1 (en) 2010-04-15 2011-10-20 Seiko Epson Corporation Bonding film-attached substrate and bonding film-attached substrate manufacturing method
JP5625470B2 (ja) 2010-05-10 2014-11-19 セイコーエプソン株式会社 接合方法
JP5516046B2 (ja) 2010-05-11 2014-06-11 セイコーエプソン株式会社 接合膜転写シートおよび接合方法
CN102883879B (zh) 2010-05-11 2015-06-17 旭硝子株式会社 层叠体的制造方法和层叠体
JP2011235556A (ja) * 2010-05-11 2011-11-24 Seiko Epson Corp 励起エネルギー特定方法、励起エネルギー特定装置、接合方法および接合装置
JP2011248011A (ja) 2010-05-25 2011-12-08 Bridgestone Corp 表示媒体用粒子およびこれを用いた情報表示用パネル
GB2481187B (en) 2010-06-04 2014-10-29 Plastic Logic Ltd Processing substrates
KR101721414B1 (ko) 2010-06-09 2017-03-31 삼성디스플레이 주식회사 백라이트 어셈블리 및 이를 포함하는 액정표시장치
US8852391B2 (en) 2010-06-21 2014-10-07 Brewer Science Inc. Method and apparatus for removing a reversibly mounted device wafer from a carrier substrate
TWI432835B (zh) 2010-06-24 2014-04-01 Au Optronics Corp 可撓性顯示面板及其製造方法
DE102010025967B4 (de) 2010-07-02 2015-12-10 Schott Ag Verfahren zur Erzeugung einer Vielzahl von Löchern, Vorrichtung hierzu und Glas-Interposer
CN101916022B (zh) 2010-07-06 2012-10-10 友达光电股份有限公司 可挠性显示面板及其制造方法
WO2012014959A1 (ja) 2010-07-28 2012-02-02 日本電気硝子株式会社 ガラスフィルム積層体
JP5602529B2 (ja) 2010-07-29 2014-10-08 日本合成化学工業株式会社 積層体の製法、ガラス基板付き偏光板の製法、およびそれにより得られたガラス基板付き偏光板
US20120035309A1 (en) 2010-08-06 2012-02-09 Baker Hughes Incorporated Method to disperse nanoparticles into elastomer and articles produced therefrom
US9263314B2 (en) 2010-08-06 2016-02-16 Brewer Science Inc. Multiple bonding layers for thin-wafer handling
JP5462107B2 (ja) 2010-08-09 2014-04-02 株式会社日本製鋼所 中空成形品の製造方法および製造装置
US8846499B2 (en) 2010-08-17 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Composite carrier structure
TWI446420B (zh) 2010-08-27 2014-07-21 Advanced Semiconductor Eng 用於半導體製程之載體分離方法
US20120063952A1 (en) 2010-09-10 2012-03-15 Hong Keith C Uv resistant clear laminates
KR101164945B1 (ko) 2010-09-13 2012-07-12 한국과학기술원 플렉시블 소자의 제작 방법
KR101779586B1 (ko) 2010-09-27 2017-10-10 엘지디스플레이 주식회사 플라스틱 기판을 이용한 표시장치 제조 방법
EP2624326A4 (en) 2010-09-29 2017-05-10 Posco Method for manufacturing a flexible electronic device using a roll-shaped motherboard, flexible electronic device, and flexible substrate
US8822306B2 (en) 2010-09-30 2014-09-02 Infineon Technologies Ag Method for manufacturing a composite wafer having a graphite core, and composite wafer having a graphite core
KR101267529B1 (ko) 2010-10-30 2013-05-24 엘지디스플레이 주식회사 플렉서블한 유기전계 발광소자 제조 방법
US8859103B2 (en) 2010-11-05 2014-10-14 Joseph Eugene Canale Glass wafers for semiconductor fabrication processes and methods of making same
CN102034746B (zh) 2010-11-08 2013-06-05 昆山工研院新型平板显示技术中心有限公司 一种有源矩阵有机发光显示器阵列基板的制造方法
KR101295532B1 (ko) 2010-11-11 2013-08-12 엘지디스플레이 주식회사 플렉시블 평판소자의 제조방법
KR101271838B1 (ko) 2010-11-24 2013-06-07 주식회사 포스코 보강기판을 이용한 플렉서블 전자소자의 제조방법, 플렉서블 전자소자 및 플렉서블 기판
KR101842134B1 (ko) 2010-11-26 2018-03-26 엘지디스플레이 주식회사 전기영동 표시장치 및 그 제조 방법
EP2458620B1 (en) 2010-11-29 2021-12-01 IHP GmbH-Innovations for High Performance Microelectronics / Leibniz-Institut für innovative Mikroelektronik Fabrication of graphene electronic devices using step surface contour
TW201238014A (en) 2010-11-30 2012-09-16 Corning Inc Methods of forming a glass wiring board substrate
KR101049380B1 (ko) 2010-12-21 2011-07-15 한국기계연구원 전해도금을 이용한 반도체 소자 3차원 패키지용 관통 전극 및 그 제조 방법
CN102070120B (zh) 2010-12-31 2012-09-05 东南大学 用于微电子系统级封装的高密度转接板的制备方法
WO2012102183A1 (en) 2011-01-26 2012-08-02 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
CN102548758B (zh) 2011-02-01 2013-11-20 株式会社微龙技术研究所 薄板玻璃基板贴合体及其制造方法
JP2012166999A (ja) 2011-02-16 2012-09-06 Asahi Glass Co Ltd インターポーザ用ガラス基板の製造方法、インターポーザの製造方法、インターポーザ用ガラス基板、およびインターポーザ
KR101918284B1 (ko) 2011-03-03 2019-01-30 엘지디스플레이 주식회사 플렉시블 표시장치의 제조 방법
JP5355618B2 (ja) 2011-03-10 2013-11-27 三星ディスプレイ株式會社 可撓性表示装置及びこの製造方法
JP2012209545A (ja) 2011-03-17 2012-10-25 Sekisui Chem Co Ltd 半導体積層体の製造方法
TWI445626B (zh) 2011-03-18 2014-07-21 Eternal Chemical Co Ltd 製造軟性元件的方法
JP2012227310A (ja) 2011-04-19 2012-11-15 Panasonic Corp セラミックス多層基板とその製造方法
JPWO2012144499A1 (ja) 2011-04-22 2014-07-28 旭硝子株式会社 積層体、その製造方法及び用途
JP5760696B2 (ja) 2011-05-27 2015-08-12 株式会社デンソー 画像認識装置
JP5862238B2 (ja) 2011-05-27 2016-02-16 東洋紡株式会社 積層体とその製造方法及びそれを用いたデバイス構造体の製造方法
JP5862866B2 (ja) 2011-05-30 2016-02-16 東洋紡株式会社 積層体の作成方法および、この積層体を利用したフィルムデバイスの作成方法
JP5883236B2 (ja) 2011-06-10 2016-03-09 日東電工株式会社 薄層基材用キャリア材
KR20130000211A (ko) 2011-06-22 2013-01-02 삼성전자주식회사 기판 가공 방법
KR20130003997A (ko) 2011-07-01 2013-01-09 엘지디스플레이 주식회사 캐리어 기판과 박형 글라스의 탈부착 방법
WO2013006865A2 (en) 2011-07-07 2013-01-10 Brewer Science Inc. Methods of transferring device wafers or layers between carrier substrates and other surfaces
US8617925B2 (en) 2011-08-09 2013-12-31 Soitec Methods of forming bonded semiconductor structures in 3D integration processes using recoverable substrates, and bonded semiconductor structures formed by such methods
US8383460B1 (en) 2011-09-23 2013-02-26 GlobalFoundries, Inc. Method for fabricating through substrate vias in semiconductor substrate
EP2761051B1 (en) 2011-09-27 2018-11-07 Applied Materials, Inc. Carrier for thin glass substrates and use thereof
JP5790392B2 (ja) 2011-10-12 2015-10-07 旭硝子株式会社 電子デバイスの製造方法
JP5796449B2 (ja) 2011-10-12 2015-10-21 旭硝子株式会社 電子デバイスの製造方法、樹脂層付きキャリア基板の製造方法
KR101973826B1 (ko) 2011-10-18 2019-08-26 에이지씨 가부시키가이샤 적층체, 적층체의 제조 방법 및 전자 디바이스용 부재가 부착된 유리 기판의 제조 방법
KR101820171B1 (ko) 2011-10-24 2018-01-19 엘지디스플레이 주식회사 경량 박형의 액정표시장치 제조방법
US8696864B2 (en) 2012-01-26 2014-04-15 Promerus, Llc Room temperature debonding composition, method and stack
US8550061B2 (en) 2012-01-26 2013-10-08 Maruzen Company Limited Toy gun
US10543662B2 (en) 2012-02-08 2020-01-28 Corning Incorporated Device modified substrate article and methods for making
US8975157B2 (en) 2012-02-08 2015-03-10 Advanced Semiconductor Engineering, Inc. Carrier bonding and detaching processes for a semiconductor wafer
WO2013119737A2 (en) 2012-02-08 2013-08-15 Corning Incorporated Processing flexible glass with a carrier
US9725357B2 (en) 2012-10-12 2017-08-08 Corning Incorporated Glass articles having films with moderate adhesion and retained strength
JP2013184346A (ja) 2012-03-07 2013-09-19 Asahi Glass Co Ltd ガラス積層体、電子デバイスの製造方法
JP2013184872A (ja) 2012-03-09 2013-09-19 Nippon Electric Glass Co Ltd ガラス基板の端部処理方法、ガラス基板の端部処理装置及びガラス基板
KR101390212B1 (ko) 2012-03-14 2014-05-14 한양대학교 에리카산학협력단 전기분무건조를 이용한 글리아딘 나노입자의 제조방법
JP2013207084A (ja) 2012-03-28 2013-10-07 Sumitomo Electric Ind Ltd 放熱板付基板モジュールおよび放熱板付基板モジュールの製造方法
JP2013216513A (ja) 2012-04-05 2013-10-24 Nippon Electric Glass Co Ltd ガラスフィルムの切断方法及びガラスフィルム積層体
EP2650938A1 (en) 2012-04-13 2013-10-16 Acreo Swedish ICT AB Organic Field-Effect Transistor Device
JP2013224475A (ja) 2012-04-23 2013-10-31 Shimazu Kogyo Kk 溶射用粒子、溶射皮膜の形成方法及び溶射部材
DE102012207149A1 (de) 2012-04-27 2013-10-31 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verbund mit temporärer Schutzfolie
CN104349894B (zh) 2012-05-29 2016-06-08 旭硝子株式会社 玻璃层叠体和电子器件的制造方法
KR20130095605A (ko) 2012-06-22 2013-08-28 코스텍시스템(주) 디바이스 웨이퍼와 캐리어 웨이퍼의 본딩과 디본딩 장치
CN102815052B (zh) 2012-06-29 2016-08-24 法国圣戈班玻璃公司 超疏水减反基板及其制作方法
JP2014019597A (ja) 2012-07-17 2014-02-03 Nippon Electric Glass Co Ltd ガラスフィルムの製造方法及びガラスフィルム積層体
US9139469B2 (en) 2012-07-17 2015-09-22 Corning Incorporated Ion exchangeable Li-containing glass compositions for 3-D forming
CN102789125B (zh) 2012-07-27 2013-11-13 京东方科技集团股份有限公司 隔垫物制作方法
CN110330225A (zh) 2012-08-17 2019-10-15 康宁股份有限公司 超薄强化玻璃
CN102820262A (zh) 2012-09-05 2012-12-12 江苏物联网研究发展中心 一种玻璃通孔的制作及互连的方法
CN104582955B (zh) 2012-09-28 2017-05-31 Hoya株式会社 电子设备用罩玻璃及其制造方法
TWI615367B (zh) 2012-10-12 2018-02-21 康寧公司 具有保留強度之物品
US20140150244A1 (en) 2012-11-30 2014-06-05 General Electric Company Adhesive-free carrier assemblies for glass substrates
CN103035490A (zh) 2012-12-11 2013-04-10 京东方科技集团股份有限公司 柔性显示器件的制备方法
US10014177B2 (en) 2012-12-13 2018-07-03 Corning Incorporated Methods for processing electronic devices
US10086584B2 (en) 2012-12-13 2018-10-02 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
US9340443B2 (en) 2012-12-13 2016-05-17 Corning Incorporated Bulk annealing of glass sheets
TWI617437B (zh) * 2012-12-13 2018-03-11 康寧公司 促進控制薄片與載體間接合之處理
CN106030686A (zh) * 2012-12-13 2016-10-12 康宁股份有限公司 玻璃和制备玻璃制品的方法
KR102046534B1 (ko) 2013-01-25 2019-11-19 삼성전자주식회사 기판 가공 방법
US10000675B2 (en) 2013-03-03 2018-06-19 John Cleaon Moore Temporary adhesive with tunable adhesion force sufficient for processing thin solid materials
US9790407B2 (en) 2013-03-09 2017-10-17 Moore John Aqueous detergent soluble coating and adhesive and methods of temporary bonding for manufacturing
JP6186493B2 (ja) * 2013-03-15 2017-08-23 コーニング インコーポレイテッド ガラスシートのバルクアニール
KR101432575B1 (ko) 2013-03-29 2014-08-21 엘지디스플레이 주식회사 경량 박형의 액정표시장치 제조방법
JP6137303B2 (ja) 2013-04-02 2017-05-31 旭硝子株式会社 被膜付きガラス基板およびその製造方法
KR102070617B1 (ko) 2013-08-21 2020-01-30 엘지이노텍 주식회사 멤스 진폭 변조기 및 이를 포함하는 멤스 자계 센서
KR101580015B1 (ko) 2013-08-30 2015-12-24 주식회사 엔씰텍 임시 점착/탈착층을 사용하는 플렉시블 정보 표시 소자 제조용 지지 기판, 이의 제조 방법, 그를 이용한 플렉시블 정보 표시 소자 및 그의 제조 방법
KR20150034829A (ko) 2013-08-30 2015-04-06 주식회사 엔씰텍 플렉시블 정보 표시 소자 제조용 지지 기판, 이의 제조 방법, 이를 이용하여 제조된 플렉시블 정보 표시 소자 및 이의 제조방법
US20150099110A1 (en) 2013-10-07 2015-04-09 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
JP6119567B2 (ja) 2013-11-11 2017-04-26 旭硝子株式会社 ガラス積層体の製造方法および電子デバイスの製造方法
JP6176067B2 (ja) 2013-11-11 2017-08-09 旭硝子株式会社 ガラス積層体および電子デバイスの製造方法
JP6136909B2 (ja) 2013-12-17 2017-05-31 旭硝子株式会社 樹脂層付き支持基板の製造方法、ガラス積層体の製造方法、電子デバイスの製造方法
KR102353030B1 (ko) 2014-01-27 2022-01-19 코닝 인코포레이티드 얇은 시트와 캐리어의 제어된 결합을 위한 물품 및 방법
JP2017506204A (ja) 2014-01-27 2017-03-02 コーニング インコーポレイテッド 高分子表面の担体との制御された結合のための物品および方法
KR20160114106A (ko) 2014-01-27 2016-10-04 코닝 인코포레이티드 얇은 시트와 캐리어의 제어된 결합을 위한 표면 개질 층의 처리
KR101522941B1 (ko) 2014-02-05 2015-05-26 도레이첨단소재 주식회사 실리콘 이형필름 및 그 제조방법
CN105980150B (zh) 2014-02-07 2018-01-30 旭硝子株式会社 玻璃层叠体
EP3129221A1 (en) 2014-04-09 2017-02-15 Corning Incorporated Device modified substrate article and methods for making
TWI649192B (zh) 2014-04-10 2019-02-01 日商Agc股份有限公司 Glass laminate, method of manufacturing same, and method of manufacturing electronic component
KR20160146712A (ko) 2014-04-25 2016-12-21 아사히 가라스 가부시키가이샤 유리 적층체 및 전자 디바이스의 제조 방법
JP6322469B2 (ja) 2014-04-25 2018-05-09 ニッタ株式会社 基板加工方法
US9790593B2 (en) 2014-08-01 2017-10-17 Corning Incorporated Scratch-resistant materials and articles including the same
KR20170039135A (ko) 2014-08-01 2017-04-10 아사히 가라스 가부시키가이샤 무기막을 구비한 지지 기판 및 유리 적층체, 그리고, 그것들의 제조 방법 및 전자 디바이스의 제조 방법
US11167532B2 (en) 2015-05-19 2021-11-09 Corning Incorporated Articles and methods for bonding sheets with carriers
US11905201B2 (en) 2015-06-26 2024-02-20 Corning Incorporated Methods and articles including a sheet and a carrier
WO2018038961A1 (en) 2016-08-22 2018-03-01 Corning Incorporated Articles of controllably bonded sheets and methods for making same
US10079154B1 (en) 2017-03-20 2018-09-18 Lam Research Corporation Atomic layer etching of silicon nitride

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201517175A (zh) * 2013-10-14 2015-05-01 Corning Inc 用於半導體與中介層處理之載具接合方法與物件

Also Published As

Publication number Publication date
US10046542B2 (en) 2018-08-14
CN106132688A (zh) 2016-11-16
KR20160114107A (ko) 2016-10-04
CN106132688B (zh) 2020-07-14
EP3099483A4 (en) 2017-08-02
US20180297324A1 (en) 2018-10-18
EP3099483B1 (en) 2022-06-01
US11123954B2 (en) 2021-09-21
TW201545886A (zh) 2015-12-16
JP2017506170A (ja) 2017-03-02
JP6770432B2 (ja) 2020-10-14
KR102353030B1 (ko) 2022-01-19
US20170036419A1 (en) 2017-02-09
EP3099483A1 (en) 2016-12-07
WO2015112958A1 (en) 2015-07-30

Similar Documents

Publication Publication Date Title
TWI671200B (zh) 用於薄片與載體之受控制接合的物件及方法
TWI654088B (zh) 用於聚合物表面與載具之受控接合之物件及方法
US10510576B2 (en) Carrier-bonding methods and articles for semiconductor and interposer processing
TW201529511A (zh) 用於受控接合薄板與載具的表面改質層的處理
US20150329415A1 (en) Glass and methods of making glass articles
KR102237812B1 (ko) 캐리어와 유리 시트의 결합을 제어하기 위한 유리 물품 및 방법
US20140166199A1 (en) Methods for processing oled devices
KR20160066039A (ko) 유리 물품 및 유리 시트와 캐리어의 제어된 결합 방법
KR20150127274A (ko) 유리 시트의 벌크 어닐링