TW201517175A - 用於半導體與中介層處理之載具接合方法與物件 - Google Patents

用於半導體與中介層處理之載具接合方法與物件 Download PDF

Info

Publication number
TW201517175A
TW201517175A TW103135388A TW103135388A TW201517175A TW 201517175 A TW201517175 A TW 201517175A TW 103135388 A TW103135388 A TW 103135388A TW 103135388 A TW103135388 A TW 103135388A TW 201517175 A TW201517175 A TW 201517175A
Authority
TW
Taiwan
Prior art keywords
carrier
sheet
article
modifying layer
bonding
Prior art date
Application number
TW103135388A
Other languages
English (en)
Other versions
TWI632612B (zh
Inventor
Darwin Gene Enicks
John Tyler Keech
Aric Bruce Shorey
Iii Windsor Pipes Thomas
Original Assignee
Corning Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Corning Inc filed Critical Corning Inc
Publication of TW201517175A publication Critical patent/TW201517175A/zh
Application granted granted Critical
Publication of TWI632612B publication Critical patent/TWI632612B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/486Via connections through the substrate with or without pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/14Mountings, e.g. non-detachable insulating substrates characterised by the material or its electrical properties
    • H01L23/15Ceramic or glass substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68318Auxiliary support including means facilitating the separation of a device or wafer from the auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • H01L2221/68331Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding of passive members, e.g. die mounting substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68345Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during the manufacture of self supporting substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68381Details of chemical or physical process used for separating the auxiliary support from a device or wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/83052Detaching layer connectors, e.g. after testing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/8385Bonding techniques using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/053Oxides composed of metals from groups of the periodic table
    • H01L2924/054313th Group
    • H01L2924/05432Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/053Oxides composed of metals from groups of the periodic table
    • H01L2924/054414th Group
    • H01L2924/05442SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/10251Elemental semiconductors, i.e. Group IV
    • H01L2924/10253Silicon [Si]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1027IV
    • H01L2924/10271Silicon-germanium [SiGe]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1032III-V
    • H01L2924/10329Gallium arsenide [GaAs]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1032III-V
    • H01L2924/1033Gallium nitride [GaN]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12041LED
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1432Central processing unit [CPU]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/146Mixed devices
    • H01L2924/1461MEMS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/156Material
    • H01L2924/15786Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • H01L2924/15788Glasses, e.g. amorphous oxides, nitrides or fluorides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/20Parameters
    • H01L2924/201Temperature ranges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/20Parameters
    • H01L2924/201Temperature ranges
    • H01L2924/20107Temperature range 250 C=<T<300 C, 523.15K =<T< 573.15K
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/20Parameters
    • H01L2924/201Temperature ranges
    • H01L2924/2011Temperature range 400 C=<T<450 C, 673.15K =<T< 723.15K
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/20Parameters
    • H01L2924/206Length ranges
    • H01L2924/2064Length ranges larger or equal to 1 micron less than 100 microns
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/20Parameters
    • H01L2924/206Length ranges
    • H01L2924/20641Length ranges larger or equal to 100 microns less than 200 microns
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/20Parameters
    • H01L2924/206Length ranges
    • H01L2924/20642Length ranges larger or equal to 200 microns less than 300 microns

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Recrystallisation Techniques (AREA)
  • Laminated Bodies (AREA)
  • Pressure Welding/Diffusion-Bonding (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Abstract

薄片(20)經由表面改質層(30)安置在載具(10)上以形成物件(2),其中物件可經受高溫處理(如在FEOL半導體處理中),不進行釋氣且在處理期間使薄片保持在載具上而不與該載具分離,而是在室溫剝離力下與該載具分離,該剝離力使薄片及載具中之較薄者保持完整無損。具有通孔(60)之陣列(50)之中介層(56)可形成在薄片上,且器件(66)形成在中介層上。或者,薄片可為基板,在FEOL處理期間,半導體電路形成在該基板上。

Description

用於半導體與中介層處理之載具接合方法與物件 【優先權】
本申請案主張2013年10月14日申請之美國臨時申請案第61/890524號之優先權權益,該臨時申請案之內容為本文之依據且全文以引用之方式併入本文中。
本發明大體上係針對接合至較薄基板並自較薄基板移除以允許處理較薄基板之載具。更特定言之,本發明係針對用於將晶圓接合至載具以用於半導體及/或中介層處理及隨後在該處理之後使晶圓與載具脫黏之方法與裝置。
藉由在半導體晶圓上或半導體晶圓內形成主動器件來製造半導體器件。半導體晶圓可包含(例如)玻璃、矽、多晶矽、單晶矽、氧化矽、氧化鋁、以上各者之組合及/或類似者。通常在單晶圓上製造成百上千個積體電路(IC)或晶粒。通常,複數個絕緣的、導電的或半導電的材料層在晶圓上經順序沉積及圖案化以形成IC。形成在最上面之材料層中之一者通常包含一層接合墊,該等接合墊電連接至下面的主 動區域及晶圓內之組件。
形成IC後,晶圓可經受背面處理。背面處理可包括薄化晶圓以將晶圓準備好封裝。例如,在一些技術中,背面處理可包括形成至穿過基板之通孔之電連接以提供背面觸點,該等穿過基板之通孔經形成穿過晶圓。在該實例中,晶圓之背面經由諸如研磨之製程薄化以將導電通孔曝露在晶圓之背面上。薄化晶圓之該製程在隨後之晶圓運輸與處理期間可損壞晶圓之邊緣且可使晶圓甚至更脆且易受損。
為幫助減輕此等類型之損壞,載具一般附接至晶圓。使用黏著劑附接該載具,且該載具意欲允許藉由搬運載具來搬運晶圓。另外,載具之添加強度支撐晶圓以使得由運輸及/或處理引起之應力將不會損壞晶圓。
典型載具可為使用黏著劑附接至晶圓之玻璃基板。然而,已發現晶圓可在處理期間翹曲且典型載具未提供用以防止翹曲之充分支撐。由於晶圓之翹曲,製程可能會失敗及/或產生警報狀態。IC製造之其中主動電晶體、電阻器與RC電路,及用以互連電晶體之局部佈線在半導體中經圖案化的第一部分稱為前端工序(Front-End-Of-Line;FEOL)處理。FEOL處理亦可包括:阱形成;閘極模組形成;源極與汲極模組形成;乾式反應離子蝕刻(Dry Reactive Ion Etch;DRIE);物理氣相沉積(physical vapor deposition;PVD)Ti或Cu或其他;化學氣相沉積(chemical vapor deposition;CVD)TiN或其他;電漿增強化學氣相沉積(plasma enhanced chemical vapor deposition;PECVD)SiO2或其他;電解Cu(或其他)電鍍;Cu(或其他)退火;度量(X射線或其他);Cu(或其他)化學機械拋光(Chemical Mechanical Polish;CMP);Cu(H2O2+H2SO4)+Ti(DHF)濕式蝕刻;濺射黏著層(Ti或其他);濺射晶種層(Cu或其他);微影(光阻、曝光、剝離、蝕刻Cu)。歸因於與FEOL處理相關聯之某一高溫(例如,≧500℃(在一些例子中為500℃至650℃及在一些情況下高達700℃)製程,許多基於黏著劑之溶液不可使用,因為該等溶液可不能保持接合,該等溶液可使污染物釋氣,或兼具以上兩種情況。許多黏著劑甚至在更低溫度(例如,約300℃)下釋氣。IC製造線之其中連接跨越獨立晶片之較長距離且離開晶片位置之粗布線與晶圓上之佈線互連的部分稱為後端工序(Back-End-Of-Line;BEOL)佈線。BEOL處理亦可包括以下中之一或多者:形成觸點、絕緣層、互連佈線、RF屏蔽、鈍化、ESD保護、接合墊及其他用於晶片至封裝方案之接合點。儘管BEOL處理溫度一般低於FEOL處理溫度,但介電沉積通常發生在350℃至450℃下且大多數黏著劑在此等較低溫度下釋氣。此外,大多數臨時黏著劑具有與晶圓及載具材料失配之高CTE,且難以在使晶圓上之易碎微結構保持完整無損之同時移除。另外,黏著劑與晶圓及/或載具材料之間的CTE失配可引起非所欲之晶圓翹曲。更進一步,黏著劑在接合至載具時可進入中介層之通孔中且非所欲地防止通孔之至少一部分之金屬化。
因此,需要一種能承受處理條件,尤其是FEOL處 理之高溫需求之改良載具基板的方案。另外,可承受FEOL之嚴格性但在此後仍提供輕鬆脫黏之載具基板方案將允許從一開始就使用較薄初始基板,從而減輕對後端薄化之需要。亦即,現有典型半導體工具經設計以處理近似500微米及以上之晶圓。然而,載具支撐晶圓之後,組合厚度需要僅在工具之處理厚度範圍內。因此,例如,具有400微米厚度之載具可用於支撐在現有半導體工具中處理之100微米及該組合之晶圓。對於本發明方案,歸因於甚至在高溫處理後允許輕鬆分離之受控接合,100微米之晶圓可用作基板,從而避免在晶圓上形成器件後薄化之浪費及潛在良品率降低。承受FEOL處理之能力將允許載具基板方案以具有≦200微米(例如,200微米、190微米、180微米、170微米、160微米、150微米、140微米、130微米、120微米、110微米、100微米、90微米、80微米、70微米、60微米、50微米、40微米、30微米或20微米)之厚度之晶圓開始。具有該厚度(例如,≦200微米)之晶圓可附接至載具、經處理及隨後自載具移除。當(例如)多晶矽或單晶矽晶圓用作基板時,此種情況可為主要優點,因為可避免非常昂貴之材料之移除及浪費;該材料可簡單地以其剛形成厚度處理。
另外,3D IC技術作為主要技術趨勢已被半導體行業廣泛接受以在不需要越發昂貴之進階微影方案或不需要更大晶片尺寸以容納更多電路之情況下改良半導體效能。該3D IC技術依賴於薄化矽IC,且亦依賴於中介層以將IC之間的電信號直接重新分配於平面配置(2.5D IC)中之單個中介層 上以及堆疊薄化IC(3D IC)。
可由多晶矽、單晶矽或玻璃製成之此等中介層允許藉由將路徑長度自毫米減小到微米來極大地改良通信速率。例如,針對該項新技術之領先應用已為場可程式化閘陣列(Field Programmable Gate Arrays;FPGA),即由Xilinx(San Jose,CA,USA)製造之高端專用功能。
中介層典型地近似50μm至100μm厚,現今尺寸為200mm OD至300mm OD,長期時間內傾向於更大尺寸之面板。供金屬化後處理電信號之通孔為5μm OD至150μm OD,密度通常根據設計與應用為每平方毫米1至20個通孔。中介層經界定為薄,因為厚中介層引起不可接受之外形尺寸(高度)與效能(熱量)障礙。薄一般視為約100微米,但一般不超過200微米。另一方面,國際半導體技術發展路線圖(International Technology Roadmap for Semiconductors;ITRS)允許厚度低至50μm。又,此等厚度之基板一般不可在現有工具中處理。因此,本揭示案設想載具及甚至在高溫處理期間可保持與晶圓附接但在該處理後仍允許晶圓之輕鬆脫黏之載具之有利用途。
儘管中介層技術為新技術,但主要中介層基板是單晶矽,同時玻璃成為替代物。玻璃之吸引力在於效能與成本,但現今尚未存在實現玻璃之此等優點之方案。本揭示案中之概念將允許在各種條件下(包括FEOL及BEOL)將各種薄基板(包括矽及玻璃基板)作為晶圓來處理,以提供包括IC、RC電路及中介層之各種器件。
本揭示案之接合方案允許經由所有現有所需製程步驟對最終厚度玻璃以及薄化矽處之薄型之處理,同時具有高良品率及具有短處理時間。在薄晶圓經由金屬化、分配層置放處理後,該薄晶圓可經脫黏,從而使經薄化及處理之中介層及/或IC保持完整無損。此外,使用具有已經薄化(近似≦200微米)之矽晶圓之載具允許在該載具上處理任何器件之前篩選晶圓。因此,可降低成本及/或改良良品率。
根據上文,需要一種薄片-載具物件,該物件可承受FEOL處理之嚴格性,包括高溫處理(無可能與高溫處理中將使用的半導體或顯示器製造製程不相容之釋氣),但允許自載具移除薄片之整個區域(一次性全部移除或分區段移除)。本說明書描述控制載具與薄片之間的黏著劑以形成臨時接合之方式,該臨時接合足夠強以捱過FEOL處理(包括高溫處理)但足夠弱以允許片自載具脫黏(即使在高溫處理後)。更具體而言,本揭示案提供表面改質層(包括各種材料及相關聯之表面熱處理),該等表面改質層可經提供在薄片、載具或該兩者之上以控制薄片與載具之間的室溫凡得瓦(van der Waals)及/或氫鍵與高溫共價鍵兩者。甚至更具體而言,可控制室溫接合以足以在真空處理、濕式處理及/或超音波清潔處理期間將薄片與載具保持在一起。且同時,可控制高溫共價鍵以在高溫處理期間防止薄片與載具之間的永久接合,以及維持充分接合以在高溫處理期間防止分層。在替代性實施例中,表面改質層可用於產生各種受控之接合區域(其中載具與薄片經由各種製程(包括真空處理、濕式處理及/或超 音波清潔處理)保持充分接合)。更進一步,一些表面改質層提供對載具與片之間的接合的控制,同時減少在FPD(例如LTPS)處理環境(例如,包括高溫及/或真空處理)中之苛刻條件期間的釋氣排放。
將在以下詳細描述中闡述額外特徵及優點,且對於熟習此項技術者而言,該等額外特徵及優點將部分地根據描述顯而易見,或藉由實踐書面描述及所附圖式中例示之各種態樣而認識到。應理解,前述一般描述及以下詳細描述兩者僅為各種態樣之示例,且意欲提供綜述或框架以理解本發明所主張之本發明之性質及特性。
包括隨附圖式以提供對本發明之原理之進一步瞭解,且隨附圖式併入本說明書且構成此說明書之一部分。圖式圖示一或多個實施例,且與描述一起用於以實例之方式解釋本發明之原理及操作。應理解,本說明書中及圖式中揭示之各種特徵可以任何及所有組合中使用。藉由非限制實例之方式,各種特徵可如以下態樣中所闡述般彼此組合:根據第一態樣,提供一種物件,該物件包含:載具,該載具具有載具接合表面;片,該片中具有至少一個通孔,該片進一步包含片接合表面;表面改質層;載具接合表面與片接合表面接合,表面改質層在該兩者之間,其中表面改質層具有一特徵以致:在藉由在以每分鐘9.2℃之速率自室溫至500℃循環、在500℃之溫度下保持達10 分鐘且隨後以熔爐速率冷卻至300℃的一腔室中加熱而使該物件經受一溫度循環及隨後自該腔室移除該物件且允許該物件冷卻至室溫之後,在該載具與該片中之一者經固持且另一者經受重力時,該載具與該片彼此不分離,且在室溫下執行分離時,該片可與該載具分離而不使該載具及該片中之較薄者破裂成兩個或兩個以上的碎片。
根據第二態樣,提供一種物件,該物件包含:載具,該載具具有載具接合表面;片,該片中具有至少一個通孔,該片進一步包含片接合表面;表面改質層;載具接合表面與片接合表面接合,表面改質層在該兩者之間,其中表面改質層具有一特徵以致:在藉由在以每分鐘9.2℃之速率自室溫至400℃循環、在400℃之溫度下保持達10分鐘且隨後以熔爐速率冷卻至300℃的一腔室中加熱而使該物件經受一溫度循環及隨後自該腔室移除該物件且允許該物件冷卻至室溫之後,在該載具與該片中之一者經固持且另一者經受重力時,該載具與該片彼此不分離;根據2號測試,不存在自表面改質層之釋氣,且在室溫下執行分離時,該片可與該載具分離而不使該載具及該片中之較薄者破裂成兩個或兩個以上的碎片。
根據第三態樣,提供態樣1或態樣2所述之物件,該片包含矽、石英、藍寶石、陶瓷或玻璃。
根據第四態樣,提供態樣1所述之物件,片厚度為≦200微米。
根據第五態樣,提供一種物件,該物件包含:載具,該載具具有載具接合表面;晶圓片,該片包含≦200微米之厚度,該片進一步包含片接合表面,該片包含矽、石英或藍寶石;表面改質層;載具接合表面與片接合表面接合,表面改質層在該兩者之間,其中表面改質層具有一特徵以致:在藉由在以每分鐘9.2℃之速率自室溫至500℃循環、在500℃之溫度下保持達10分鐘且隨後以熔爐速率冷卻至300℃的一腔室中加熱而使該物件經受一溫度循環及隨後自該腔室移除該物件且允許該物件冷卻至室溫之後,在該載具與該片中之一者經固持且另一者經受重力時,該載具與該片彼此不分離,且在室溫下執行分離時,該片可與該載具分離而不使該載具及該片中之較薄者破裂成兩個或兩個以上的碎片。
根據第六態樣,提供一種物件,該物件包含:載具,該載具具有載具接合表面;晶圓片,該片包含≦200微米之厚度,該片進一步包含片接合表面,該片包含矽、石英或藍寶石;表面改質層;載具接合表面與片接合表面接合,表面改質層在該兩者之間,其中表面改質層具有一特徵以致:在藉由在以每分鐘9.2℃之速率自室溫至400℃循環、在400℃之溫度下保持達10分鐘且隨後以熔爐速率冷卻至300℃的一腔室中加熱而使該物件經受一溫度循環及隨後自該腔室移除該物件且允許該物 件冷卻至室溫之後,在該載具與該片中之一者經固持且另一者經受重力時,該載具與該片彼此不分離;根據2號測試,不存在自表面改質層之釋氣,且在室溫下執行分離時,該片可與該載具分離而不使該載具及該片中之較薄者破裂成兩個或兩個以上的碎片。
根據第七態樣,提供態樣5或態樣6所述之物件,該片中進一步包含至少一個通孔。
根據第八態樣,提供態樣1至4及態樣7中之任一態樣所述之物件,該至少一個通孔具有≦150微米之直徑。
根據第九態樣,提供態樣1至4、態樣7及8中之任一態樣所述之物件,該至少一個通孔中包含導電材料。
根據第十態樣,提供態樣1至9中之任一態樣所述之物件,該片包含與片接合表面相對之器件表面,該器件表面包含選自由以下各者組成之群組之器件陣列:積體電路;MEMS;CPU;微型感測器;功率半導體;發光二極體;光子電路;中介層;嵌入式被動器件及在矽、矽鍺、砷化鎵及氮化鎵上製造或由矽、矽鍺、砷化鎵及氮化鎵製造之微型器件。
根據第十一態樣,提供態樣1至9中之任一態樣所述之物件,該片包含與片接合表面相對之器件表面,該器件表面包含選自由以下各者組成之群組之至少一個結構:焊料凸塊;金屬樁;金屬柱;互連路由;互連線;絕緣氧化層及由一材料形成之結構,該材料選自由以下各者組成之群組:矽、多晶矽、二氧化矽、(氧)氮化矽、金屬、低介電常數介電質、聚合物介電質、金屬氮化物及金屬矽化物。
根據第十二態樣,提供態樣1至11中之任一態樣所述之物件,其中在氮中執行加熱。
根據第十三態樣,提供有態樣1、態樣3至5及態樣7至12中之任一態樣所述之物件,其中在加熱期間不存在自表面改質層之釋氣,其中自表面改質層之釋氣經界定為以下中之至少一者:(a)其中根據1號釋氣測試,覆蓋物之表面能之變化在600℃之測試限界溫度下為≧15mJ/m2;及(b)其中根據2號釋氣測試,氣泡面積百分比之變化在600℃之測試限界溫度下為≧5。
根據第十四態樣,提供態樣1至13中之任一態樣所述之物件,表面改質層包含以下中之一者:a)電漿聚合氟聚合物;及b)芳族矽烷。
根據第十五態樣,提供態樣1至14中之任一態樣所述之物件,表面改質層之厚度為自0.1nm至100nm。
根據第十六態樣,提供態樣1至15中之任一態樣所述之物件,載具包含玻璃。
根據第十七態樣,提供態樣1至16中之任一態樣所述之物件,其中載具與片中之至少一者之接合表面包含≧100平方公分之面積。
根據第十八態樣,提供一種製造中介層之方法,該方法包含以下步驟:獲得具有載具接合表面之載具; 獲得其中具有至少一個通孔之片,該片進一步包含片接合表面,其中載具接合表面與片接合表面中之至少一者包含位於其上之表面改質層;使用接合表面及表面改質層將載具接合至該片以形成物件;使物件經受前端工序(FEOL)處理,其中在FEOL處理之後,在載具與片中之一者經固持且另一者經受重力時,該載具與該片彼此不分離;自該載具移除該片而不使該載具及該片中之較薄者破裂成兩個或兩個以上的碎片。
根據第十九態樣,提供態樣18所述之方法,該片包含矽、石英、藍寶石、陶瓷或玻璃。
根據第二十態樣,提供態樣18所述之方法,片厚度為≦200微米。
根據第二十一態樣,提供一種處理矽晶圓片之方法,該方法包含以下步驟:獲得具有載具接合表面之載具;獲得具有≦200微米之厚度之晶圓片,該片包含矽、石英或藍寶石,該片進一步包含片接合表面,其中載具接合表面與片接合表面中之至少一者包含位於其上之表面改質層;使用接合表面及表面改質層將載具接合至該片以形成物件;使物件經受前端工序(FEOL)處理,其中在FEOL處理之後,在載具與片中之一者經固持且另一者經受重力時,該載 具與該片彼此不分離;自該載具移除該片而不使該載具及該片中之較薄者破裂成兩個或兩個以上的碎片。
根據第二十二態樣,提供態樣21所述之方法,該片中進一步包含至少一個通孔。
根據第二十三態樣,提供態樣18至22中之任一態樣所述之方法,其中FEOL處理包含自500℃至700℃之處理腔室溫度。
根據第二十四態樣,提供態樣18至22中之任一態樣所述之方法,其中FEOL處理包含以下中之至少一者:DRIE(乾式反應離子蝕刻);PVD;CVD TiN;PECVD SiO2;電解Cu電鍍;Cu退火;度量;Cu CMP;Cu(H2O2+H2SO4)+Ti(DHF)濕式蝕刻;濺鍍黏著層;濺鍍晶種層;微影(光阻、曝光、剝離、蝕刻Cu)。
根據第二十五態樣,提供態樣18至20及態樣22至24中任一態樣所述之方法,該至少一個通孔具有≦150微米之直徑。
根據第二十六態樣,提供態樣18至20及態樣22至25中任一態樣所述之方法,該至少一個通孔中包含導電材料。
根據第二十七態樣,提供態樣18至26中任一態樣所述之方法,片包含與片接合表面相對之器件表面,該器件表面包含選自由以下各者組成之群組之器件之陣列:積體電路;MEMS;CPU;微型感測器;功率半導體;發光二極體;光子電路;中介層;嵌入式被動器件及在矽、矽鍺、砷化鎵 及氮化鎵上製造或由矽、矽鍺、砷化鎵及氮化鎵製造之微型器件。
根據第二十八態樣,提供態樣18至26中任一態樣所述之方法,片包含與片接合表面相對之器件表面,該器件表面包含選自由以下各者組成之群組之至少一個結構:焊料凸塊;金屬樁;金屬柱;互連路由;互連線;絕緣氧化層及由一材料形成之結構,該材料選自由以下各者組成之群組:矽、多晶矽、二氧化矽、(氧)氮化矽、金屬、低介電常數介電質、聚合物介電質、金屬氮化物及金屬矽化物。
根據第二十九態樣,提供態樣18至28中任一態樣所述之方法,其中在氮中執行加熱。
根據第三十態樣,提供態樣18至29中任一態樣所述之方法,其中在加熱期間不存在自表面改質層之釋氣,其中自表面改質層之釋氣經界定為以下中之至少一者:(a)其中根據1號釋氣測試,覆蓋物之表面能之變化在600℃之測試限界溫度下為≧15mJ/m2;及(b)其中根據2號釋氣測試,氣泡面積百分比之變化在600℃之測試限界溫度下為≧5。
根據第三十一態樣,提供態樣18至30中任一態樣所述之方法,該表面改質層包含以下中之一者:a)電漿聚合氟聚合物;及b)芳族矽烷。
根據第三十二態樣,提供態樣18至31中任一態樣所述之方法,表面改質層之厚度為自0.1nm至100nm。
根據第三十三態樣,提供態樣18至32中任一態樣所述之方法,載具包含玻璃。
根據第三十四態樣,提供態樣18至33之任一態樣所述之方法,其中載具與片中之至少一者之接合表面包含≧100平方公分之面積。
2‧‧‧物件
5‧‧‧線
8‧‧‧厚度
10‧‧‧載具
12‧‧‧第一表面
14‧‧‧接合表面
16‧‧‧周邊
18‧‧‧厚度
20‧‧‧薄片
22‧‧‧第一表面
24‧‧‧接合表面
26‧‧‧周邊
28‧‧‧厚度
30‧‧‧表面改質層
38‧‧‧厚度
50‧‧‧陣列
52‧‧‧周邊
56‧‧‧中介層
57‧‧‧周邊
60‧‧‧通孔
61‧‧‧材料
62‧‧‧直徑
64‧‧‧間距
66‧‧‧器件
68‧‧‧結構
900‧‧‧載具
902‧‧‧表面
402‧‧‧線
404‧‧‧線
406‧‧‧線
502‧‧‧線
504‧‧‧線
506‧‧‧線
910‧‧‧覆蓋物
912‧‧‧表面
920‧‧‧間隔物
930‧‧‧腔室
940‧‧‧箭頭
1001‧‧‧線
1002‧‧‧線
1003‧‧‧線
1004‧‧‧線
1201‧‧‧線
1202‧‧‧線
1203‧‧‧線
1204‧‧‧線
1301‧‧‧線
1302‧‧‧線
1303‧‧‧線
1304‧‧‧線
1401‧‧‧線
1402‧‧‧線
1403‧‧‧線
1404‧‧‧線
1501‧‧‧線
1502‧‧‧線
1503‧‧‧線
1504‧‧‧線
1601‧‧‧線
1602‧‧‧線
1603‧‧‧線
1604‧‧‧線
第1圖為具有接合至薄片之載具之物件的示意性側視圖,其中表面改質層在載具與薄片之間。
第2圖為第1圖中之物件之分解及部分剖視圖。
第3圖為二氧化矽上的表面羥基濃度隨溫度變化的圖表。
第4圖為玻璃之經SC1清潔之片之表面能隨退火溫度變化的圖表。
第5圖為玻璃片上沉積的氟聚合物薄膜之表面能隨製造膜之構成材料中之一者之百分比變化的圖表。
第6圖為測試設定之示意圖
第7圖為不同條件下針對各種材料之(第6圖之測試設定之不同部分之)表面能量對時間之圖表的集合。
第8圖為針對各種材料之氣泡面積百分比之變化對溫度之圖表。
第9圖為針對各種材料之氣泡面積百分比之變化對溫度之另一圖表。
第10圖為具有中介層之薄片與載具之俯視圖。
第11圖為沿著第10圖之線11-11截取之薄片與載 具之橫截面視圖。
第12圖為類似於第11圖之橫截面視圖但具有安置在薄片上之額外器件的橫截面視圖。
在以下詳細描述中,出於解釋之目的而非限制,闡述揭示具體細節之示例性實施例以提供對本發明之各種原理之透徹理解。然而,對受益於本揭示案之一般熟習此項技術者將顯而易見,本發明可在脫離本文中所揭示之具體細節之其他實施例中得以實踐。此外,可省略對熟知器件、方法及材料之描述以免模糊本發明之各種原理之描述。最後,在任何適用之處,相同元件符號代表相同元件。
範圍在本文中可表述為自「約」一個特定值及/或至「約」另一特定值。當表述此範圍時,另一實施例包括自一個特定值及/或至另一特定值。類似地,當藉由使用先行詞「約」將值表述為近似值時,將理解,特定值形成另一實施例。將進一步理解,不管與另一端點相關還是獨立於另一端點,每一範圍之端點皆為有效的。
如本文中所使用之方向術語(例如,上、下、右、左、前、後、頂部、底部)僅參考所繪製圖式且不意欲暗示絕對定向。
除非另有明確說明,否則決不意欲將本文中闡述之任何方法理解為要求以特定次序執行該方法之步驟。因此,在方法請求項實際上未敘述該方法之步驟將遵循之次序的情況下,或在申請專利範圍或描述中未另外具體說明步驟限制 於特定次序的情況下,決不意欲在任何方面推斷次序。此情況適用於解釋之任何可能之隱含基礎,包括:關於步驟設置或操作流程之邏輯問題;源自文法組織或標點之普通含義;說明書中描述之實施例的數目或類型。
如本文中所使用,除非上下文另有明確指示,否則單數形式「一」及「該」包括複數個指示物。因此,例如,除非上下文另有明確指示,否則對「組件」之引用包括具有兩個或兩個以上該等組件之態樣。
需要能自載具移除薄片或薄片之部分以處理一個以上薄片基板。本揭示案闡述用於使薄片能夠經由高溫處理而得以處理之物件及方法,其中高溫處理為在≧400℃之溫度(例如,如在非晶矽或非晶氧化銦鎵鋅(IGZO)背板處理中之高達約450℃、如在結晶IGZO處理中之高達約500℃至550℃、或如在LTPS製程中典型之高達約600℃至650℃之溫度)下之處理且可根據所製造之器件之類型變化,但仍允許自載具輕鬆移除薄片而不對薄片或載具造成損壞(例如,其中載具及薄片中之一者破裂或開裂為兩個或兩個以上碎片)。儘管提及特定製程,但該等製程僅為具有某些溫度要求之示例性製程。當然,若薄片及載具可在以上製程中之任一者中使用,則可在具有類似溫度要求之不同製程中使用。
如第1圖及第2圖中圖示,物件2具有厚度8且包括具有厚度18之載具10、具有厚度28之薄片20(亦即,具有≦300微米之厚度之薄片,該厚度包括但不限於(例如)以下厚度:,10微米至50微米、50微米至100微米、100微米 至150微米、150微米至300微米、300微米、250微米、200微米、190微米、180微米、170微米、160微米、150微米、140微米、130微米、120微米、110微米、100微米、90微米、80微米、70微米、60微米、50微米、40微米、30微米、20微米或10微米)及具有厚度38之表面改質層30。物件2經設計以允許在針對較厚片(亦即,近似≧0.4mm(例如,0.4mm、0.5mm、0.6mm、0.7mm、0.8mm、0.9mm或1.0mm)之彼等片)設計之設備中處理薄片20,但薄片20本身為≦300微米。換言之,厚度8(該厚度為厚度18、28及38之和)經設計與較厚片之厚度相等,針對該較厚片設計進行處理之一件設備(例如,經設計將電子器件組件安置於基板片上的設備)。例如,若處理設備經設計用於700微米之片且薄片具有300微米之厚度28,假定厚度38可忽略,則厚度18將經選定為400微米。換言之,表面改質層30未按比例圖示;相反,僅為說明起見而極大地誇示該表面改質層。另外,表面改質層以剖視方式圖示。實際上,表面改質層將均勻安置在接合表面14上方。通常,厚度38將為奈米級,例如0.1nm至2.0nm,或高達10nm及在一些情況中可高達100nm。可藉由橢偏儀量測厚度38。另外,可藉由表面化學分析(例如,藉由ToF Sims質譜法)來偵測表面改質層之存在。因此,厚度38對物件厚度8之貢獻是可以忽略的且在計算決定用於處理具有厚度28之給定薄片20之載具10之適當厚度18中可被忽略。然而,就表面改質層30具有任何顯著厚度38而言,可在針對薄片20之給定厚度28及設計處理設備之給定厚度 決定載具10之厚度18時考慮此情況。
載具10具有第一表面12、接合表面14、周邊16及厚度18。進一步,例如,載具10可具有包括玻璃之任何合適材料。載具不需要為玻璃,但相反可為陶瓷、玻璃陶瓷或金屬(因為可以與下文關於玻璃載具所描述之方式類似的方式控制表面能及/或接合)。若由玻璃製成,則載具10可具有包括鋁矽酸鋁鹽、硼矽酸鹽、鋁硼矽酸鹽、鈉鈣矽酸鹽之任何合適的組成,且可根據載具之最終應用含有鹼或不含鹼。厚度18可為自約0.2mm至3mm,或更大,例如0.2mm、0.3mm、0.4mm、0.5mm、0.6mm、0.65mm、0.7mm、1.0mm、2.0mm或3mm或更大,且如上所述,當此厚度為不可忽略時,厚度18將視厚度28及厚度38而定。另外,載具10可由一個層(如圖所示)或接合在一起之多個層(包括多個薄片)製成。進一步,載具可具有Gen 1大小或更大,例如,Gen 2、Gen 3、Gen 4、Gen 5、Gen 8或更大(例如,自100mm×100mm至3米×3米或更大之片大小)。
薄片20具有第一表面22、接合表面24、周邊26及厚度28。周邊16及26可具有任何合適形狀,可彼此相同或可彼此不同。進一步,薄片20可具有包括(例如)矽、多晶矽、單晶矽、藍寶石、石英、玻璃、陶瓷或玻璃陶瓷之任何合適材料。當由玻璃製成時,薄片20可具有包括鋁矽酸鹽、硼矽酸鹽、鋁硼矽酸鹽、鈉鈣矽酸鹽之任何合適組成物,且可根據薄片之最終應用含有鹼或不含鹼。薄片之熱膨脹係數可相對接近地與載具之熱膨脹係數匹配以防止物件在高溫處 理期間翹曲。如上所述,薄片20之厚度28為300微米或更小。進一步,薄片可具有Gen 1大小或更大,例如,Gen 2、Gen 3、Gen 4、Gen 5、Gen 8或更大(例如,自100mm×100mm至3米×3米或更大之片大小)。
物件2不僅需要具有正確厚度以在現有設備中進行處理,而且將需要能捱過發生處理的惡劣環境。例如,處理可包括濕式超音波處理、真空處理及高溫(例如,≧400℃)處理。對於一些製程,如上所述溫度可為≧500℃,或≧600℃及高達650℃。
為捱過處理物件2之惡劣環境,接合表面14應以足夠強度接合至接合表面24,以使得薄片20不與載具10分離。且應經由此處理保持該強度,以使得薄片20在處理期間不與載具10分離。進一步地,為允許自載具10移除薄片20(以使得可重複使用載具10),接合表面14不應藉由最初經設計之接合力及/或藉由(例如)當物件經歷高溫(例如,≧400℃之溫度)下之處理時可發生的對最初經設計之接合力之修改導致的接合力過強地接合至接合表面24。表面改質層30可用於控制接合表面14與接合表面24之間的接合強度以達成該等兩個目的。藉由控制凡得瓦(及/或氫鍵)及共價吸力能對總黏著能之貢獻達成受控接合力,藉由調整薄片20及載具10之極性及非極性表面能分量來控制該總黏著能。該受控接合足夠強以捱過處理(包括濕式製程、超音波製程、真空製程及包括≧400℃之溫度及在一些情況中≧500℃或≧600℃及高達650℃之處理溫度之熱製程)且藉由施加足夠的分離 力及將不會導致對薄片20及/或載具10之災難性損壞之力保持為脫黏的。該脫黏允許移除薄片20及該薄片上製造之器件,且亦允許重複使用載具10。
儘管表面改質層30經圖示為薄片20與載具10之間之固體層,但並非一定如此。例如,層30可為約0.1nm至2nm厚,且可不完全覆蓋接合表面14之每一點。例如,覆蓋率可為≦100%、自1%至100%、自10%至100%、自20%至90%或自50%至90%。在其他實施例中,層30可為高達10nm厚,或在其他實施例中甚至高達100nm厚。表面改質層30可被視為安置在載具10與薄片20之間,即使該表面改質層可不與載具10及薄片20中之一者或另一者接觸。無論如何,表面改質層30之一重要態樣為該表面改質層修改接合表面14之與接合表面24接合之能力,從而控制載具10與薄片20之間的接合強度。表面改質層30之材料及厚度,以及接合前對接合表面14、24之處理可用於控制載具10與薄片20之間的接合強度(黏著能)。
一般而言,兩個表面之間的黏著能由下式(「A theory for the estimation of surface and interfacial energies.I.derivation and application to interfacial tension」,L.A.Girifalco及R.J.Good,J.Phys.Chem.,V 61,p904)給出:W=γ 1+γ 2-γ 12 (1)其中,,γ 1,γ 2 and γ 12分別為表面1與表面2之表面能及表面1與表面2之界面能。獨立表面能通常為兩項(分散分量γd與極性分量γp)之組合。
γ=γ d+γ p (2)
當黏著主要歸因於London分散力(γd)及極性力(例如氫鍵)(γp)時,界面能可藉由下式(如上所提及,Girifalco與R.J.Good)給出:
在將(3)代入(1)後,黏著能可經近似計算為:
在以上方程式(4)中,僅考慮黏著能之凡得瓦(及/或氫鍵)分量。該等分量包括極性之間的互動(Keesom)、極性與非極性之間的互動(Debye)及非極性之間的互動(London)。然而,亦可存在其他吸力能,例如,共價鍵及靜電接合。因此,以上方程式以更普遍的形式寫為: 其中wc及we為共價及靜電黏著能。共價黏著能相當普遍,如在將初始氫鍵晶圓對加熱至更高溫度以將多數或所有矽烷醇-矽烷醇氫鍵轉換為Si-O-Si共價鍵之矽晶圓接合。雖然初始室溫氫鍵產生允許分離經接合表面之約100至200mJ/m2之黏著能,但在高溫處理(約400℃至800℃)期間達成之完全共價鍵晶圓對具有不允許分離經接合表面之約1000至3000mJ/m2之黏著能;相反,兩個晶圓充當一整體。另一方面,若兩個表面均完美塗佈有具有足夠大的厚度以屏蔽下方基板之影響的低表面能材料(例如氟聚合物),則黏著能將為塗佈材料之黏著能且將非常低而導致接合表面14、24之間的低黏著或無黏著,藉此將不能在載具10上處理薄片20。考 慮兩種極端情況:(a)兩個經標準清潔1(此項技術中已知之SC1)清潔之矽烷醇基飽和的玻璃表面經由氫鍵在室溫下接合在一起(藉此黏著能為約100-200mJ/m2),隨後加熱至高溫,此舉將矽烷醇基轉換為共價Si-O-Si鍵(藉此黏著能變為1000-3000mJ/m2)。此後一黏著能對於待拆分之玻璃表面對而言過高;及(b)完美塗佈有具有低表面黏著能(每表面約12mJ/m2)之氟聚合物之兩個玻璃表面在室溫下經接合且經加熱至高溫。在此後一情況(b)中,表面不僅未接合(因為當將兩個表面放在一起時,約24mJ/m2之總黏著能過低),而且由於不存在(或太少)極性反應基團,表面在高溫下亦未接合。在該等兩個極端之間存在黏著能之範圍(例如,在50mJ/m2至1000mJ/m2之間),該範圍可產生所需程度之受控接合。因此,發明者已發現提供表面改質層30之各種方式,引起黏著能在該等兩個極端之間,且使得可產生受控接合,該受控接合足夠大以維持經由FPD處理之嚴格性彼此接合之一對基板(例如,載具10及薄片20),而且達到在完成處理後(甚至在例如≧400℃之高溫處理後)允許薄片20自載具10脫離之程度。此外,藉由機械力執行薄片20自載具10的脫離,且以此方式,對至少薄片20沒有災難性損壞,且較佳地亦使得對載具10沒有災難性損壞。
方程式(5)描述黏著能為四個表面能參數加上共價及靜電能(若存在)之函數。
可藉由對表面改質劑(亦即,表面改質層30)之明智選擇及/或在接合前對表面之熱處理來達成適當黏著能。可 藉由對接合表面14及接合表面24中之任一或兩者之化學改質劑的選擇來獲得適當黏著能,該等化學改質劑又控制凡得瓦(及/或氫鍵,因為該等術語貫穿本說明書中互地換使用)黏著能以及由高溫處理(例如,約≧400℃)導致的可能的共價鍵黏著能兩者。例如,採用SC1清潔之玻璃之接合表面(該接合表面最初以具有高表面能極性分量之矽烷醇基飽和)且使用低能氟聚合物塗佈該接合表面提供由極性與非極性基團對表面之部分覆蓋之控制。此舉不僅提供對室溫下初始凡得瓦(及/或氫)鍵之控制,而且提供對較高溫度下共價鍵之範圍/程度之控制。執行對室溫下初始凡得瓦(及/或氫)鍵之控制以提供一個表面至另一個表面之接合,以允許真空及或旋轉-沖洗-乾燥(SRD)式處理,且在一些情況中亦提供一個表面至另一個表面之容易形成之接合,其中該容易形成的接合可在室溫下執行,而無需如使用壓輥或使用降壓環境將薄片20按壓至載具10時所做的在薄片20之整個區域上方施加外部施加力。換言之,初始凡得瓦接合提供至少最小程度之接合,該接合將薄片與載具固持在一起以使得在薄片與載具中之一者經固持且允許另一者經受重力時,薄片與載具不分離。在多數情況下,初始凡得瓦(及/或氫)接合將為使得物件在薄片不自載具分層之情況下亦可經歷真空處理、SRD處理及超音波處理的程度。在適當程度下經由表面改質層30(包括製造表面改質層之材料及/或施加至表面之表面處理)及/或藉由在將接合表面接合在一起之前對接合表面之熱處理對凡得瓦(及/或氫鍵)及共價互動兩者之此精確控制達成所需 黏著能,該黏著能允許薄片20貫穿FPD型處理與載具10接合,而同時,允許薄片20在FPD型處理後自載具10分離(藉由避免損壞薄片20及/或載具之適當力)。此外,在適當情況下,可將靜電電荷施加至一或兩個玻璃表面以提供對黏著能之另一位準之控制。
高溫處理、FPD處理(例如,p-Si)及氧化物TFT製造通常涉及在高於400℃、高於500℃、且在一些情況下為600℃或高於600℃、高達650℃之溫度下之熱製程,該等熱製程可能導致在不存在表面改質層30之情況下薄片20與載具10之玻璃至玻璃接合。因此,控制Si-O-Si鍵之形成導致可重複使用載具。控制高溫下Si-O-Si鍵之形成之一種方法為降低待接合表面上之表面羥基之濃度。
如第3圖中圖示,第3圖為二氧化矽上的表面羥基濃度隨溫度變化的Iler’s繪圖(R.K.Iller:The Chemistry of Silica(Wiley-Interscience,New York,1979),每nm2的羥基(OH基)之數目隨表面溫度增加而減少。因此,加熱二氧化矽表面(且以此類推,玻璃表面,例如接合表面14及/或接合表面24)降低表面羥基之濃度,從而降低兩個玻璃表面上之羥基將互動之可能性。表面羥基濃度之此降低又減少每單位面積形成的Si-O-Si鍵,從而降低黏著力。然而,消除表面羥基需要在高溫下(高於750℃以完全消除表面羥基)之長退火時間。該等長退火時間及高退火溫度導致製程成本高且製程不實用,因為高退火溫度可能高於典型顯示器玻璃之應變點。
根據以上分析,發明者已發現,可藉由平衡以下三 個概念來製造包括薄片及載具、適用於高溫(例如,FPD)處理(包括LTPS處理)之物件:(1)藉由控制初始室溫接合而改質一或多個載具及/或薄片接合表面,此舉可藉由控制凡得瓦(及/或氫)鍵來完成,以產生適度黏著能(例如,表面經接合之前每表面具有>40mJ/m2之表面能)以有助於初始室溫接合且足以捱過非高溫FPD製程(例如,真空處理,SRD處理及/或超音波處理);(2)載具及/或薄片之表面改質,該改質之方式為熱穩定的以捱過高溫製程而不釋氣,該釋氣可在器件製造中引起分層及/或不可接受之污染(例如,對於使用物件的半導體及/或顯示器製造製程不可接受之污染);及(3)控制高溫下的接合,此舉可藉由控制載具表面羥基濃度及能在在高溫(例如,≧400℃之溫度)下形成強共價鍵之其他物種之濃度來完成,藉此可控制載具與薄片之接合表面之間的接合能以使得甚至在高溫處理(尤其是經歷500℃至650℃之範圍內之熱製程)之後,載具與薄片之間的黏著力仍保持在允許使用分離力使薄片自載具脫黏之範圍內,該分離力至少不損壞薄片(且較佳地不損壞薄片或載具中任一者),且足夠充分以維持載具與薄片之間的接合以使得載具及薄片在處理期間不會分層。
進一步地,發明者已發現表面改質層30之使用連同接合表面製備適當情況下可平衡以上概念以輕易達成受控接合區域,亦即,在薄片20與載具10之間提供充分室溫接合以允許物件2在FPD類製程(包括真空製程及濕式製程)中 被處理之接合區域,以及控制薄片20與載具10之間的共價鍵(甚至在≧400℃之高溫下)以允許在物件2已完成高溫處理(例如,FPD類處理或LTPS處理)後自載具10移除薄片20(而至少不損壞薄片,且較佳地亦不損壞載具)之接合區域。為評估適用於高溫處理之潛在接合表面製備及表面改質層(該表面改質層將提供薄片與載具的完全分離),使用一系列測試來評估每一者之適合性。不同FPD應用具有不同要求,但LTPS及氧化物TFT製程在此時顯得最嚴格,且因此選擇代表此等製程中的步驟的測試,因為該等測試為物件2之所要應用。真空製程、濕式製程(包括SRD及超音波類製程)及濕式蝕刻對許多FPD應用皆很常見。典型aSi TFT製造需要高達320℃之處理。在氧化物TFT製程中使用400℃下之退火,而在LTPS處理中使用超過600℃之結晶化及摻雜劑活化步驟。因此,使用以下五個測試來以評估特定接合表面製備及表面改質層30將允許薄片20貫穿FPD處理保持接合至載具10,同時允許在該處理(包括在≧400℃之溫度下之處理)後自載具10(在不損壞薄片20及/或載具10之情況下)移除薄片20之可能性。測試按順序執行,且樣本自一個測試至下一個測試進行,直至存在將不允許後續測試之失效類型。
(1)真空測試。在STS多重PECVD真空鎖(loadlock)(可自SPTS,Newport,UK獲得)中執行真空相容性測試。藉由具有軟泵閥之Ebara A10S乾式泵(可自Ebara Technologies Inc.,Sacramento,CA獲得)抽汲該真空鎖。樣本經放置在真空鎖中,且隨後真空鎖經抽汲在45秒內自大氣 壓降至70mTorr。若存在以下各者,則認為已發生失效,如由下表之「真空」欄中的符號「F」指示:(a)載具與薄片之間的黏著損失(藉由用肉眼目檢,其中若薄片已脫離載具或部分自載具脫黏,則認為已發生失效);(b)在載具與薄片之間起泡(如藉由用肉眼目檢決定,在處理之前及之後拍攝樣本,且隨後比較樣本,若缺陷大小增加肉眼可見的尺寸,則決定已發生失效);或(c)薄片相對於載具之移動(如藉由用肉眼目測決定,在測試之前及之後拍攝樣本,其中若存在接合缺陷(例如,氣泡)或若邊緣脫膠或若存在薄片在載具上之移動,則認為已發生失效)。在下表中,「真空」欄中之符號「P」指示根據前述標準樣本未失效。
(2)濕式製程測試。使用Semitool之型號SRD 470S(可自Applied Materials,Santa Clara,CA獲得)來執行濕式製程相容性測試。該測試由暖和流動氮下之以下步驟組成:以500rpm沖洗60秒、以500rpm Q沖洗至15MOhm-cm、以500rpm清洗10秒、以1800rpm乾燥90秒及以2400rpm乾燥180秒。若存在以下各者,則認為已發生失敗,如由下表之「SRD」欄中的符號「F」指示:(a)載具與薄片之間的黏著損失(藉由用肉眼目檢,其中若薄片已脫離載具或部分自載具脫黏,則認為已發生失效);(b)在載具與薄片之間起泡(如藉由用肉眼目檢決定,在處理之前及之後拍攝樣本,且隨後比較樣本,若缺陷大小增加肉眼可見的尺寸,則決定已發生失效);或(c)薄片相對於載具之移動(如藉由用肉眼目測決定,在測試之前及之後拍攝樣本,其中若存在接合 缺陷(例如,氣泡)之移動或若邊緣脫黏或若存在薄片在載具上之移動,則認為已發生失效);或(d)水在薄片下方之滲透(如藉由使用50x之光學顯微鏡之目檢決定,其中若可觀測到液體或殘留物,則決定已發生失效)。在下表中,「SRD」欄中之符號「P」指示根據前述標準樣本未失效。
(3)溫度至400℃之測試。使用Alwin21 Accuthermo610 RTP(可自Alwin21,Santa Clara CA獲得)執行400℃製程相容性測試。在腔室中加熱與薄片接合之載具,該腔室以6.2℃/min之速率自室溫循環至400℃,在400℃下保持600秒,且以1℃/min之速率冷卻至300℃。隨後允許載具與薄片冷卻至室溫。若存在以下各者,則認為已發生失效,如由下表之「400℃」欄中的符號「F」指示:(a)載具與薄片之間的黏著損失(藉由用肉眼之目檢,其中若薄片已脫離載具或部分自載具脫黏,則認為已發生失效);(b)在載具與薄片之間起泡(如藉由用肉眼目檢決定,在處理之前及之後拍攝樣本,且隨後比較樣本,若缺陷大小增加肉眼可見的尺寸,則決定已發生失效);或(c)載具與薄片之間增加之黏著,藉此,該增加之黏著在不損壞薄片或載具之情況下防止薄片自載具之脫黏(藉由在薄片與載具之間插入剃刀片,及/或藉由將一片KaptonTM膠帶黏黏至薄片及牽拉膠帶,該膠帶為1吋寬×6吋長,其中2吋至3吋係附接至100mm2之薄玻璃(該膠帶為來自Saint Gobain Performance Plastic,Hoosik NY之K102系列)),其中若在嘗試分離薄片與載具時存在對薄片或載具之損壞,或若藉由執行脫黏方法中之任 一者不能對薄片及載具進行脫黏,則認為已發生失效。另外,在薄片與載具接合後且在熱循環之前,對代表性樣本執行脫黏測試以決定特定材料(包括任何相關聯表面處理)確實允許薄片在溫度循環之前自載具脫黏。在下表中,「400℃」欄中之符號「P」指示根據前述標準樣本未失效。
(4)溫度至600℃之測試。使用Alwin21 Accuthermo610 RTP執行600℃製程相容性測試。在腔室中加熱具有薄片之載具,該腔室以9.5℃/min之速率自室溫循環至600℃,在600℃下保持600秒,且隨後以1℃/min之速率冷卻至300℃。隨後允許載具及薄片冷卻至室溫。若存在以下各者,則認為已發生失效,如由下表之「600℃」欄中的符號「F」指示:(a)載具與薄片之間的黏著損失(藉由用肉眼目檢,其中若薄片已脫離載具或部分自載具脫黏,則認為已發生失效);(b)在載具與薄片之間起泡(如藉由用肉眼目檢決定,在處理之前及之後拍攝樣本,且隨後比較樣本,若缺陷大小增加肉眼可見的尺寸,則決定已發生失效);或(c)載具與薄片之間增加之黏著,藉此該增加之黏著在不損壞薄片或載具之情況下防止薄片自載具之脫黏(藉由在薄片與載具之間插入剃刀片,及/或藉由將一片上述KaptonTM膠帶黏黏至薄片且牽拉膠帶),其中若在嘗試分離薄片與載具時存在對薄片或載具之損壞,或若藉由執行脫黏方法中之任一者不能對薄片及載具進行脫黏,則認為已發生失效。另外,在薄片與載具接合後且在熱循環之前,對代表性樣本執行脫黏測試以決定特定材料及任何關聯之表面處理確實允許薄片在溫度循環 之前自載具脫黏。在下表中,「600℃」欄中之符號「P」指示根據前述標準樣本未失效。
(5)超音波測試。藉由在四槽線中清潔物件來執行超音波相容性測試,其中依次自1號槽至4號槽中之每一者處理物件。四個槽中之每一者之槽尺寸為18.4吋長×10吋寬×15吋深。兩個清潔槽(1號及2號)含有在50℃之DI水中之1%的Semiclean KG(可Yokohama Oils and Fats Industry Co Ltd.,Yokohama Japan獲得)。使用NEY prosonik 2 104kHz超音波發生器(可自Blackstone-NEY Ultrasonics,Jamestown,NY獲得)攪拌1號清潔槽,且使用NEY prosonik 2 104kHz超音波發生器攪拌2號清潔槽。兩個沖洗槽(3號槽及4號槽)含有50℃之DI水。藉由NEY sweepsonik 2D 72kHz超音波發生器攪拌3號沖洗槽且藉由NEY sweepsonik 2D 104kHz超音波發生器攪拌4號沖洗槽。此等製程在1號至4號槽中之每一者中執行10分鐘,隨後在自4號槽移除樣本後進行旋轉沖洗乾燥(SRD)。若存在以下各者,則認為已發生失效,如由下表之「超音波」欄中的符號「F」指示:(a)載具與薄片之間的黏著損失(藉由用肉眼目檢,其中若薄片已脫離載具或部分自載具脫黏,則認為為已發生失效);(b)在載具與薄片之間起泡(如藉由用肉眼目檢決定,在處理之前及之後拍攝樣本,且隨後比較樣本,若缺陷大小增加肉眼可見的尺寸,則決定已發生失敗);或(c)其他明顯缺陷之形成(如藉由使用50x之光學顯微鏡目檢決定,其中若存在此前未觀測到的薄片與載具之間截留之顆粒,則認為已發生失效); 或(d)水在薄片下方之滲透(如藉由使用50x之光學顯微鏡之目檢決定,其中若可觀測到液體或殘留物,則決定已發生失效)。在下表中,「超音波」欄中之符號「P」指示根據前述標準樣本未失效。另外,在下表中,「超音波」欄中之空白指示未以此方式測試樣本。
經由藉由加熱之羥基還原製備接合表面
使用表面改質層30改質接合表面14、24中之一或多者以使得物件2能夠成功經歷FPD處理(亦即,薄片20在處理期間保持接合至載具10且亦可在包括高溫處理之處理後自載具10分離之情況)的益處係藉由處理具有載具10及薄片20(在該兩者之間無表面改質層30)的物件2來證實。具體而言,首先,嘗試藉由加熱以還原羥基來製備接合表面14、24,但沒有表面改質層30。清潔載具10與薄片20,使接合表面14與24彼此接合,且隨後測試物件2。製備用於接合之玻璃之典型清潔製程為SC1清潔製程,其中在稀釋過氧化氫及鹼(通常為氫氧化銨,但亦可使用例如JT Baker JTB-100或JTB-111之四甲基氫氧化銨溶液)中清潔玻璃。清潔自接合表面移除顆粒且使表面能已知,亦即,清潔提供表面能之基線。清潔方式不一定為SC1,可使用其他類型之清潔,因為清潔類型可能對表面上之矽烷醇基僅具有極小之影響。在下表1中闡述各種測試之結果。
藉由僅清潔100mm2×100微米厚之薄玻璃片及直徑為150mm之單一中等平坦(single mean flat;SMF)晶圓0.50或0.63mm厚之玻璃載具產生強但可分離的初始室溫或凡得 瓦及/或氫鍵,該薄玻璃片及該玻璃載具各自包含Eagle XG®顯示器玻璃(可自Corning Incorporated,Corning,NY獲得的具有約0.2nm之平均表面粗糙度Ra的不含鹼之鋁硼矽酸鹽玻璃)。在該實例中,在DI水:JTB-111:過氧化氫為40:1:2之65℃浴中清潔玻璃10分鐘。可能已或可能未在400℃下在氮中對薄玻璃或玻璃載具進行退火達10分鐘以移除殘留水一下表1中之「載具」欄或「薄玻璃」欄中的符號「400℃」指示在400℃下在氮中對樣本進行退火達10分鐘。FPD製程相容性測試證實,該SC1-SC1初始室溫接合之機械強度足以通過真空測試、SRD及超音波測試。然而,400℃及以上之加熱產生薄玻璃與載具之間的永久接合,亦即,在不損壞薄玻璃片及載具中之一者或兩者之情況下,薄玻璃片不能自載具移除。且甚至對於實例1c情況也是如此,其中載具與薄玻璃中之每一者具有退火步驟以降低表面羥基之濃度。因此,經由單獨加熱且隨後接合載具10及薄片12對接合表面14、24之上述製備(無表面改質層30)對於其中溫度將為≧400℃之製程而言並非係合適的受控接合。
藉由羥基還原及表面改質層製備接合表面
如藉由(例如)熱處理之羥基還原及表面改質層30可一起用於控制接合表面14、24之互動。例如,可控制接合表面14、24之接合能(歸因於極性/分散能量分量之室溫下凡 得瓦及/或氫鍵,及歸因於共價能量分量之高溫下共價鍵兩者)以提供接合強度,該接合強度自室溫接合困難的強度至允許容易的室溫接合及高溫處理後接合表面之分離之強度再至高溫處理後防止表面分離而無損懷之強度變化。在一些應用中,可能需要沒有接合或具有非常弱之接合。在例如提供用於高溫製程(其中可達成≧500℃或≧600℃且高達650℃之製程溫度)之載具(的其他應用中,需要在室溫下具有充足凡得瓦及/或氫鍵以首先將薄片與載具放在一起而防止或限制高溫共價鍵。對於又其他應用,可需要具有充足室溫接合以首先將薄片及載具放在一起,且亦以在高溫下形成強共價鍵。儘管不希望受理論約束,在一些情況中,可使用表面改質層來控制室溫接合(藉由該室溫接合,首先將薄片與載具放在一起),然而可使用表面上羥基之還原(例如,如藉由加熱表面,或藉由使羥基與表面改質層反應)來控制共價鍵,尤其是在高溫下之共價鍵。
用於表面改質層30之材料可提供具有一能量(例如,及<40mJ/m2之能量,如針對一個表面所量測,且包括極性與分散分量)之接合表面14、24,藉此表面僅產生弱接合。在一個實例中,可使用六甲基二矽氮烷(HMDS)以藉由與表面羥基反應留下三甲基矽烷(TMS)封端表面而產生此低能表面。作為表面改質層之HMDS可與表面加熱一起使用以降低羥基濃度以控制室溫接合及高溫接合兩者。藉由選擇用於每一接合表面14、24之合適的接合表面製備,可達成具有一定範圍能力之物件。更具體而言,關注高溫處理,可達成薄 片20與載具10之間的合適接合以捱過(或通過)真空SRD、400℃(部件a與c)及600℃(部件a與c)及處理測試中之每一者。
在一個實例中,SC1清潔之後對薄玻璃及載具兩者之HMDS處理產生弱接合表面,該弱接合表面難以在室溫下以凡得瓦爾(及/或氫鍵)力接合。施加機械力以將薄玻璃接合至載具。如表2之實例2a中所示,該接合足夠弱以使得在真空測試及SRD處理中觀測到載具之偏斜,在400℃及600℃熱製程中觀測到起泡(可能歸因於釋氣),且超音波處理後觀測到微粒缺陷。
在另一實例中,僅一個表面(引述之實例中之載具)之HMDS處理產生較強室溫黏著,該室溫黏著捱過真空及SRD處理。然而,400℃及以上之熱製程將薄玻璃永久接合至載具。此情況並不出乎意料,因為二氧化矽上的三甲基矽烷基之最大表面覆蓋率已由Sindorf及Maciel在J.Phys.Chem.1982,86,5208-5219中計算為2.8/nm2且由Suratwala等人在Journal of Non-Crystalline Solids 316(2003)349-363中量測為2.7/nm2,與完全羥基化之二氧化矽的4.6-4.9/nm2之羥基濃度形成對比。亦即,儘管三甲基矽烷基團確實與一些表面羥基接合,但仍將遺留一些未經接合的羥基。因此,將預期表面矽烷醇基之縮合以在給定充足時間及溫度下將薄玻璃與載具永久接合。
可藉由在HMDS暴露之前加熱玻璃表面以降低表面羥基濃度來產生變化的表面能,導致表面能之極性分量增 加。此舉既降低了用於在高溫下形成共價Si-O-Si鍵之驅動力亦導致較強室溫接合,例如,凡得瓦(及/或氫)接合。第4圖圖示退火後及HMDS處理後Eagle XG®顯示器玻璃載具之表面能。HMDS暴露之前增加之退火溫度藉由增加極性貢獻(線404)來增加HMDS暴露後之總(極性及分散)表面能(線402)。亦可見,對總表面能之分散貢獻(線406)保持大部分未被熱處理改變。儘管不希望受理論約束,但在HMDS處理後增加表面中能量之極性分量及由此增加總能量看似係歸因於即使在HMDS處理後由於藉由HMDS之子單層TMS覆蓋而存在一些暴露的玻璃表面區域。
在實例2b中,在與具有HMDS塗層之未熱處理載具接合之前,在真空中於150℃之溫度下將薄玻璃片加熱一小時。薄玻璃片之該熱處理不足以防止在≧400℃之溫度下薄玻璃片與載具之永久接合。
如表2之實例2c至2e中所示,在HMDS暴露之前改變玻璃表面之退火溫度可改變玻璃表面之接合能以控制玻璃載具與薄玻璃片之間的接合。
在實例2c中,在真空中於190℃之溫度下對載具進行退火達1小時,隨後進行HMDS暴露以提供表面改質層30。另外,在與載具接合之前,在真空中在450℃下對薄玻璃片進行退火1小時。所得物件捱過真空測試、SRD測試及400℃測試(部件a與c,但未通過部件b,因為存在增加之氣泡),但未通過600℃測試。因此,儘管相比實例2b而言存在增加之對高溫接合之抗性,但此抗性不足以產生用於在≧600℃之 溫度下進行處理之物件,其中可自載具移除所有薄片。
在實例2d中,在真空中於340℃之溫度下對載具進行退火達1小時,隨後進行HMDS暴露以提供表面改質層30。又,在與載具接合之前,在真空中在450℃下對薄玻璃片進行退火1小時。結果類似於實例2c之彼等結果,其中物件捱過真空測試、SRD測試及400℃測試(部件a與c,但未通過部件b,因為存在增加之氣泡),但未通過600℃測試。
如實例2e中所示,在真空中於450℃下對薄玻璃及載具兩者進行退火達1小時,隨後進行載具之HMDS暴露及隨後接合載具及薄玻璃片,該等操作改良對永久接合之耐溫。將兩個表面退火至450℃防止在600℃下達10分鐘的RTP退火後的永久接合,換言之,該樣本通過了600℃處理測試(部件a與c,但未通過部件b,因為存在增加之氣泡;對於400℃測試發現類似相似)。
在以上實例2a至2e中,載具與薄片中之每一者為Eagle XG®玻璃,其中載具為150mm直徑且630微米厚之SMF晶圓,且薄片為100mm2、100微米厚。藉由在YES-5 HMDS烘箱(可自Yield Engineering Systems,San Jose CA獲得)中脈衝氣相沉積來塗覆HMDS,且HMDS為一個原子層厚(亦即,約0.2至1nm),但表面覆蓋率可小於一個單層, 亦即,如Maciel指出且如上文所論述,一些表面羥基未由HMDS覆蓋。由於表面改質層之厚度小,幾乎不存在可在器件製造中造成污染之釋氣風險。又,如表2中藉由「SC1」符號所指示,在熱處理或任何後續HMDS處理之前使用SC1製程清潔載具與薄片中之每一者。
實例2a與實例2b之比較展示,可藉由改變包括表面改質層之表面之數目來控制薄片與載具之間的接合能。且控制接合能可用於控制兩個接合表面之間的接合力。又,實例2b至實例2e之比較展示,可藉由改變熱處理之參數來控制表面之接合能,接合表面在塗覆表面改質材料前經受熱處理。又,熱處理可用於減少表面羥基之數目,且因此控制共價鍵之程度(尤其是高溫下之共價鍵之程度)。
可以不同方式起作用以控制接合表面上之表面能之其他材料可用於表面改質層30以控制兩個表面之間的室溫接合力及高溫接合力。例如,若使用表面改質層改質一或兩個接合表面以產生適度接合力,則亦可產生可自載具完全移除之薄片,該表面改質層覆蓋或在空間上阻礙例如羥基之物種以防止高溫下載具與薄片之間的強永久共價鍵之形成。產生可調表面能且覆蓋表面羥基以防止共價鍵之形成的一種方式為電漿聚合物膜(例如氟聚合物膜)之沉積。電漿聚合在大氣壓或降低的壓力下及電漿激發(DC或RF平行板,電感耦合電漿(ICP)電子迴旋共振(ECR)下游微波或RF電漿)下自源氣沉積薄聚合物膜,該等源氣例如:碳氟化合物源(包括CF4、CHF3、C2F6、C3F6、C2F2、CH3F、C4F8、氟氯化碳或 氫氯氟碳);烴類,例如,烷烴(包括甲烷、乙烷、丙烷、丁烷)、烯烴(包括乙烯、丙烯)、炔烴(包括乙炔)及芳烴(包括苯、甲苯);氫;及其他氣源(例如,SF6)。電漿聚合產生一層高度交聯之材料。可使用對反應條件及源氣之控制以控制膜厚度、密度及化學反應以為使得官能基適合所要應用。
第5圖圖示使用Oxford ICP380蝕刻工具(可自Oxford Instruments,Oxfordshire UK獲得)自CF4-C4F8混合物沉積之電漿聚合氟聚合物(PPFP)膜之總(線502)表面能(包括極性分量(線504)及分散分量(線506))。膜經沉積至Eagle XG ®玻璃片上,且光譜橢偏儀展示膜為1至10nm厚。如自第5圖所見,使用含有少於40%之C4F8之電漿聚合氟聚合物膜處理之玻璃載具展現>40mJ/m2之表面能且藉由凡得瓦或氫鍵產生在室溫下薄玻璃與載具之間的受控接合。當最初在室溫下接合載具與薄玻璃時觀測到所促進之接合。換言之,當將薄片放置於載具上且將薄片與載具一起按壓在一點處時,波面橫跨載具行進,但以相較於針對其上無表面改質層之經SC1處理之表面觀測到之速度更低的速度行進。受控接合足以承受所有標準FPD製程(包括真空製程、濕式製程、超音波製程及高達600℃之熱製程),亦即,該受控接合在薄玻璃不自載具移動或分層之情況下通過600℃處理測試。藉由使用如上文所述之剃刀片及/或KaptonTM膠帶剝離來完成脫黏。表3中圖示兩種不同PPFP膜(如上文所述沉積)之製程相容性。以C4F8/(C4F8+CF4)=0形成實例3a之PPFP 1, 亦即,使用CF4/H2而非C4F8形成PPFP 1,且以C4F8/(C4F8+CF4)=0.38沉積實例3b之PPFP 2。兩種類型之PPFP膜捱過真空處理測試、SRD處理測試、400℃處理測試及600℃處理測試。然而,在20分鐘之PPFP 2之超音波清潔後觀測到分層,指示黏著力不足以承受此處理。然而,PPFP2之表面改質層對於一些應用(如其中超音波處理並非必要的應用)可為有用的。
在以上實例3a及3b中,載具與薄片中之每一者為Eagle XG®玻璃,其中載具為150mm直徑、630微米厚之SMF晶圓,且薄片為100mm2、100微米厚。由於表面改質層之厚度小,幾乎不存在可在器件製造中造成污染之釋氣風險。進一步地,因為表面改質層未出現降級,又,故存在甚至更低之釋氣風險。又,如表3中所指示,在150℃下在真空中熱處理一小時之前,使用SC1製程清潔薄片中之每一者。
可以不同方式起作用以控制表面能之其他材料可用作表面改質層以控制薄片與載具之間的室溫接合力及高溫接合力。例如,可藉由矽烷處理載具及/或玻璃薄片形成可產生受控接合之接合表面。選擇矽烷以產生合適的表面能且以具有用於應用之足夠熱穩定性。待處理之載具或薄片可藉由(例如)O2電漿或UV臭氧及SC1或標準清潔2(如此項技術中已知之SC2)清潔之製程進行清潔以移除將干擾矽烷與表面 矽烷醇基反應之有機物或其他雜質(例如,金屬)。亦可使用基於其他化學品之洗滌劑(例如,HF或H2SO4化學洗滌化學製劑)。可在矽烷應用之前加熱載具或薄片以控制表面羥基濃度(如上文關於HMDS之表面改質層所論述),及/或可在矽烷應用後加熱載具或薄片以完成與表面羥基之矽烷縮合。可在接合前使矽烷化之後未經反應之羥基之濃度足夠低以防止在≧400℃之溫度下薄片與載具之間的永久接合,亦即,以形成受控接合。下文描述此方法。
實例4a
隨後使用1%之十二烷基三乙氧基矽烷(DDTS)於甲苯中處理接合表面經O2電漿及SC1處理之玻璃載具,且在150℃下在真空中退火1小時以完成縮合。經DDTS處理之表面展現45mJ/m2之表面能量。如表4中所示,玻璃薄片(經SC1清潔且在400℃下在真空中加熱一小時)經接合至載具接合表面,該載具接合表面上具有DDTS表面改質層。該物件捱過濕式製程測試及真空製程測試但未捱過超過400℃之熱製程而沒有歸因於矽烷之熱分解在載具下方形成氣泡。除產生具有良好熱穩定性之塗層之甲基、二甲基及三甲基矽烷(x=1至3,R1=CH3)以外,預期此熱分解用於所有直鏈烷氧基及氯代烷基矽烷R1xSi(OR2)y(Cl)z,其中x=1至3,且y+z=4-x)。
實例4b
隨後使用1%之3,3,3三氟丙基三乙氧基矽烷(TFTS)於甲苯中處理接合表面經O2電漿及SC1處理之玻璃載具,且 在150℃下在真空中退火1小時以完成縮合。經TFTS處理之表面展現47mJ/m2之表面能。如表4中所示,玻璃薄片(經SC1清潔且隨後在400℃下在真空中加熱一小時)經接合至載具接合表面,該載具接合表面上具有TFTS表面改質層。在沒有玻璃薄片與玻璃載具之永久接合之情況下,該物件捱過真空製程測試、SRD製程測試及400℃製程測試。然而,600℃測試產生歸因於矽烷之熱分解在載具下方形成的氣泡。由於丙基之受限熱穩定性,此情況並不出乎意料。儘管該樣本歸因於發生氣泡未通過600℃測試,但此實例之材料及熱處理可用於一些應用,該等應用中可容忍氣泡及氣泡之不利影響(例如,表面平坦度之降低或波紋度增加)。
實例4c
隨後使用1%之苯基三乙氧基矽烷(PTS)於甲苯中處理接合表面經O2電漿及SC1處理之玻璃載具,且在200℃下在真空中退火1小時以完成縮合。經PTS處理之表面展現54mJ/m2之表面能。如表4中所示,玻璃薄片(經SC1清潔且隨後在400℃下在真空中加熱一小時)經接合至載具接合表面,該載具接合表面上具有PTS表面改質層。在沒有玻璃薄片與玻璃載具之永久接合之情況下,該物件捱過真空製程、SRD製程及高達600℃之熱製程。
實例4d
隨後使用1%之二苯基二乙氧基矽烷(DPDS)於甲苯中處理接合表面經O2電漿及SC1處理之玻璃載具,且在200℃下在真空中退火1小時以完成縮合。經DPDS處理之表 面展現47mJ/m2之表面能。如表4中所示,玻璃薄片(經SC1清潔且隨後在400℃下在真空中加熱一小時)經接合至載具接合表面,該載具接合表面上具有DPDS表面改質層。在沒有玻璃薄片與玻璃載具之永久接合之情況下,該物件捱過真空測試及SRD測試,以及高達600℃之熱製程。
實例4e.
隨後使用1%之4-五氟苯基三乙氧基矽烷(PFPTS)於甲苯中處理接合表面經O2電漿及SC1處理之玻璃載具,且在200℃下在真空中退火1小時以完成縮合。經PEPTS處理之表面展現57mJ/m2之表面能。如表4中所示,玻璃薄片(經SC1清潔且隨後在400℃下在真空中加熱一小時)經接合至載具接合表面,該載具接合表面上具有PEPTS表面改質層。在沒有玻璃薄片與玻璃載具之永久接合之情況下,該物件捱過真空測試及SRD測試,以及高達600℃之熱製程。
在以上實例4a至4e中,載具與薄片中之每一者為Eagle XG®玻璃,其中載具為150mm直徑、630微米厚之SMF晶圓,且薄片為100mm2、100微米厚。矽烷層為自組裝單層(SAM)且因此約低於約2nm厚。在以上實例中,使用具有芳基或烷基非極性尾基及單、雙或三烷氧化物頭基之有機矽烷來產生SAM。該等基團與玻璃上之矽烷醇表面反應以直接 附加有機官能性。非極性頭基之間的較弱互動組織有機層。由於表面改質層之厚度小,幾乎不存在可在器件製造中造成污染之釋氣風險。進一步地,因為表面改質層在實例4c、4d及4e中並未出現降級,又,存在甚至更小之釋氣風險。又,如表4中所指示,在400℃下在真空中熱處理一小時之前,使用SC1製程清潔玻璃薄片中之每一者。
如自實例4a至4e之比較可見,將接合表面之表面能控制為高於40mJ/m2以促使初始室溫接合並非是產生受控接合之唯一考慮,該受控接合將承受FPD處理且還允許在無損壞之情況下自載具移除薄片。具體而言,如自實例4a至4e可見,每一載具具有高於40mJ/m2之表面能,該表面能有助於初始室溫接合以使得物件捱過真空及SRD處理。然而,實例4a及4b未通過600℃處理測試。如上所述,針對某些應用,對於接合而言亦重要的是:捱過高溫(例如,≧400℃、≧500℃、或≧600℃、高達650℃,適合於設計物件以在其中使用之製程)之處理而接合不會降級至不足以將薄片及載具保持在一起及亦控制在此等高溫下發生之共價鍵以使得在薄片與載具之間無永久接合的程度。如藉由表4中之實例所展示,芳族矽烷(詳言之苯基矽烷)對於提供受控接合是有用的,該受控接合將促進初始室溫接合且將承受高溫處理且仍允許在無損壞之情況下自載具移除薄片。
在不添加任何其他熱能量或化學能以改質薄片與載具之間的接合表面之情況下,在室溫下執行實例4、3及2中之上述分離。唯一能量輸入為機械牽拉力及/或剝離力。
上文在實例3及4中所描述之材料可經塗覆至載具、至薄片或至將接合在一起之載具與薄片表面兩者。
釋氣
在典型晶圓接合應用中使用之聚合物黏著劑一般為10微米至100微米厚且在聚合物黏著劑之溫度限界下或接近該溫度限界時損失質量之約5%。對於自厚聚合物膜演變而來之該等材料,容易藉由質譜法量化質量損失或釋氣量。另一方面,量測自約10nm厚或更薄之薄表面處理(例如,上文所述之電漿聚合物或自組裝單層表面改質層,以及熱解聚矽氧油薄層)之釋氣更具有挑戰。對於該等材料,質譜法不夠敏感。然而,存在許多量測釋氣之其他方式。
量測少量釋氣之第一方式係基於表面能量測且將參照第6圖加以描述。為執行該測試,可使用如第6圖中圖示之設定。其上具有待測試表面改質層之第一基板或載具900呈現表面902,亦即,在組成及厚度上與待測試之表面改質層30相對應的表面改質層。第二基板或覆蓋物910經放置以使得該基板或覆蓋物之表面912緊密靠近但未接觸載具900之表面902。表面912為未經塗佈表面,亦即,製造覆蓋物之裸材料之表面。間隔物920經放置於載具900與覆蓋物910之間的各個點處以將該載具與該覆蓋物保持彼此間隔的關係。間隔物920應足夠厚以將覆蓋物910與載具900分離以允許材料自一者至另一者之移動,但應足夠薄以使得在測試期間最小化來自表面902與912上腔室氣氛之污染量。載具900、間隔物920及覆蓋物910一起形成測試物件901。
在組裝測試物件901之前,如量測表面902之表面能一般量測裸表面912之表面能,該表面902亦即其上提供表面改質層之載具900之表面。如第7圖中所圖示之表面能量(極性與分散分量兩者)藉由將S.Wu(1971)開發之理論模型擬合至三種測試液體(水、二碘甲烷及十六烷)之三個接觸角來量測。(參考:S.Wu,J.Polym.Sci.C,34,19,1971)。
組裝後,將測試物件901放置在加熱腔室930中且經加熱經歷時間-溫度循環。在大氣壓力及流動N2氣體下執行加熱,亦即,以每分鐘2標準公升之速率在箭頭940之方向上流動。
在加熱循環期間,藉由表面902之表面能之變化證明表面902變化(包括歸因於例如蒸發、熱解、分解、聚合、與載具的反應及除濕之表面改質層之變化)。表面902之表面能自身之變化不一定意謂表面改質層已經釋氣,但確實指示在彼溫度下材料之總體不穩定性,因為歸因於例如上述機制,材料之特徵在改變。因此,表面902之表面能變化越少,表面改質層越穩定。另一方面,由於表面912緊密靠近表面902,自表面902釋氣之任何材料將經被收集在表面912上且將改變表面912之表面能。因此,表面912之表面能之變化為存在於表面902上之表面改質層之釋氣指標(proxy)。
因此,針對一個釋氣測試使用覆蓋物表面912之表面能之變化。具體而言,若存在表面912之≧10mJ/m2之表面能變化,則存在釋氣。此量值之表面能變化與可導致膜黏 著損失或材料性質及器件效能降級之污染一致。≦5mJ/m2之表面能變化接近表面能量測之再現性及表面能之不均勻性。此微小變化與最低限度釋氣相一致。
在產生第7圖中之結果之測試期間,載具900、覆蓋物910及間隔物920由Eagle XG玻璃及(可自Corning Incorporated,Corning,NY獲得之不含鹼的鋁硼矽酸鹽顯示器級玻璃)製成,但並非一定如此。載具900及覆蓋物910直徑為150mm且厚度為0.63mm。一般而言,載具910及覆蓋物920將分別由與載具10及薄片20相同之材料製成,該材料需要釋氣測試。在此測試期間,矽間隔物為0.63mm厚、2mm寬及8cm長,從而在表面902與表面912之間形成0.63mm之間隙。在此測試期間,腔室930經併入MPT-RTP600快速熱處理設備,該設備以每分鐘9.2℃之速率自室溫經循環至測試限界溫度,在測試限界溫度下保持達如圖表中示為「退火時間」的不同時間,且隨後以熔爐速率經冷卻至200℃。在烘箱已冷卻至200℃後,移除測試物件,且測試物件已冷卻至室溫後,再次量測每一表面902與912之表面能。因此,以實例之方式,使用針對1號材料測試至450℃之限界溫度的關於覆蓋物表面能之變化資料(線1003),收集資料如下。0分鐘處的資料點展示75mJ/m2(毫焦耳/平方米)之表面能,且該資料點為裸玻璃(亦即,尚未進行時間-溫度循環)之表面能。一分鐘處之資料點指示如下執行之時間-溫度循環後量測之表面能:將物件901(在載具900上具有用作表面改質層以呈現表面902之1號材料)放置在室溫及大氣壓力下之加 熱腔室930中;使用每分鐘兩標準公升之N2氣體流動以每分鐘9.2℃之速率將腔室加熱至450℃之測試限界溫度,且在450℃之測試限界溫度下保持達1分鐘;隨後允許腔室以每分鐘1℃之速率冷卻至300℃,且隨後自腔室930移除物件901;隨後允許物件冷卻至室溫(無N2流動氣氛);隨後量測表面912之表面能及在線1003上將該表面能繪製為1分鐘之點。隨後以類似方式決定1號材料(線1003、1004)之剩餘資料點,以及2號材料(線1203、1204)、3號材料(線1303、1304)、4號材料(線1403、1404)、5號材料(線1503、1504)及6號材料(線1603、1604)之資料點,其中退火時間之分鐘數對應於測試限界溫度(視情況為450℃或600℃)下的保持時間。除了在每一時間-溫度循環後量測表面902之表面能之外,以類似方式決定代表相應表面改質層材料(1號材料至6號材料)之表面902之表面能的線1001、1002、1201、1202、1301、1302、1401、1402、1501、1502、1601及1602之資料點。
針對下文闡述之六種不同材料執行上述組裝製程及時間-溫度循環,且結果用圖表表示於第7圖中。在六種材料中,1號至4號材料對應於上述表面改質層材料。5號及6號材料為比較實例。
1號材料為CHF3-CF4電漿聚合氟聚合物。該材料與上文實例3b中之表面改質層一致。如第7圖中所圖示,線1001及1002展示載具之表面能未顯著變化。因此,該材料在自450℃至600℃之溫度下非常穩定。另外,如藉由線1003及1004 所示,覆蓋物之表面能亦未顯著變化,亦即,變化≦5mJ/m2。因此,不存在與自450℃至600℃之此材料相關聯之釋氣。
2號材料為苯矽烷,即自苯基三乙氧基矽烷之1%甲苯溶液沉積且在190℃下於真空烘箱中固化30分鐘之自組裝單層(SAM)。該材料與上文實例4c中之表面改質層一致。如第7圖中所圖示,線1201及1202指示載具上的表面能之某種變化。如上所述,此情況指示表面改質層之某種變化,且比較而言,2號材料在某種程度上不及1號材料穩定。然而,如藉由線1203及1204所指示,載具之表面能之變化≦5mJ/m2,展示表面改質層之變化並未導致釋氣。
3號材料為五氟苯基矽烷,即自五氟苯基三乙氧基矽烷之1%甲苯溶液沉積且在190℃下於真空烘箱中固化30分鐘之SAM。該材料與上文實例4e中之表面改質層一致。如第7圖中所圖示,線1301及1302指示載具上表面能之某種變化。如上所述,此情況指示表面改質層之某種變化,且比較而言,3號材料在某種程度上不及1號材料穩定。然而,如藉由線1303及1304所指示,載具之表面能之變化≦5mJ/m2,展示表面改質層之變化並未導致釋氣。
4號材料為在140℃下在YES HMDS烘箱中自蒸氣沉積之六甲基二矽氮烷(HMDS)。該材料與上表2之實例2b中之表面改質層一致。如第7圖中所圖示,線1401及1402指示載具上之表面能之某種變化。如上所述,此情況指示表面改質層之某種變化,且比較而言,4號材料在某種程度上不及1號材料穩定。另外,4號材料之載具之表面能之變化大於 2號及3號材料中之任一者之變化,指示比較而言4號材料在某種程度上不及2號與3號材料穩定。然而,如線1403與1404所示,載具之表面能之變化≦5mJ/m2,展示表面改質層之變化並未導致影響了覆蓋物之表面能之釋氣。然而,此情況與HMDS之釋氣方式一致。換言之,HMDS釋放氨氣及水,氨氣及水不影響覆蓋物之表面能,且可不影響某一電子製造設備及/或處理。另一方面,當釋氣之產物經截留於薄片與載具之間時,可存在其他問題,如下文關於第二釋氣測試所述。
5號材料為縮水甘油氧基丙基矽烷,即自縮水甘油氧基丙基三乙氧基矽烷之1%甲苯溶液沉積且在190℃下於真空烘箱中固化30分鐘之SAM。此材料為比較實例材料。儘管載具之表面能存在相對較小改變(如線1501及線1502所示),但覆蓋物之表面能存在顯著改變(如線1503及線1504所示)。換言之,儘管5號材料在載具表面上相對穩定,但5號材料實際上將大量材料釋氣至覆蓋物表面上,藉此覆蓋物表面能變化≧10mJ/m2。儘管在600℃下在10分鐘結束時之表面能在10mJ/m2內,但彼時間期間之變化確實超過10mJ/m2。參見(例如)1分鐘與5分鐘處之資料點。儘管不希望受理論之約束,但對於分解且離開覆蓋物表面之一些釋氣材料,表面能在自5分鐘至10分鐘內之略微上升是很可能的。
6號材料為DC704,即藉由將5ml Dow Corning 704擴散泵油四甲基四苯基三矽氧烷(可自Dow Corning獲得)分散在載具上、將該載具放置在空氣中的500℃熱板上達8分鐘而製備的聚矽氧塗層。可見冒煙之結束表明樣本製備之 完成。在以上述方式製備樣本後,執行上文所描述之釋氣測試。此樣本為比較實例材料。如第7圖中所圖示,線1601及1602指示載具上的表面能的某種變化。如上文所述,此情況指示表面改質層之某種變化,且比較而言,6號材料不及1號材料穩定。另外,如藉由線1603及1604所指示,載具之表面能之變化≧10mJ/m2,展示大量釋氣。更特定而言,在450℃之測試限界溫度下,10分鐘之資料點展示表面能約15mJ/m2的減少,且針對1分鐘及5分鐘處之點展示表面能之甚至更大的減少。類似地,在600℃之測試限界溫度循環期間,覆蓋物之表面能變化(覆蓋物之表面能之減少)在10分鐘資料點處為約25mJ/m2,在5分鐘資料點處減少略多,且在1分鐘資料點處減少略少。但總之,該材料在整個測試範圍內展示大量釋氣。
針對1號至4號材料,貫穿時間-溫度循環之表面能顯著指示覆蓋物表面保持在與裸玻璃之表面能一致之表面能下,亦即,沒有收集自載具表面釋氣之材料。在4號材料之情況下,如關於表2所述,製備載具及薄片表面之方式使得在物件(經由表面改質層與載具接合在一起之薄片)是否將捱過FPD處理方面存在巨大差異。因此,儘管第7圖中圖示之4號材料之實例可不釋氣,但該材料可能或可能不會捱過400℃或600℃測試,如關於表2之論述所述。
量測少量釋氣之第二方式係基於經組裝物件(亦即,其中薄片經由表面改質層接合至載具之經組裝物件),且該第二方式使用氣泡面積百分比之變化決定釋氣。換言 之,在物件之加熱期間,載具與薄片之間形成之氣泡指示表面改質層之釋氣。如上文關於第一釋氣測試所述,難以量測非常薄之表面改質層之釋氣。在該第二測試中,薄片下之釋氣可由薄片與載具之間之強黏著限制。然而,≦10nm厚之層(例如,電漿聚合材料、SAM及經熱解聚矽氧油表面處理)仍可在熱處理期間產生氣泡,儘管該等層之絕對質量損失較小。且在薄片與載具之間產生氣泡可導致關於圖案產生、光微影處理及/或至薄片上之器件處理期間之對準的問題。另外,在薄片與載具之間的接合區域之邊界處產生氣泡可導致關於來自一個製程之製程流體污染下游製程之問題。≧5之氣泡面積百分比之變化為顯著的(指示釋氣)且非所欲的。另一方面,≦1之氣泡面積百分比之變化為不顯著的且指示尚不存在釋氣。
以手動接合在1000級潔淨室中接合之薄玻璃之平均氣泡面積為1%。經接合載具中之氣泡百分比為載具、薄片及表面製備之清潔度之函數。因為該等初始缺陷在熱處理後充當氣泡生長之成核位點,熱處理後小於1%之氣泡面積之任何變化在樣本製備之可變性內。為執行該測試,使用具有透明度單元之市售桌上型掃描器(Epson Expression 10000XL Photo))以緊接接合之後作出接合薄片及載具的區域之第一掃描影像。使用利用508dpi(50微米/像素)及24位元RGB之標準Epson軟體掃描部件。影像處理軟體首先藉由(如必要)將樣本之不同區段之影像縫合成單一影像且移除掃描器假影(藉由使用在掃描器中無樣本之情況下執行之校準參考 掃描)來準備影像。隨後使用標準影像處理技術(諸如定限、填孔、腐蝕/膨脹及斑點分析)分析經接合區域。亦可以類似方式使用較新Epson Expression 11000XL Photo。在傳輸模式中,在經掃描影像中可見接合區域中之氣泡,且可決定氣泡面積之值。隨後,將氣泡面積與總接合區域(亦即,薄片與載具之間之總重疊面積)比較以計算接合區域中之氣泡相對於總接合面積之面積百分比。隨後在N2氣氛下在300℃、450℃及600℃之測試限界溫度下於MPT-RTP600快速熱處理系統中對樣本進行熱處理至多10分鐘。具體而言,執行之時間-溫度循環包括:在室溫及大氣壓力下將物件插入加熱腔室中;隨後以每分鐘9℃之速率將腔室加熱至測試限界溫度;將腔室在測試限界溫度下保持10分鐘;隨後以熔爐速率將腔室冷卻至200℃;自腔室移除物件且允許將物件冷卻至室溫;隨後使用光學掃描器對物件進行第二次掃描。隨後如上文所述計算自第二次掃描之氣泡面積百分比且與自第一次掃描之氣泡面積百分比進行比較以決定氣泡面積百分比之變化(△%氣泡面積)。如上所述,≧5%之氣泡面積變化為顯著的且指示釋氣。由於原始氣泡面積百分比之可變性,氣泡面積百分比之變化經選擇作為量測標準。換言之,歸因於薄片及載具經製備後且薄片及載具接合前之處置及清潔度,大多數表面改質層在第一次掃描中具有約2%之氣泡面積。然而,材料之間可發生變化。關於第一釋氣測試方法闡述之相同之1號至6號材料在該第二釋氣測試方法中再次使用。在該等材料中,1號至4號材料在第一次掃描中展現約2%之氣泡面積,而5號 及6號材料在第一次掃描中展示顯著更大之氣泡面積,亦即,約4%。
將參考第8圖及第9圖描述第二釋氣測試之結果。在第8圖中圖示1號至3號材料之釋氣測試結果,而在第9圖中圖示4號至6號材料之釋氣測試結果。
1號材料之結果在第8圖中經圖示為方形資料點。如自該圖可見,針對300℃、450℃及600℃之測試限界溫度,氣泡面積百分比之變化接近零。因此,1號材料在該等溫度下不展示釋氣。
2號材料之結果在第8圖中經圖示為菱形資料點。如自該圖可見,針對450℃及600℃之測試限界溫度,氣泡面積百分比之變化小於1。因此,2號材料在該等溫度下不展示釋氣。
3號材料之結果在第8圖中經圖示為三角形資料點。如自該圖可見,與1號材料之結果類似,針對300℃、450℃及600℃之測試限界溫度,氣泡面積百分比之變化接近零。因此,1號材料在該等溫度下不展示釋氣。
4號材料之結果在第9圖中經圖示為圓形資料點。如自該圖可見,針對300℃之測試限界溫度,氣泡面積百分比之變化接近零,但對一些樣本而言,在450℃及600℃之測試限界溫度下,該變化接近1%,且對彼相同材料之其他樣本而言,在450℃及600℃之測試限界溫度下,該變化為約5%。4號材料之結果非常不一致且視以HMDS材料製備薄片及載具表面以用於接合之方式而定。視製備樣本之方式而定的樣本 執行方式與結合上表2闡述之該材料之實例及相關聯論述相一致。應注意,對於該材料,根據上文闡述之分離測試,在450℃及600℃之測試限界溫度下具有接近1%之氣泡面積百分比之變化之樣本不允許薄片自載具分離。換言之,薄片與載具之間的強黏著可能已限制氣泡產生。另一方面,具有接近5%之氣泡面積百分比之變化之樣本允許薄片自載具分離。因此,在將載具與薄片黏結在一起之溫度處理(防止薄片自載具移除)後,沒有釋氣之樣本具有黏著增大之非所欲結果,而允許薄片及載具之移除之樣本具有釋氣之非所欲結果。
5號材料之結果在第9圖中經圖示為三角形資料點。如自該圖可見,針對300℃之測試限界溫度,氣泡面積百分比之變化為約15%,且大大超過針對450℃及600℃之更高測試限界溫度之變化。因此,5號材料在該等溫度下展示大量釋氣。
6號材料之結果在第9圖中經圖示為方形資料點。如自該圖所見,針對300℃之測試限界溫度,氣泡面積百分比之變化超過2.5%,且針對450℃及600℃之測試限界溫度,氣泡面積百分比之變化超過5%。因此,6號材料在450℃及600℃之測試限界溫度下展示大量釋氣。
用於製造電子器件
如本文中所描述之受控接合之一種用途為製造物件,包括具有載具及接合至該載具之薄片之彼等物件,該等物件又用於製造電子器件,例如,TFT、OLED(包括有機發 光材料)、PV器件、觸控式感應器、中介層、積體電路、電阻電容電路及顯示器。
無論如何,當前針對較厚片設計之電子器件處理設備可用於處理玻璃物件以將電子器件組件或電子器件之部件安置在物件之片上。電子器件組件應安置在薄片之經由上述受控接合而接合至載具之一或多個部分上,藉此甚至在按製造電子器件所必要之溫度進行處理後,薄片保持可與載具分離。器件處理可包括在例如≧400℃、≧500℃、≧600℃或高達650℃及在一些例子中高達700℃之溫度下之處理。如上文所描述,可選擇合適的表面改質層以使得甚至在按該等溫度進行處理後在至少不損壞薄片且較佳地不損壞薄片與載具兩者之情況下,薄片保持可與載具分離。任何數目之電子器件組件可安置在任何數目之進行此舉之步驟中,直至電子器件完成或位於合適的中間階段。物件可在電子器件處理之前經組裝或可作為電子器件製造製程之一部分經組裝。
器件處理可包括貫穿整個器件處理保持物件完整無損或可包括在該製程中在一或多個點處對物件進行切塊。例如,器件處理可包括在物件上形成一個電子器件組件,及然後將物件切成隨後經受進一步處理之兩個或兩個以上的部分(亦即,將電子器件之額外組件安置在片上或電子器件組件上,該電子器件組件由先前步驟中之安置而存在於該片上)。可執行切塊步驟以使得物件之每一部分包括保持接合至載具之薄片之一部分,或以使得僅切塊部分之子集包括該佈置。在切塊部分中之任一部分中,彼部分中之薄片之整個區域可 保持接合至彼部分中之載具之整個區域。
在器件處理後,在完成或中間階段,可自載具移除器件及薄片中安置該器件之部分。可整體移除薄片,或可自剩餘部分分離薄片之一部分且自載具移除該部分。該移除可發生在整個物件或自該物件切塊之一或多個部分。
在半導體及/或中介層處理中處理薄晶圓之用途
經由表面改質層(包括材料及相關聯接合表面熱處理)之受控接合之另一用途為提供薄片在載具上之使用以在要求≧400℃(例如,≧450℃、≧500℃、≧550℃、≧600℃)之溫度之製程中(如在例如FEOL處理中)處理薄片。換言之,薄片可為晶圓,該晶圓以稍後無需薄化之厚度來處理。如以上實例2e、3a、3b、4c、4d及4e所例示之表面改質層(包括材料及接合表面熱處理)可用於提供載具在此等溫度條件下之重複使用。具體而言,該等表面改質層可用於修改薄片與載具之接合區域之間的重疊區域之表面能,藉此在處理後可使整個薄片與載具分離。薄片可一次性全部分離,或可分區段分離,例如,首先移除薄片之部分上產生的器件且之後移除任何剩餘部分以清潔載具以供重複使用。。在整個薄片自載具移除之情況下,如藉由整體移除薄片或如藉由移除薄片之切塊部分(該等切塊部分之和加至整個薄片),可僅藉由在載具上放置另一薄片來重複使用載具。或者,可清潔且再次準備好載具以藉由重新形成表面改質層來承載薄片。因為表面改質層防止薄片與載具之永久接合,該等表面改質層可用於其中溫度≧600℃之製程。當然,儘管該等表面改質層 可在≧600℃之溫度下的處理期間控制接合表面能,但該等表面改質層亦可用於產生薄片與載具組合,該薄片及載具組合將承受較低溫度(例如,≧400℃之溫度(例如,≧450℃、≧500℃、≧550℃))下之處理,且可在該等較低溫度應用中(例如,在BEOL處理中)使用以在無釋氣之情況下(在實例3a、3b、4c、4d及4e之材料的情況下)控制接合。此外,在物件之熱處理將不超過400℃之情況下,亦可以該相同方式使用藉由實例2c、2d及4b例示之表面改質層。薄片可為具有≦200微米之厚度之多晶矽或單晶矽晶圓、矽晶圓、玻璃、陶瓷、玻璃陶瓷、石英及藍寶石且可在(例如)≧500℃之溫度下經處理以在FEOL處理中在該薄片形成RC電路、IC或其他電子器件。在FEOL處理之後,晶圓可容易自載具移除而不損壞電子器件。然而,在移除前,晶圓可經歷進一步較低溫度處理,例如,如在BEOL處理中。
經由表面改質層(包括材料及相關聯之接合表面熱處理)之受控接合之第二個用途為製造中介層。更具體而言,藉由使用表面改質層,可形成受控接合之區域,其中充足分離力可使薄片(或薄片之部分)與載具分離,而不會造成由接合對薄片或載具引起之損壞,但貫穿處理保持充足接合力以相對於載具固持薄片。在此情況下,薄片為中介層,該中介層可為由任何合適材料(包括(例如)矽、多晶矽、單晶矽、玻璃、陶瓷、玻璃陶瓷、石英、藍寶石)製成之晶圓,且具有(例如)≦200微米之厚度。
現參考第10圖至第12圖描述中介層之實例及中介 層之製造。
參考第10圖,可藉由受控接合區域40將薄片20接合至載具10。
在此實施例中,載具10可為玻璃基板或具有與玻璃類似之表面能之另一合適的材料(例如,矽、多晶矽、單晶矽、陶瓷、玻璃陶瓷、藍寶石或石英)。使用玻璃基板之優點在於可以相對較低之成本獲得具有最小厚度變化之平片,避免對昂貴載具基板之需要。另外,使用玻璃可以成本有效方式達成高品質。換言之,厚度非常均勻之玻璃基板可極便宜地製成且可用作載具。然而,使用本揭示案之表面改質層,載具無需為具有低總厚度變化之高精度載具,如在晶圓將經薄化至最終厚度之情況中。換言之,當載具上之晶圓將經薄化時,載具必須非常嚴格控制總厚度變化,因為載具之任何變化將出現在薄化後之經薄化晶圓中。使用當晶圓已經處於最終厚度時允許在晶圓上形成器件之本揭示案之表面改質層,載具之總厚度變化不那麼重要。
在此實施例中,薄片20用於形成中介層56。該片可為(例如)矽(包括多晶矽或單晶矽晶圓)、石英、藍寶石、陶瓷或玻璃。該片20可具有≦200微米之厚度。每一中介層56具有周邊52及通孔陣列50,其中陣列50具有周邊57。儘管圖示了十個中介層56,但任何合適數目(包括一個)之中介層可安置在一個薄片20上。為了便於說明,每一中介層56經圖示為僅具有一個通孔陣列50,但並不一定如此,相反,任何中介層56可具有一個以上的通孔陣列50。進一步 地,儘管每一中介層經圖示為具有相同數目之陣列50,但並不一定如此;任何數目(包括零)之中介層可具有相同數目之陣列50。另外,儘管陣列50通常將具有相同數目及圖案之通孔,但並不一定如此。為了便於說明,僅在一個中介層56之一個陣列50上圖示通孔60,但並不一定如此,亦即,任何一或多個剩餘中介層56可具有通孔60之一或多個陣列50。
將參考第11圖,第11圖為沿著第10圖中之線11-11截取之橫截面視圖。通孔60可包括穿透孔或盲孔,亦即,在片20之厚度內終結之通孔。通孔60具有直徑62且以間距64間隔。儘管直徑62經圖示為相同的,但並不一定如此,亦即,在一個中介層56上之一個陣列50中或不同陣列50中可存在不同直徑之通孔。直徑62可為(例如)自5微米至150微米。類似地,儘管通孔62以相同間距64間隔,但並不一定如此,亦即,一個薄片20上之一個中介層56或不同中介層56上之一個陣列50或不同陣列50中可存在不同間距。間距可為(例如)每平方米毫米存在1至20個通孔,且該間距將視中介層之設計及應用而定。另外,材料61可存在於通孔60中之任何一或多者中。材料61可為導電材料、電絕緣材料或以上之組合。例如,導電材料可形成在通孔之周邊上,亦即,在通孔之外直徑62處,且不同導電材料或絕緣材料可用於填充剩餘通孔。
現將參考第12圖,第12圖為與第11圖中之視圖類似之視圖,但具有安置在中介層56上及連接至一或多個通孔60之器件/結構。如第12圖中所圖示,器件66可經安置在複 數個通孔60上方且與該等通孔連接。器件66可包括積體電路;MEMS;微型感測器;功率半導體;發光二極體;光子電路;CPU;SRAM;DRAM;eDRAM;ROM;EEPROM;快閃記憶器;中介層;嵌入式被動器件及在矽、矽鍺、砷化鎵及氮化鎵上製造或由矽、矽鍺、砷化鎵及氮化鎵製造之微型器件。儘管僅圖示一個器件66,但在一個中介層56(包括器件56之陣列)上可存在任何合適數目之器件66。或者,結構68可經安置在僅一個通孔60上方且與該僅一個通孔連接。結構68可包括:焊料凸塊;金屬樁;金屬柱;互連路由;互連線;絕緣氧化層及由一材料形成之結構,該材料選自由以下各者組成之群組:矽、多晶矽、二氧化矽、(氧化)氮化矽、金屬(例如,Cu、Al、W)、低介電常數介電質、聚合物介電質、金屬氮化物及金屬矽化物。儘管僅圖示一個結構68,但在一個中介層56(包括結構56之一或多個陣列)上可存在任何合適數目個結構68。進一步地,一或多個結構68可安置在器件66上。
在受控接合區域40中,載具10及薄片20彼此接合以使得在整個重疊區域之上,載具10與薄片20連接,但甚至在高溫處理(例如,在≧400℃(例如,≧450℃、≧500℃、≧550℃、≧600℃及高達約650℃或在一些例子中高達700℃)之溫度下處理)後可彼此分離。
如以上實例2a、2e、3a、3b、4c、4d及4e所例示之包括材料及接合表面熱處理之表面改質層30可用於提供載具10與薄片20之間的受控接合區域40。具體而言,該等表 面改質層可形成在載具10上或薄片20上之陣列50之周邊52內。因此,當在器件處理期間在高溫下處理物件2時,可在周邊52所限制之區域內提供載具10與薄片20之間的受控接合,藉此分離力可在該區域內(不對薄片或載具造成災難性損壞之情況下)分離薄片及載具,而薄片及載具在處理(包括超音波處理)期間將不分層。另外,由於表面改質層非常小之厚度(亦即,小於100奈米、小於40奈米、小於10奈米且在一些例子中約為2奈米),不存在歸因於晶圓與表面改質層之間的CTE失配對晶圓之影響(因為存在較厚黏著層,亦即約40至60微米或更大之情況)。另外,當需要限制薄片與載具之間的釋氣時,可使用實例3b、4c及4e之表面改質層材料。
隨後,在對具有周邊52之中介層56(每一中介層具有通孔60之陣列50)之提取期間,薄片20在周邊52中之部分在處理後且在薄片沿著周邊52之分離後可僅與載具10分離。或者,可沿著線5切塊薄片20(及替代性地為薄片20與載具10兩者),藉此大於中介層56周邊52之薄片20之區段可自載具10或載具10之區段移除,如在載具與薄片20一起經切塊之情況下。因為表面改質層控制接合能以防止薄片與載具之永久接合,故表面改質層可用於其中溫度≧600℃之製程。當然,儘管該等表面改質層可在≧600℃之處理期間控制接合表面能,但表面改質層亦可用於產生薄片與載具組合,該薄片及載具組合將承受較低溫度(例如,≧400℃(例如,≧450℃、≧500℃、≧550℃))下的處理且可在該等較 低溫度應用中使用。此外,在物件之熱處理將不超過400℃之情況下,藉由實例2c、2d、4b例證之表面改質層亦可以此相同方式使用(在一些情況下,視其他製程要求而定)以控制接合表面能。此外,如上文所述,可在薄片與載具之間的釋氣令人擔憂之情況中使用實例3b、4c及4e之表面改質層材料。
結論
應強調,本發明之上述實施例(尤其是任何「較佳」實施例)僅為實施之可能實例,僅經闡述用於清晰理解本發明之各種原理。在不實質上脫離本發明之精神及各種原理之情況下,可對本發明之上述實施例進行許多變化與修改。本文中之所有該等修改及變化意欲包括在本揭示案及本發明之範圍內且受以下專利申請範圍保護。
舉例而言,儘管許多實施例之表面改質層30經圖示及論述為形成在載具10上,但該表面改質層可相反或另外形成於薄片20上。換言之,實例4及實例3中闡述之材料可塗覆至載具10、至薄片20或至載具10及薄片20兩者將接合在一起的面上。
進一步地,儘管一些表面改質層30經描述為控制接合強度以在甚至400℃或600℃之溫度下處理物件2後允許薄片20自載具10移除,但當然可在相較於物件通過之特定測試之溫度更低之溫度下處理物件2且仍達成自載具10移除薄片20而不損壞薄片20或載具10之相同能力。
又進一步地,儘管受控接合概念在本文中已描述為 用於載具及薄片,但在某些情況下,該等概念適用於控制玻璃、陶瓷或玻璃陶瓷之較厚片之間的接合,其中可需要使片(或片之部分)彼此分開。
再進一步地,儘管本文中之受控接合概念已描述為對玻璃載具及玻璃薄片有用,載具可由其他材料(例如,陶瓷、玻璃陶瓷或金屬)製成。類似地,可控制地接合至載具之片可由其他材料(例如,陶瓷或玻璃陶瓷)製成。
2‧‧‧物件
8‧‧‧厚度
10‧‧‧載具
12‧‧‧第一表面
14‧‧‧接合表面
16‧‧‧周邊
18‧‧‧厚度
20‧‧‧薄片
22‧‧‧第一表面
24‧‧‧接合表面
26‧‧‧周邊
28‧‧‧厚度
30‧‧‧表面改質層
38‧‧‧厚度

Claims (15)

  1. 一種物件,該物件包含:一載具,該載具具有一載具接合表面;一片,該片中具有至少一個通孔,該片進一步包含一片接合表面;一表面改質層;該載具接合表面與該片接合表面接合,該表面改質層在該兩者之間,其中該表面改質層具有一特徵以致發生以下中之至少一者:(i)在藉由在以每分鐘9.2℃之一速率自室溫至500℃循環、在500℃之一溫度下保持達10分鐘且隨後以熔爐速率冷卻至300℃的一腔室中加熱而使該物件經受一溫度循環及隨後自該腔室移除該物件且允許該物件冷卻至室溫之後,在該載具與該片中之一者經固持且另一者經受重力時,該載具與該片彼此不分離,且在室溫下執行分離時,該片可與該載具分離而不使該載具及該片中之較薄者破裂成兩個或兩個以上的碎片;及(ii)在藉由在以每分鐘9.2℃之一速率自室溫至400℃循環、在400℃之一溫度下保持達10分鐘且隨後以熔爐速率冷卻至300℃的一腔室中加熱而使該物件經受一溫度循環及隨後自該腔室移除該物件且允許該物件冷卻至室溫之後,在該載具與該片中之一者經固持且另一者經受重力時,該載具與該片彼此不分離;根據2號測試,不存在自該表面改質層 之釋氣,且在室溫下執行分離時,該片可與該載具分離而不使該載具及該片中之較薄者破裂成兩個或兩個以上的碎片。
  2. 如請求項1所述之物件,該片包含矽、石英、藍寶石、陶瓷或玻璃。
  3. 一種物件,該物件包含:一載具,該載具具有一載具接合表面;一晶圓片,該片包含≦200微米之一厚度,該片進一步包含一片接合表面,該片包含矽、石英或藍寶石;一表面改質層;該載具接合表面與該片接合表面接合,該表面改質層在該兩者之間,其中該表面改質層具有一特徵以致以下中之至少一者:(i)在藉由在以每分鐘9.2℃之一速率自室溫至500℃循環、在500℃之一溫度下保持達10分鐘且隨後以熔爐速率冷卻至300℃的一腔室中加熱而使該物件經受一溫度循環及隨後自該腔室移除該物件且允許該物件冷卻至室溫之後,在該載具與該片中之一者經固持且另一者經受重力時,該載具與該片彼此不分離,且在室溫下執行分離時,該片可與該載具分離而不使該載具及該片中之較薄者破裂成兩個或兩個以上的碎片;及(ii)在藉由在以每分鐘9.2℃之一速率自室溫至400℃循環、在400℃之一溫度下保持達10分鐘且隨後以熔爐速率 冷卻至300℃的一腔室中加熱而使該物件經受一溫度循環及隨後自該腔室移除該物件且允許該物件冷卻至室溫之後,在該載具與該片中之一者經固持且另一者經受重力時,該載具與該片彼此不分離;根據2號測試,不存在自該表面改質層之釋氣,且在室溫下執行分離時,該片可與該載具分離而不使該載具及該片中之較薄者破裂成兩個或兩個以上的碎片。
  4. 如請求項1或請求項2所述之物件,該至少一個通孔具有≦150微米之一直徑且其中包含導電材料。
  5. 如請求項1或請求項3所述之物件,該片包含與該片接合表面相對之一器件表面,該器件表面包含選自由以下各者組成之群組之一器件陣列:積體電路;MEMS;CPU;微型感測器;功率半導體;發光二極體;光子電路;中介層;嵌入式被動器件;及在矽、矽鍺、砷化鎵及氮化鎵上製造或自矽、矽鍺、砷化鎵及氮化鎵製造之微型器件。
  6. 如請求項1或請求項3所述之物件,其中在該加熱期間不存在自該表面改質層之釋氣,其中自該表面改質層之釋氣經界定為以下各者中之至少一者:(a)其中根據1號釋氣測試,覆蓋物之表面能之改變在600℃之一測試限界溫度下為≧15mJ/m2;及(b)其中根據2號釋氣測試,氣泡面積百分比之變化在600℃之一測試限界溫度下為≧5。
  7. 如請求項1或請求項3所述之物件,該表面改質層包含以下各者中之一者:a)一電漿聚合氟聚合物;及b)一芳族矽烷。
  8. 一種用於製造一中介層之方法,該方法包含以下步驟:獲得具有一載具接合表面之一載具;獲得其中具有至少一個通孔之一片,該片進一步包含一片接合表面,其中該載具接合表面與該片接合表面中之至少一者包含位於其上之一表面改質層;使用該等接合表面及該表面改質層將該載具接合至該片以形成一物件;使該物件經受前端工序(FEOL)處理,其中FEOL處理之後,在該載具與該片中之一者經固持且另一者經受重力時,該載具與該片彼此不分離;自該載具移除該片而不使該載具及該片中之較薄者破裂成兩個或兩個以上的碎片。
  9. 如請求項8所述之方法,該片包含矽、石英、藍寶石、陶瓷或玻璃。
  10. 一種用於處理一矽晶圓片之方法,該方法包含以下步驟:獲得具有一載具接合表面之一載具; 獲得具有≦200微米之一厚度之一晶圓片,該片包含矽、石英或藍寶石,該片進一步包含一片接合表面,其中該載具接合表面與該片接合表面中之至少一者包含位於其上之一表面改質層;使用該等接合表面及該表面改質層將該載具接合至該片以形成一物件;使該物件經受前端工序(FEOL)處理,其中FEOL處理之後,在該載具與該片中之一者經固持且另一者經受重力時,該載具與該片彼此不分離;自該載具移除該片而不使該載具及該片中之較薄者破裂成兩個或兩個以上的碎片。
  11. 如請求項8或請求項10所述之方法,其中該FEOL處理包含以下中之至少一者:(i)自500℃至700℃之處理腔室溫度;及(ii)以下中之至少一者:DRIE(乾式反應離子蝕刻);PVD;CVD TiN;PECVD SiO2;電解Cu電鍍;Cu退火;度量;Cu CMP;Cu(H2O2+H2SO4)+Ti(DHF)濕式蝕刻;濺鍍黏著層;濺鍍晶種層;微影(光阻、曝光、剝離、蝕刻Cu)。
  12. 如請求項8或請求項9所述之方法,其中該至少一個通孔具有≦150微米之一直徑且其中包含導電材料。
  13. 如請求項8或請求項10所述之方法,該片包含與該片接合表面相對之一器件表面,該器件表面包含以下中之至少一者:(i)選自由以下各者組成之群組之一器件陣列:積體電路;MEMS;CPU;微型感測器;功率半導體;發光二極體;光子電路;中介層;嵌入式被動器件及在矽、矽鍺、砷化鎵及氮化鎵上製造或由矽、矽鍺、砷化鎵及氮化鎵製造之微型器件;及(ii)選自由以下各者組成之群組之至少一個結構:焊料凸塊;金屬樁;金屬柱;互連路由;互連線;絕緣氧化層及由一材料形成之結構,該材料選自由以下各者組成之群組:矽、多晶矽、二氧化矽、(氧)氮化矽、金屬、低介電常數介電質、聚合物介電質、金屬氮化物及金屬矽化物。
  14. 如請求項8或請求項10所述之方法,其中在該加熱期間不存在自該表面改質層之釋氣,其中自該表面改質層之釋氣經界定為以下中之至少一者:(a)其中根據1號釋氣測試,覆蓋物之表面能之變化在600℃之一測試限界溫度下為≧15mJ/m2;及(b)其中根據2號釋氣測試,氣泡面積百分比之變化在600℃之一測試限界溫度下為≧5。
  15. 如請求項8或請求項10所述之方法,該表面改質層包含以下中之一者:a)一電漿聚合氟聚合物;及b)一芳族矽烷。
TW103135388A 2013-10-14 2014-10-13 用於半導體與中介層處理之載具接合方法與物件 TWI632612B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201361890524P 2013-10-14 2013-10-14
US61/890,524 2013-10-14

Publications (2)

Publication Number Publication Date
TW201517175A true TW201517175A (zh) 2015-05-01
TWI632612B TWI632612B (zh) 2018-08-11

Family

ID=51799328

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103135388A TWI632612B (zh) 2013-10-14 2014-10-13 用於半導體與中介層處理之載具接合方法與物件

Country Status (8)

Country Link
US (1) US10510576B2 (zh)
EP (1) EP3058587B1 (zh)
JP (2) JP6873702B2 (zh)
KR (1) KR102355656B1 (zh)
CN (1) CN106133899B (zh)
SG (1) SG11201602919PA (zh)
TW (1) TWI632612B (zh)
WO (1) WO2015057605A1 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI671200B (zh) * 2014-01-27 2019-09-11 美商康寧公司 用於薄片與載體之受控制接合的物件及方法
TWI712094B (zh) * 2015-11-04 2020-12-01 美商庫利克和索夫工業公司 用於引線接合的接合機上的自動懸伸晶粒優化工具及相關方法
TWI747181B (zh) * 2020-01-07 2021-11-21 大陸商長江存儲科技有限責任公司 金屬-介電質接合方法和結構
TWI804127B (zh) * 2020-12-23 2023-06-01 美商恩特葛瑞斯股份有限公司 氣體儲存系統及其方法

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10543662B2 (en) 2012-02-08 2020-01-28 Corning Incorporated Device modified substrate article and methods for making
TWI617437B (zh) 2012-12-13 2018-03-11 康寧公司 促進控制薄片與載體間接合之處理
US9340443B2 (en) 2012-12-13 2016-05-17 Corning Incorporated Bulk annealing of glass sheets
US10014177B2 (en) 2012-12-13 2018-07-03 Corning Incorporated Methods for processing electronic devices
US10086584B2 (en) 2012-12-13 2018-10-02 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
US10510576B2 (en) 2013-10-14 2019-12-17 Corning Incorporated Carrier-bonding methods and articles for semiconductor and interposer processing
CN106104778A (zh) * 2014-01-27 2016-11-09 康宁股份有限公司 用于聚合物表面与载体的受控粘结的制品和方法
EP3129221A1 (en) 2014-04-09 2017-02-15 Corning Incorporated Device modified substrate article and methods for making
US9368436B2 (en) 2014-08-04 2016-06-14 Infineon Technologies Ag Source down semiconductor devices and methods of formation thereof
US9640419B2 (en) * 2014-08-04 2017-05-02 Infineon Technologies Ag Carrier system for processing semiconductor substrates, and methods thereof
JP6723236B2 (ja) 2014-11-05 2020-07-15 コーニング インコーポレイテッド バイアボトムアップ電解メッキ方法
JP2018524201A (ja) 2015-05-19 2018-08-30 コーニング インコーポレイテッド シートをキャリアと結合するための物品および方法
KR102524620B1 (ko) * 2015-06-26 2023-04-21 코닝 인코포레이티드 시트 및 캐리어를 포함하는 방법들 및 물품들
US10177114B2 (en) 2015-11-25 2019-01-08 Invensas Corporation Hybrid 3D/2.5D interposer
US10410883B2 (en) 2016-06-01 2019-09-10 Corning Incorporated Articles and methods of forming vias in substrates
US10134657B2 (en) 2016-06-29 2018-11-20 Corning Incorporated Inorganic wafer having through-holes attached to semiconductor wafer
US10794679B2 (en) 2016-06-29 2020-10-06 Corning Incorporated Method and system for measuring geometric parameters of through holes
US20190341320A1 (en) * 2016-08-01 2019-11-07 Corning Incorporated Glass based electronics packages and methods of forming thereof
TW202216444A (zh) 2016-08-30 2022-05-01 美商康寧公司 用於片材接合的矽氧烷電漿聚合物
TWI810161B (zh) 2016-08-31 2023-08-01 美商康寧公司 具以可控制式黏結的薄片之製品及製作其之方法
TW201822282A (zh) * 2016-09-09 2018-06-16 美商康寧公司 具有通孔的低表面粗糙度基板及其製作方法
CN106783726A (zh) * 2016-12-30 2017-05-31 苏州爱彼光电材料有限公司 复合衬底及其制备方法、半导体器件
US10580725B2 (en) 2017-05-25 2020-03-03 Corning Incorporated Articles having vias with geometry attributes and methods for fabricating the same
US11078112B2 (en) 2017-05-25 2021-08-03 Corning Incorporated Silica-containing substrates with vias having an axially variable sidewall taper and methods for forming the same
KR102492733B1 (ko) 2017-09-29 2023-01-27 삼성디스플레이 주식회사 구리 플라즈마 식각 방법 및 디스플레이 패널 제조 방법
JP7431160B2 (ja) 2017-12-15 2024-02-14 コーニング インコーポレイテッド 基板を処理するための方法および結合されたシートを含む物品を製造するための方法
US10917966B2 (en) 2018-01-29 2021-02-09 Corning Incorporated Articles including metallized vias
US11554984B2 (en) 2018-02-22 2023-01-17 Corning Incorporated Alkali-free borosilicate glasses with low post-HF etch roughness
US11035807B2 (en) 2018-03-07 2021-06-15 General Electric Company Thermal interposer for a cryogenic cooling system
US11152294B2 (en) 2018-04-09 2021-10-19 Corning Incorporated Hermetic metallized via with improved reliability
CN113474311B (zh) 2019-02-21 2023-12-29 康宁股份有限公司 具有铜金属化贯穿孔的玻璃或玻璃陶瓷制品及其制造过程
US10748798B1 (en) * 2019-07-01 2020-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Wireless camera wafer for vacuum chamber diagnostics
US10971472B2 (en) * 2019-07-09 2021-04-06 Mikro Mesa Technology Co., Ltd. Method of liquid assisted bonding

Family Cites Families (296)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS4625913Y1 (zh) 1967-12-08 1971-09-06
US3722181A (en) 1970-05-22 1973-03-27 Du Pont Chromatographic packing with chemically bonded organic stationary phases
IE35508B1 (en) 1971-08-11 1976-03-03 Intercontinental Chem Co Ltd Method of forming adhesive bonds between surfaces
JPS5057657A (zh) 1973-09-22 1975-05-20
GB1583544A (en) 1977-07-25 1981-01-28 Uop Inc Metal-clad laminates
US4179324A (en) 1977-11-28 1979-12-18 Spire Corporation Process for fabricating thin film and glass sheet laminate
US4397722A (en) 1981-12-31 1983-08-09 International Business Machines Corporation Polymers from aromatic silanes and process for their preparation
US4599243A (en) 1982-12-23 1986-07-08 International Business Machines Corporation Use of plasma polymerized organosilicon films in fabrication of lift-off masks
US4849284A (en) 1987-02-17 1989-07-18 Rogers Corporation Electrical substrate material
US4822466A (en) 1987-06-25 1989-04-18 University Of Houston - University Park Chemically bonded diamond films and method for producing same
US4810326A (en) 1987-08-31 1989-03-07 International Business Machines Corporation Interlaminate adhesion between polymeric materials and electrolytic copper surfaces
US5357726A (en) 1989-02-02 1994-10-25 Chemfab Corporation Composite materials for structural end uses
US5141800A (en) 1989-02-02 1992-08-25 Chemical Fabrics Corporation Method of making laminated PTFE-containing composites and products thereof
US4990462A (en) 1989-04-12 1991-02-05 Advanced Micro Devices, Inc. Method for coplanar integration of semiconductor ic devices
US5073181A (en) 1990-08-09 1991-12-17 Corning Incorporated Method of protecting glass surfaces using submicron refractory particles
CA2065918A1 (en) 1991-04-22 1992-10-23 Bradley W. Reed Liquid membrane modules with minimal effective membrane thickness and methods of making the same
CA2069038C (en) 1991-05-22 1997-08-12 Kiyofumi Sakaguchi Method for preparing semiconductor member
EP0646151B1 (en) 1991-06-14 1997-11-05 W.L. Gore & Associates, Inc. Surface modified porous expanded polytetrafluoroethylene and process for making
US5222494A (en) 1991-07-31 1993-06-29 Cyberonics, Inc. Implantable tissue stimulator output stabilization system
JP3039070B2 (ja) 1991-10-09 2000-05-08 住友電気工業株式会社 弗素樹脂被覆物
FR2690279B1 (fr) 1992-04-15 1997-10-03 Picogiga Sa Composant photovoltauique multispectral.
US5482896A (en) 1993-11-18 1996-01-09 Eastman Kodak Company Light emitting device comprising an organic LED array on an ultra thin substrate and process for forming same
US5616179A (en) 1993-12-21 1997-04-01 Commonwealth Scientific Corporation Process for deposition of diamondlike, electrically conductive and electron-emissive carbon-based films
US5554680A (en) 1994-02-16 1996-09-10 E. I. Du Pont De Nemours And Company Heat-resistant perfluoroelastomer composition
JP3081122B2 (ja) 1994-07-18 2000-08-28 シャープ株式会社 基板搬送用治具及びそれを用いた液晶表示素子の製造方法
US5413940A (en) 1994-10-11 1995-05-09 Taiwan Semiconductor Manufacturing Company Process of treating SOG layer using end-point detector for outgassing
DE69606942T2 (de) 1995-09-25 2000-10-05 Dow Corning Verwendung von präkeramischen Polymeren als Klebstoffe für Elektronik
EP0854891B1 (en) 1995-10-13 2003-05-28 Dow Global Technologies Inc. Process for preparing coated plastic surfaces
US5661618A (en) 1995-12-11 1997-08-26 International Business Machines Corporation Magnetic recording device having a improved slider
US5888591A (en) 1996-05-06 1999-03-30 Massachusetts Institute Of Technology Chemical vapor deposition of fluorocarbon polymer thin films
US5989998A (en) 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
US6124154A (en) 1996-10-22 2000-09-26 Seiko Epson Corporation Fabrication process for thin film transistors in a display or electronic device
US5820991A (en) 1997-02-24 1998-10-13 Cabo; Ana M. Fused glass sheets having ceramic paint and metal foil and method of making same
DE19711459A1 (de) 1997-03-19 1998-09-24 Flachglas Automotive Gmbh Verfahren zur Herstellung einer gebogenen Verbundsicherheitsglasscheibe
JP3551702B2 (ja) 1997-05-08 2004-08-11 カシオ計算機株式会社 液晶表示素子とその駆動方法
US5972152A (en) 1997-05-16 1999-10-26 Micron Communications, Inc. Methods of fixturing flexible circuit substrates and a processing carrier, processing a flexible circuit and processing a flexible circuit substrate relative to a processing carrier
US6687969B1 (en) 1997-05-16 2004-02-10 Micron Technology, Inc. Methods of fixturing flexible substrates and methods of processing flexible substrates
JPH1126733A (ja) 1997-07-03 1999-01-29 Seiko Epson Corp 薄膜デバイスの転写方法、薄膜デバイス、薄膜集積回路装置,アクティブマトリクス基板、液晶表示装置および電子機器
EP1018153A1 (en) 1997-08-29 2000-07-12 Sharon N. Farrens In situ plasma wafer bonding method
US5966622A (en) 1997-10-08 1999-10-12 Lucent Technologies Inc. Process for bonding crystalline substrates with different crystal lattices
US6852650B2 (en) 1998-02-05 2005-02-08 Asm Japan K.K. Insulation film on semiconductor substrate and method for forming same
EP2267720A3 (en) 1998-02-23 2011-03-09 Kabushiki Kaisha Toshiba Information storage medium, information playback method and apparatus and information recording method
US6159385A (en) 1998-05-08 2000-12-12 Rockwell Technologies, Llc Process for manufacture of micro electromechanical devices having high electrical isolation
EP1048628A1 (de) 1999-04-30 2000-11-02 Schott Glas Polymerbeschichtete Dünnglasfoliensubstrate
JP3202718B2 (ja) 1999-02-23 2001-08-27 鹿児島日本電気株式会社 表示装置製造用治具及びそれを用いた表示装置の製造方法
US6387736B1 (en) 1999-04-26 2002-05-14 Agilent Technologies, Inc. Method and structure for bonding layers in a semiconductor device
US6338901B1 (en) 1999-05-03 2002-01-15 Guardian Industries Corporation Hydrophobic coating including DLC on substrate
US6824879B2 (en) 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
SG93210A1 (en) 1999-06-29 2002-12-17 Univ Singapore Method for lamination of fluoropolymer to metal and printed circuit board (pcb) substrate
TW487959B (en) 1999-08-13 2002-05-21 Semiconductor Energy Lab Laser apparatus, laser annealing method, and manufacturing method of a semiconductor device
WO2001057920A1 (en) 2000-02-01 2001-08-09 Analog Devices, Inc. Process for wafer level treatment to reduce stiction and passivate micromachined surfaces and compounds used therefor
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
US6528145B1 (en) 2000-06-29 2003-03-04 International Business Machines Corporation Polymer and ceramic composite electronic substrates
DE10034737C2 (de) * 2000-07-17 2002-07-11 Fraunhofer Ges Forschung Verfahren zur Herstellung einer permanenten Entformungsschicht durch Plasmapolymerisation auf der Oberfläche eines Formteilwerkzeugs, ein nach dem Verfahren herstellbares Formteilwerkzeug und dessen Verwendung
US6649540B2 (en) 2000-11-09 2003-11-18 The Boc Group, Inc. Organosilane CVD precursors and their use for making organosilane polymer low-k dielectric film
FR2823599B1 (fr) 2001-04-13 2004-12-17 Commissariat Energie Atomique Substrat demomtable a tenue mecanique controlee et procede de realisation
FR2823596B1 (fr) 2001-04-13 2004-08-20 Commissariat Energie Atomique Substrat ou structure demontable et procede de realisation
FR2824821B1 (fr) 2001-05-17 2003-08-29 Essilor Int Procede de preparation d'un verre apte au debordage, verre ainsi obtenu et procede de debordage d'un tel verre
US7045878B2 (en) 2001-05-18 2006-05-16 Reveo, Inc. Selectively bonded thin film layer and substrate layer for processing of useful devices
US6956268B2 (en) 2001-05-18 2005-10-18 Reveo, Inc. MEMS and method of manufacturing MEMS
JP5415658B2 (ja) 2001-05-28 2014-02-12 三菱樹脂株式会社 中間膜用粘着シート及び透明積層体
JP4036018B2 (ja) 2001-06-20 2008-01-23 昭和電工株式会社 有機発光素子および発光材料
DE60221753T2 (de) 2001-06-29 2007-12-06 Crystal Systems Inc. Beschlagungsresistente transparente Artikel, Stoffe, die eine hydrophile anorganische Schicht hoher Härte bilden und Verfahren zur Herstellung einer beschlagungsarmen Linse
US6735982B2 (en) 2001-07-12 2004-05-18 Intel Corporation Processing relatively thin glass sheets
US6649212B2 (en) 2001-07-30 2003-11-18 Guardian Industries Corporation Modified silicon-based UV absorbers useful in crosslinkable polysiloxane coatings via sol-gel polymerization
TW558743B (en) 2001-08-22 2003-10-21 Semiconductor Energy Lab Peeling method and method of manufacturing semiconductor device
JP2003077187A (ja) 2001-08-30 2003-03-14 Toshiba Corp 光ディスクの製造方法
JP2003071937A (ja) 2001-09-05 2003-03-12 Toppan Printing Co Ltd 積層体及びその製造方法、並びに多層回路基板
US7033910B2 (en) 2001-09-12 2006-04-25 Reveo, Inc. Method of fabricating multi layer MEMS and microfluidic devices
US6528351B1 (en) 2001-09-24 2003-03-04 Jigsaw Tek, Inc. Integrated package and methods for making same
US6814833B2 (en) 2001-10-26 2004-11-09 Corning Incorporated Direct bonding of articles containing silicon
US6521857B1 (en) 2001-11-19 2003-02-18 Geomat Insights, Llc Plasma enhanced bonding method and device
US6699798B2 (en) 2002-04-24 2004-03-02 Intel Corporation Promoting adhesion of fluoropolymer films to semiconductor substrates
FR2874455B1 (fr) 2004-08-19 2008-02-08 Soitec Silicon On Insulator Traitement thermique avant collage de deux plaquettes
DE60325669D1 (de) 2002-05-17 2009-02-26 Semiconductor Energy Lab Verfahren zum Transferieren eines Objekts und Verfahren zur Herstellung eines Halbleiterbauelements
KR101005989B1 (ko) 2002-06-11 2011-01-05 코니카 미놀타 홀딩스 가부시키가이샤 표면 처리 방법 및 광학 부품
WO2004014644A1 (ja) 2002-08-07 2004-02-19 Kabushiki Kaisha Toyota Chuo Kenkyusho 密着層を備える積層体及び保護膜を備える積層体
US7129311B2 (en) 2002-09-18 2006-10-31 Arch Specialty Chemicals, Inc. Additives to prevent degradation of alkyl-hydrogen siloxanes
DE20215401U1 (de) 2002-10-07 2004-02-19 Schott Glas Verbund aus einem Dünnstsubsrat und einem Trägersubstrat mit lösbarem Verbindungsmittel
JP2006520089A (ja) 2002-11-20 2006-08-31 レビオ インコーポレーティッド 基板上に多層素子を製造する方法およびシステム
JP2004178891A (ja) 2002-11-26 2004-06-24 Mitsubishi Electric Corp 電子放出型発光素子の製造方法
DE10256247A1 (de) * 2002-11-29 2004-06-09 Andreas Jakob Schichtverbund aus einer Trennschicht und einer Schutzschicht zum Schutze und zum Handling eines Wafers beim Dünnen, bei der Rückseitenbeschichtung und beim Vereinzeln
EP1568071B1 (de) 2002-11-29 2019-03-20 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Wafer mit trennschicht und trägerschicht und dessen herstellungsverfahren
DE10353530A1 (de) 2003-11-14 2005-06-23 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Wafer mit Deckschicht und Trennschicht, Verfahren zur Herstellung eines solchen Wafers sowie Verfahren zum Dünnen bzw. Rückseitenmetallisieren eines Wafers
US6762074B1 (en) 2003-01-21 2004-07-13 Micron Technology, Inc. Method and apparatus for forming thin microelectronic dies
US6964201B2 (en) 2003-02-25 2005-11-15 Palo Alto Research Center Incorporated Large dimension, flexible piezoelectric ceramic tapes
US7089635B2 (en) 2003-02-25 2006-08-15 Palo Alto Research Center, Incorporated Methods to make piezoelectric ceramic thick film arrays and elements
TWI361814B (en) 2003-03-07 2012-04-11 Kuraray Co Plastic bonding method
US7220656B2 (en) 2003-04-29 2007-05-22 Micron Technology, Inc. Strained semiconductor by wafer bonding with misorientation
US6969166B2 (en) 2003-05-29 2005-11-29 3M Innovative Properties Company Method for modifying the surface of a substrate
JP2005014599A (ja) 2003-05-30 2005-01-20 Toyota Central Res & Dev Lab Inc 樹脂シート及びエレクトロルミネッセンス表示装置
FR2855909B1 (fr) 2003-06-06 2005-08-26 Soitec Silicon On Insulator Procede d'obtention concomitante d'au moins une paire de structures comprenant au moins une couche utile reportee sur un substrat
US20040258850A1 (en) 2003-06-18 2004-12-23 Ann Straccia Environmentally friendly reactive fixture to allow localized surface engineering for improved adhesion to coated and non-coated substrates
US20050001201A1 (en) 2003-07-03 2005-01-06 Bocko Peter L. Glass product for use in ultra-thin glass display applications
FR2859312B1 (fr) 2003-09-02 2006-02-17 Soitec Silicon On Insulator Scellement metallique multifonction
US7242041B2 (en) 2003-09-22 2007-07-10 Lucent Technologies Inc. Field-effect transistors with weakly coupled layered inorganic semiconductors
US20050069713A1 (en) 2003-09-30 2005-03-31 Rahul Gupta Capillary coating method
US6992371B2 (en) 2003-10-09 2006-01-31 Freescale Semiconductor, Inc. Device including an amorphous carbon layer for improved adhesion of organic layers and method of fabrication
US20050242341A1 (en) 2003-10-09 2005-11-03 Knudson Christopher T Apparatus and method for supporting a flexible substrate during processing
US20050081993A1 (en) 2003-10-16 2005-04-21 Ilkka Steven J. Method of bonding glass
GB0326537D0 (en) 2003-11-14 2003-12-17 Koninkl Philips Electronics Nv Flexible devices
US20050118742A1 (en) 2003-11-17 2005-06-02 Frank Henning Method for reducing the adhesive properties of MEMS and anti-adhesion-coated device
GB0327093D0 (en) 2003-11-21 2003-12-24 Koninkl Philips Electronics Nv Active matrix displays and other electronic devices having plastic substrates
US7041608B2 (en) 2004-02-06 2006-05-09 Eastman Kodak Company Providing fluorocarbon layers on conductive electrodes in making electronic devices such as OLED devices
US7147891B2 (en) 2004-02-11 2006-12-12 Dionex Corporation Polymer substrate coated with weak cationic-exchange functional layer
US7087134B2 (en) 2004-03-31 2006-08-08 Hewlett-Packard Development Company, L.P. System and method for direct-bonding of substrates
JP2005300972A (ja) 2004-04-13 2005-10-27 Seiko Epson Corp 表示装置の製造方法及び基板貼り合わせ装置
JP2006003684A (ja) 2004-06-18 2006-01-05 Nikon Corp 基板レスフィルタの製造方法
ITMI20041252A1 (it) 2004-06-22 2004-09-22 Solvay Solexis Spa Composizioni perfluoroelastomeriche
US7261793B2 (en) 2004-08-13 2007-08-28 Hewlett-Packard Development Company, L.P. System and method for low temperature plasma-enhanced bonding
US20060134362A1 (en) 2004-12-17 2006-06-22 3M Innovative Properties Company Optically clear pressure sensitive adhesive
US7541264B2 (en) * 2005-03-01 2009-06-02 Dow Corning Corporation Temporary wafer bonding method for semiconductor processing
JP2006255926A (ja) * 2005-03-15 2006-09-28 Teijin Ltd 積層フィルム
WO2006112523A1 (ja) * 2005-04-19 2006-10-26 Ube Industries, Ltd. ポリイミドフィルム積層体
US20060246218A1 (en) 2005-04-29 2006-11-02 Guardian Industries Corp. Hydrophilic DLC on substrate with barrier discharge pyrolysis treatment
US7462552B2 (en) 2005-05-23 2008-12-09 Ziptronix, Inc. Method of detachable direct bonding at low temperatures
US20070020451A1 (en) 2005-07-20 2007-01-25 3M Innovative Properties Company Moisture barrier coatings
US7166520B1 (en) 2005-08-08 2007-01-23 Silicon Genesis Corporation Thin handle substrate method and structure for fabricating devices using one or more films provided by a layer transfer process
JP5200538B2 (ja) 2005-08-09 2013-06-05 旭硝子株式会社 薄板ガラス積層体及び薄板ガラス積層体を用いた表示装置の製造方法
US7462551B2 (en) 2005-09-30 2008-12-09 Intel Corporation Adhesive system for supporting thin silicon wafer
US8039049B2 (en) 2005-09-30 2011-10-18 Tokyo Electron Limited Treatment of low dielectric constant films using a batch processing system
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
JP2007138144A (ja) 2005-10-18 2007-06-07 Hitachi Chem Co Ltd シリカ系被膜形成用組成物
KR20070047114A (ko) 2005-11-01 2007-05-04 주식회사 엘지화학 플렉서블 기판을 구비한 소자의 제조방법 및 이에 의해제조된 플렉서블 기판을 구비한 소자
CN101305315B (zh) 2005-11-11 2010-05-19 株式会社半导体能源研究所 形成具有功能性的层的方法及半导体器件的制造方法
FR2893750B1 (fr) 2005-11-22 2008-03-14 Commissariat Energie Atomique Procede de fabrication d'un dispositif electronique flexible du type ecran comportant une pluralite de composants en couches minces.
US20070134784A1 (en) 2005-12-09 2007-06-14 Halverson Kurt J Microreplicated microarrays
KR100831562B1 (ko) 2006-03-23 2008-05-21 주식회사 엘지화학 유연성 기판 반송용 점착제 조성물
US7737035B1 (en) 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
WO2007121524A1 (en) 2006-04-20 2007-11-01 Epitactix Pty Ltd. Method of manufacture and resulting structures for semiconductor devices
KR20090006824A (ko) 2006-05-08 2009-01-15 아사히 가라스 가부시키가이샤 박판 유리 적층체, 박판 유리 적층체를 이용한 표시 장치의제조 방법 및 지지 유리 기판
KR101358255B1 (ko) 2006-06-27 2014-02-05 엘지디스플레이 주식회사 광경화 타입 소수성 몰드 및 그 제조방법
WO2008007622A1 (fr) * 2006-07-12 2008-01-17 Asahi Glass Company, Limited substrat de verre avec verre de protection, processus de fabrication d'UN affichage EN utilisant un SUBSTRAT DE VERRE AVEC VERRE DE PROTECTION, et silicone pour papier détachable
US20080044588A1 (en) 2006-08-15 2008-02-21 Sakhrani Vinay G Method for Treating a Hydrophilic Surface
US8084103B2 (en) 2006-08-15 2011-12-27 Sakhrani Vinay G Method for treating a hydrophilic surface
JP2008072087A (ja) 2006-08-16 2008-03-27 Kyoto Univ 半導体装置および半導体装置の製造方法、ならびに表示装置
WO2008044884A1 (en) 2006-10-13 2008-04-17 Sunwoo Amc Co., Ltd. Laminating film of plastic/teflon-silicon and method for preparing the same
JP5008381B2 (ja) 2006-11-15 2012-08-22 富士フイルム株式会社 可撓性基板を用いた有機エレクトロルミネッセンス発光パネルの製造方法、それにより製造された有機エレクトロルミネッセンス発光パネル、及びその製造に使用する支持基板
KR100890250B1 (ko) 2007-01-08 2009-03-24 포항공과대학교 산학협력단 플렉서블 소자의 제조 방법 및 플렉서블 표시 장치의 제조방법
US8110906B2 (en) 2007-01-23 2012-02-07 Infineon Technologies Ag Semiconductor device including isolation layer
WO2008111361A1 (ja) 2007-03-12 2008-09-18 Asahi Glass Company, Limited 保護ガラス付ガラス基板及び保護ガラス付ガラス基板を用いた表示装置の製造方法
US8399047B2 (en) 2007-03-22 2013-03-19 The Regents Of The Univeristy Of Michigan Multifunctional CVD coatings
ATE495181T1 (de) 2007-04-26 2011-01-15 Basf Se Silane enthaltend phenothiazin-s-oxid oder phenothiazin-s,s-dioxid-gruppen und deren verwendung in oleds
US7635617B2 (en) 2007-04-27 2009-12-22 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor substrate and manufacturing method of semiconductor device
EP3225599A1 (en) 2007-06-20 2017-10-04 Asahi Glass Company, Limited Method for treatment of surface of oxide glass with fluorinating agent
KR20080113576A (ko) 2007-06-25 2008-12-31 엘지디스플레이 주식회사 액정표시장치 및 그 제조방법
CN101779268B (zh) 2007-06-25 2013-11-06 布鲁尔科技公司 高温旋涂暂时性粘合组合物
TW200907003A (en) 2007-07-03 2009-02-16 Hitachi Chemical Co Ltd Adhesive composition and method of manufacturing thereof, adhesive member using the adhesive composition and method of manufacturing thereof, supporting member for mounting semiconductor and method of manufacturing thereof, and semiconductor apparatus an
JP2009035721A (ja) 2007-07-11 2009-02-19 Seiko Epson Corp 接合膜付き基材、接合方法および接合体
JP2009028923A (ja) 2007-07-24 2009-02-12 Seiko Epson Corp 接合方法、接合体および配線基板
JP2009028922A (ja) 2007-07-24 2009-02-12 Seiko Epson Corp 接合方法、接合体、液滴吐出ヘッドおよび液滴吐出装置
JP2009074002A (ja) 2007-09-21 2009-04-09 Seiko Epson Corp 接着シート、接合方法および接合体
US20090091025A1 (en) 2007-10-04 2009-04-09 Agency For Science, Technology And Research Method for forming and releasing interconnects
JP4710897B2 (ja) 2007-11-28 2011-06-29 セイコーエプソン株式会社 接合体の剥離方法
KR101445734B1 (ko) 2007-12-07 2014-10-01 삼성전자 주식회사 액정표시장치
US9111981B2 (en) 2008-01-24 2015-08-18 Brewer Science Inc. Method for reversibly mounting a device wafer to a carrier substrate
JP5024087B2 (ja) 2008-02-05 2012-09-12 旭硝子株式会社 ガラス積層体、支持体付き表示装置用パネル、およびそれらの製造方法
GB0802912D0 (en) 2008-02-15 2008-03-26 Carben Semicon Ltd Thin-film transistor, carbon-based layer and method of production thereof
WO2009126544A1 (en) 2008-04-08 2009-10-15 Arizona Board Of Regents, A Body Corporate Of The State Of Arizona Acting For And On Behalf Of Arizona State University Assemblies and methods for reducing warp and bow of a flexible substrate during semiconductor processing
CN102007524B (zh) 2008-04-17 2013-07-31 旭硝子株式会社 玻璃层叠体、带支撑体的显示装置用面板及它们的制造方法
KR101500684B1 (ko) 2008-04-17 2015-03-10 삼성디스플레이 주식회사 캐리어 기판 및 이를 이용한 가요성 표시 장치의 제조 방법
US7842548B2 (en) 2008-04-22 2010-11-30 Taiwan Semconductor Manufacturing Co., Ltd. Fixture for P-through silicon via assembly
KR101458901B1 (ko) 2008-04-29 2014-11-10 삼성디스플레이 주식회사 가요성 표시 장치의 제조 방법
US7960840B2 (en) 2008-05-12 2011-06-14 Texas Instruments Incorporated Double wafer carrier process for creating integrated circuit die with through-silicon vias and micro-electro-mechanical systems protected by a hermetic cavity created at the wafer level
JP5029523B2 (ja) 2008-07-14 2012-09-19 旭硝子株式会社 ガラス積層体、支持体付き表示装置用パネル、表示装置用パネル、表示装置およびこれらの製造方法
DE102008045370B4 (de) 2008-09-02 2010-07-08 Grenzebach Maschinenbau Gmbh Verfahren und Vorrichtung zum Transport großflächiger, dünner Glasplatten
KR20110055728A (ko) 2008-09-12 2011-05-25 아리조나 보드 오브 리전트스, 아리조나주의 아리조나 주립대 대행법인 가요성 기판을 경질 캐리어에 부착하기 위한 방법 및 결과 장치
TWI354854B (en) 2008-09-15 2011-12-21 Ind Tech Res Inst Substrate structures applied in flexible electrica
JP2010107597A (ja) 2008-10-28 2010-05-13 Seiko Epson Corp 光学素子および光学素子の製造方法
WO2010059710A1 (en) 2008-11-19 2010-05-27 Dow Corning Corporation A silicone composition and a method for preparing the same
US8211270B2 (en) 2008-11-21 2012-07-03 Nitto Denko Corporation Method of detaching attached boards from each other
DE102009022628A1 (de) 2008-12-05 2010-06-10 Evonik Goldschmidt Gmbh Verfahren zur Modifizierung von Oberflächen
TW201033000A (en) 2009-01-09 2010-09-16 Asahi Glass Co Ltd Glass laminate and manufacturing method therefor
US9063605B2 (en) 2009-01-09 2015-06-23 Apple Inc. Thin glass processing using a carrier
JP5207306B2 (ja) 2009-01-26 2013-06-12 武井電機工業株式会社 薄膜積層ガラス基板の薄膜除去方法及び装置
WO2010098762A1 (en) 2009-02-27 2010-09-02 Exxonmobil Oil Corporation Plasma treated evoh multilayer film
TWI410329B (zh) 2009-03-09 2013-10-01 Ind Tech Res Inst 可撓式裝置的取下設備及其取下方法
JP5402184B2 (ja) 2009-04-13 2014-01-29 日本電気硝子株式会社 ガラスフィルムおよびその製造方法
CN101873532B (zh) 2009-04-22 2013-01-02 电信科学技术研究院 广播多播系统中发送和获取控制信息的方法、基站和ue
WO2010129459A2 (en) 2009-05-06 2010-11-11 Corning Incorporated Carrier for glass substrates
WO2010128611A1 (ja) * 2009-05-08 2010-11-11 日立化成工業株式会社 半導体封止用フィルム状接着剤、半導体装置及びその製造方法
CN101924067B (zh) 2009-06-09 2013-05-08 财团法人工业技术研究院 挠性膜自载板上脱离的方法及可挠式电子装置的制造方法
JP2010284869A (ja) 2009-06-11 2010-12-24 Shin-Etsu Chemical Co Ltd 接合部材
JP5594522B2 (ja) 2009-07-03 2014-09-24 日本電気硝子株式会社 電子デバイス製造用ガラスフィルム積層体
US7987566B2 (en) 2009-07-15 2011-08-02 Sturzebecher Richard J Capacitor forming method
US8568184B2 (en) 2009-07-15 2013-10-29 Apple Inc. Display modules
CN101989469A (zh) 2009-07-31 2011-03-23 群康科技(深圳)有限公司 导电板
US8048794B2 (en) 2009-08-18 2011-11-01 International Business Machines Corporation 3D silicon-silicon die stack structure and method for fine pitch interconnection and vertical heat transport
DE102009028640A1 (de) 2009-08-19 2011-02-24 Evonik Goldschmidt Gmbh Härtbare Masse enthaltend Urethangruppen aufweisende silylierte Polymere und deren Verwendung in Dicht- und Klebstoffen, Binde- und/oder Oberflächenmodifizierungsmitteln
WO2011024690A1 (ja) 2009-08-27 2011-03-03 旭硝子株式会社 フレキシブル基材-支持体の積層構造体、支持体付き電子デバイス用パネル、および電子デバイス用パネルの製造方法
US9847243B2 (en) 2009-08-27 2017-12-19 Corning Incorporated Debonding a glass substrate from carrier using ultrasonic wave
JP5562597B2 (ja) 2009-08-28 2014-07-30 荒川化学工業株式会社 支持体、ガラス基板積層体、支持体付き表示装置用パネル、および表示装置用パネルの製造方法
WO2011030716A1 (ja) 2009-09-08 2011-03-17 旭硝子株式会社 ガラス/樹脂積層体、及びそれを用いた電子デバイス
JP5797653B2 (ja) 2009-09-11 2015-10-21 ロックタイト (アール アンド ディー) リミテッドLoctite (R & D) Limited ポリマー接着用組成物
CN102471129B (zh) 2009-09-18 2015-04-15 日本电气硝子株式会社 玻璃膜的制造方法及玻璃膜的处理方法以及玻璃膜层叠体
CN102574371B (zh) 2009-10-20 2015-10-07 旭硝子株式会社 玻璃层叠体、带支承体的显示装置用面板、显示装置用面板、显示装置及它们的制造方法
JPWO2011048979A1 (ja) * 2009-10-20 2013-03-14 旭硝子株式会社 ガラス積層体及びその製造方法、並びに表示パネルの製造方法及びその製造方法により得られる表示パネル
KR20110045136A (ko) 2009-10-26 2011-05-04 주식회사 삼영테크놀로지 윈도우와 디스플레이 패널을 분리하기 위한 코팅방법
US9019211B2 (en) 2009-10-30 2015-04-28 Corning Incorporated Methods and apparatus for providing touch sensitive displays
RU2593841C2 (ru) 2009-12-17 2016-08-10 Керамтек Гмбх Кондиционирование поверхности для улучшения адгезии костного цемента к керамическим субстратам
WO2011086991A1 (ja) 2010-01-12 2011-07-21 日本電気硝子株式会社 ガラスフィルム積層体及びその製造方法並びにガラスフィルムの製造方法
US8695832B2 (en) * 2010-02-02 2014-04-15 Klaus Thielen Can lid and method for producing a can lid
GB201003067D0 (en) 2010-02-23 2010-04-07 Semblant Ltd Plasma-polymerized polymer coating
JP2011201977A (ja) 2010-03-24 2011-10-13 Seiko Epson Corp 接合方法
JP2011201976A (ja) 2010-03-24 2011-10-13 Seiko Epson Corp 接合方法
US8349727B2 (en) 2010-04-08 2013-01-08 Liang Guo Integrated method for high-density interconnection of electronic components through stretchable interconnects
US20110256385A1 (en) 2010-04-15 2011-10-20 Seiko Epson Corporation Bonding film-attached substrate and bonding film-attached substrate manufacturing method
KR20130097069A (ko) 2010-05-11 2013-09-02 아사히 가라스 가부시키가이샤 적층체의 제조 방법 및 적층체
JP2011235556A (ja) 2010-05-11 2011-11-24 Seiko Epson Corp 励起エネルギー特定方法、励起エネルギー特定装置、接合方法および接合装置
JP5516046B2 (ja) 2010-05-11 2014-06-11 セイコーエプソン株式会社 接合膜転写シートおよび接合方法
GB2481187B (en) 2010-06-04 2014-10-29 Plastic Logic Ltd Processing substrates
KR101721414B1 (ko) 2010-06-09 2017-03-31 삼성디스플레이 주식회사 백라이트 어셈블리 및 이를 포함하는 액정표시장치
US8852391B2 (en) 2010-06-21 2014-10-07 Brewer Science Inc. Method and apparatus for removing a reversibly mounted device wafer from a carrier substrate
TWI432835B (zh) 2010-06-24 2014-04-01 Au Optronics Corp 可撓性顯示面板及其製造方法
DE102010025967B4 (de) 2010-07-02 2015-12-10 Schott Ag Verfahren zur Erzeugung einer Vielzahl von Löchern, Vorrichtung hierzu und Glas-Interposer
CN101916022B (zh) 2010-07-06 2012-10-10 友达光电股份有限公司 可挠性显示面板及其制造方法
JP5602529B2 (ja) 2010-07-29 2014-10-08 日本合成化学工業株式会社 積層体の製法、ガラス基板付き偏光板の製法、およびそれにより得られたガラス基板付き偏光板
US20120035309A1 (en) 2010-08-06 2012-02-09 Baker Hughes Incorporated Method to disperse nanoparticles into elastomer and articles produced therefrom
US9263314B2 (en) 2010-08-06 2016-02-16 Brewer Science Inc. Multiple bonding layers for thin-wafer handling
JP5462107B2 (ja) 2010-08-09 2014-04-02 株式会社日本製鋼所 中空成形品の製造方法および製造装置
US8846499B2 (en) 2010-08-17 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Composite carrier structure
TWI446420B (zh) 2010-08-27 2014-07-21 Advanced Semiconductor Eng 用於半導體製程之載體分離方法
US20120063952A1 (en) 2010-09-10 2012-03-15 Hong Keith C Uv resistant clear laminates
KR101164945B1 (ko) 2010-09-13 2012-07-12 한국과학기술원 플렉시블 소자의 제작 방법
KR101779586B1 (ko) 2010-09-27 2017-10-10 엘지디스플레이 주식회사 플라스틱 기판을 이용한 표시장치 제조 방법
WO2012043971A2 (ko) 2010-09-29 2012-04-05 포항공과대학교 산학협력단 롤 형상의 모기판을 이용한 플렉서블 전자소자의 제조방법, 플렉서블 전자소자 및 플렉서블 기판
US8822306B2 (en) 2010-09-30 2014-09-02 Infineon Technologies Ag Method for manufacturing a composite wafer having a graphite core, and composite wafer having a graphite core
US8859103B2 (en) 2010-11-05 2014-10-14 Joseph Eugene Canale Glass wafers for semiconductor fabrication processes and methods of making same
KR101295532B1 (ko) 2010-11-11 2013-08-12 엘지디스플레이 주식회사 플렉시블 평판소자의 제조방법
KR101271838B1 (ko) 2010-11-24 2013-06-07 주식회사 포스코 보강기판을 이용한 플렉서블 전자소자의 제조방법, 플렉서블 전자소자 및 플렉서블 기판
EP2458620B1 (en) 2010-11-29 2021-12-01 IHP GmbH-Innovations for High Performance Microelectronics / Leibniz-Institut für innovative Mikroelektronik Fabrication of graphene electronic devices using step surface contour
TW201238014A (en) 2010-11-30 2012-09-16 Corning Inc Methods of forming a glass wiring board substrate
JP2012119611A (ja) 2010-12-03 2012-06-21 Asahi Glass Co Ltd 貫通電極基板の製造方法
KR101049380B1 (ko) * 2010-12-21 2011-07-15 한국기계연구원 전해도금을 이용한 반도체 소자 3차원 패키지용 관통 전극 및 그 제조 방법
CN102070120B (zh) 2010-12-31 2012-09-05 东南大学 用于微电子系统级封装的高密度转接板的制备方法
JP2012166999A (ja) 2011-02-16 2012-09-06 Asahi Glass Co Ltd インターポーザ用ガラス基板の製造方法、インターポーザの製造方法、インターポーザ用ガラス基板、およびインターポーザ
KR101918284B1 (ko) 2011-03-03 2019-01-30 엘지디스플레이 주식회사 플렉시블 표시장치의 제조 방법
JP2012209545A (ja) 2011-03-17 2012-10-25 Sekisui Chem Co Ltd 半導体積層体の製造方法
TWI445626B (zh) 2011-03-18 2014-07-21 Eternal Chemical Co Ltd 製造軟性元件的方法
JP2012227310A (ja) 2011-04-19 2012-11-15 Panasonic Corp セラミックス多層基板とその製造方法
CN103492173B (zh) 2011-04-22 2015-05-20 旭硝子株式会社 层叠体、其制造方法和用途
JP5862238B2 (ja) 2011-05-27 2016-02-16 東洋紡株式会社 積層体とその製造方法及びそれを用いたデバイス構造体の製造方法
JP5862866B2 (ja) 2011-05-30 2016-02-16 東洋紡株式会社 積層体の作成方法および、この積層体を利用したフィルムデバイスの作成方法
JP5883236B2 (ja) 2011-06-10 2016-03-09 日東電工株式会社 薄層基材用キャリア材
KR20130000211A (ko) 2011-06-22 2013-01-02 삼성전자주식회사 기판 가공 방법
KR20130003997A (ko) 2011-07-01 2013-01-09 엘지디스플레이 주식회사 캐리어 기판과 박형 글라스의 탈부착 방법
WO2013006865A2 (en) 2011-07-07 2013-01-10 Brewer Science Inc. Methods of transferring device wafers or layers between carrier substrates and other surfaces
JP2013024100A (ja) 2011-07-20 2013-02-04 Yamaha Motor Co Ltd 内燃機関およびそれを備えた鞍乗型車両
US8617925B2 (en) * 2011-08-09 2013-12-31 Soitec Methods of forming bonded semiconductor structures in 3D integration processes using recoverable substrates, and bonded semiconductor structures formed by such methods
US8383460B1 (en) 2011-09-23 2013-02-26 GlobalFoundries, Inc. Method for fabricating through substrate vias in semiconductor substrate
JP5963218B2 (ja) 2011-09-27 2016-08-03 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 薄いガラス基板用のキャリアおよびその使用方法
JP5796449B2 (ja) 2011-10-12 2015-10-21 旭硝子株式会社 電子デバイスの製造方法、樹脂層付きキャリア基板の製造方法
JP5790392B2 (ja) 2011-10-12 2015-10-07 旭硝子株式会社 電子デバイスの製造方法
JP5924344B2 (ja) 2011-10-18 2016-05-25 旭硝子株式会社 積層体、積層体の製造方法、および、電子デバイス用部材付きガラス基板の製造方法
KR101820171B1 (ko) 2011-10-24 2018-01-19 엘지디스플레이 주식회사 경량 박형의 액정표시장치 제조방법
US8696864B2 (en) * 2012-01-26 2014-04-15 Promerus, Llc Room temperature debonding composition, method and stack
US8550061B2 (en) 2012-01-26 2013-10-08 Maruzen Company Limited Toy gun
US8975157B2 (en) * 2012-02-08 2015-03-10 Advanced Semiconductor Engineering, Inc. Carrier bonding and detaching processes for a semiconductor wafer
JP2015515431A (ja) 2012-02-08 2015-05-28 コーニング インコーポレイテッド 担体付のフレキシブルガラスの処理
US9725357B2 (en) 2012-10-12 2017-08-08 Corning Incorporated Glass articles having films with moderate adhesion and retained strength
JP2013184346A (ja) 2012-03-07 2013-09-19 Asahi Glass Co Ltd ガラス積層体、電子デバイスの製造方法
JP2013184872A (ja) 2012-03-09 2013-09-19 Nippon Electric Glass Co Ltd ガラス基板の端部処理方法、ガラス基板の端部処理装置及びガラス基板
JP2013207084A (ja) 2012-03-28 2013-10-07 Sumitomo Electric Ind Ltd 放熱板付基板モジュールおよび放熱板付基板モジュールの製造方法
JP2013216513A (ja) 2012-04-05 2013-10-24 Nippon Electric Glass Co Ltd ガラスフィルムの切断方法及びガラスフィルム積層体
DE102012207149A1 (de) 2012-04-27 2013-10-31 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verbund mit temporärer Schutzfolie
CN104349894B (zh) 2012-05-29 2016-06-08 旭硝子株式会社 玻璃层叠体和电子器件的制造方法
KR20130095605A (ko) 2012-06-22 2013-08-28 코스텍시스템(주) 디바이스 웨이퍼와 캐리어 웨이퍼의 본딩과 디본딩 장치
CN102815052B (zh) 2012-06-29 2016-08-24 法国圣戈班玻璃公司 超疏水减反基板及其制作方法
JP2014019597A (ja) 2012-07-17 2014-02-03 Nippon Electric Glass Co Ltd ガラスフィルムの製造方法及びガラスフィルム積層体
CN102820262A (zh) 2012-09-05 2012-12-12 江苏物联网研究发展中心 一种玻璃通孔的制作及互连的方法
KR101949561B1 (ko) 2012-10-12 2019-02-18 코닝 인코포레이티드 잔류 강도를 갖는 제품
US20140150244A1 (en) 2012-11-30 2014-06-05 General Electric Company Adhesive-free carrier assemblies for glass substrates
TWI617437B (zh) 2012-12-13 2018-03-11 康寧公司 促進控制薄片與載體間接合之處理
US9340443B2 (en) 2012-12-13 2016-05-17 Corning Incorporated Bulk annealing of glass sheets
WO2014093775A1 (en) 2012-12-13 2014-06-19 Corning Incorporated Glass and methods of making glass articles
US10086584B2 (en) 2012-12-13 2018-10-02 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
US10014177B2 (en) 2012-12-13 2018-07-03 Corning Incorporated Methods for processing electronic devices
US10000675B2 (en) 2013-03-03 2018-06-19 John Cleaon Moore Temporary adhesive with tunable adhesion force sufficient for processing thin solid materials
US9790407B2 (en) 2013-03-09 2017-10-17 Moore John Aqueous detergent soluble coating and adhesive and methods of temporary bonding for manufacturing
WO2014151353A1 (en) 2013-03-15 2014-09-25 Corning Incorporated Bulk annealing of glass sheets
KR101432575B1 (ko) 2013-03-29 2014-08-21 엘지디스플레이 주식회사 경량 박형의 액정표시장치 제조방법
US20150099110A1 (en) 2013-10-07 2015-04-09 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
US10510576B2 (en) 2013-10-14 2019-12-17 Corning Incorporated Carrier-bonding methods and articles for semiconductor and interposer processing
JP6119567B2 (ja) 2013-11-11 2017-04-26 旭硝子株式会社 ガラス積層体の製造方法および電子デバイスの製造方法
JP6176067B2 (ja) 2013-11-11 2017-08-09 旭硝子株式会社 ガラス積層体および電子デバイスの製造方法
JP6136909B2 (ja) 2013-12-17 2017-05-31 旭硝子株式会社 樹脂層付き支持基板の製造方法、ガラス積層体の製造方法、電子デバイスの製造方法
EP3099483B1 (en) 2014-01-27 2022-06-01 Corning Incorporated Articles and methods for controlled bonding of thin sheets with carriers
CN106104778A (zh) 2014-01-27 2016-11-09 康宁股份有限公司 用于聚合物表面与载体的受控粘结的制品和方法
TW201529511A (zh) 2014-01-27 2015-08-01 Corning Inc 用於受控接合薄板與載具的表面改質層的處理
KR20160119080A (ko) 2014-02-07 2016-10-12 아사히 가라스 가부시키가이샤 유리 적층체
EP3129221A1 (en) 2014-04-09 2017-02-15 Corning Incorporated Device modified substrate article and methods for making
TWI649192B (zh) 2014-04-10 2019-02-01 日商Agc股份有限公司 Glass laminate, method of manufacturing same, and method of manufacturing electronic component
WO2015163134A1 (ja) 2014-04-25 2015-10-29 旭硝子株式会社 ガラス積層体および電子デバイスの製造方法
KR20170039135A (ko) 2014-08-01 2017-04-10 아사히 가라스 가부시키가이샤 무기막을 구비한 지지 기판 및 유리 적층체, 그리고, 그것들의 제조 방법 및 전자 디바이스의 제조 방법
KR102524620B1 (ko) 2015-06-26 2023-04-21 코닝 인코포레이티드 시트 및 캐리어를 포함하는 방법들 및 물품들
JP2019524510A (ja) 2016-08-22 2019-09-05 コーニング インコーポレイテッド 制御可能に結合されたシートの物品およびその製造方法

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI671200B (zh) * 2014-01-27 2019-09-11 美商康寧公司 用於薄片與載體之受控制接合的物件及方法
TWI712094B (zh) * 2015-11-04 2020-12-01 美商庫利克和索夫工業公司 用於引線接合的接合機上的自動懸伸晶粒優化工具及相關方法
TWI731734B (zh) * 2015-11-04 2021-06-21 美商庫利克和索夫工業公司 用於引線接合的接合機上的自動懸伸晶粒優化工具及相關方法
TWI747181B (zh) * 2020-01-07 2021-11-21 大陸商長江存儲科技有限責任公司 金屬-介電質接合方法和結構
US11495569B2 (en) 2020-01-07 2022-11-08 Yangtze Memory Technologies Co., Ltd. Metal-dielectric bonding method and structure
US11798913B2 (en) 2020-01-07 2023-10-24 Yangtze Memory Technologies Co., Ltd. Metal-dielectric bonding method and structure
US11978719B2 (en) 2020-01-07 2024-05-07 Yangtze Memory Technologies Co., Ltd. Metal-dielectric bonding method and structure
TWI804127B (zh) * 2020-12-23 2023-06-01 美商恩特葛瑞斯股份有限公司 氣體儲存系統及其方法

Also Published As

Publication number Publication date
EP3058587B1 (en) 2022-09-14
TWI632612B (zh) 2018-08-11
JP6873702B2 (ja) 2021-05-19
KR102355656B1 (ko) 2022-01-26
EP3058587A1 (en) 2016-08-24
JP2017500753A (ja) 2017-01-05
CN106133899A (zh) 2016-11-16
SG11201602919PA (en) 2016-05-30
JP6874068B2 (ja) 2021-05-19
JP2019208054A (ja) 2019-12-05
US20150102498A1 (en) 2015-04-16
WO2015057605A1 (en) 2015-04-23
KR20160070179A (ko) 2016-06-17
US10510576B2 (en) 2019-12-17
CN106133899B (zh) 2019-11-12

Similar Documents

Publication Publication Date Title
TW201517175A (zh) 用於半導體與中介層處理之載具接合方法與物件
EP2932540B1 (en) Methods for processing oled devices
TWI671200B (zh) 用於薄片與載體之受控制接合的物件及方法
KR102237812B1 (ko) 캐리어와 유리 시트의 결합을 제어하기 위한 유리 물품 및 방법
TW201429708A (zh) 玻璃及製造玻璃物品的方法
KR102239613B1 (ko) 유리 시트의 벌크 어닐링
KR20160066039A (ko) 유리 물품 및 유리 시트와 캐리어의 제어된 결합 방법