JP2017500753A - 半導体及びインターポーザ加工のためのキャリア結合方法及び物品 - Google Patents

半導体及びインターポーザ加工のためのキャリア結合方法及び物品 Download PDF

Info

Publication number
JP2017500753A
JP2017500753A JP2016547990A JP2016547990A JP2017500753A JP 2017500753 A JP2017500753 A JP 2017500753A JP 2016547990 A JP2016547990 A JP 2016547990A JP 2016547990 A JP2016547990 A JP 2016547990A JP 2017500753 A JP2017500753 A JP 2017500753A
Authority
JP
Japan
Prior art keywords
carrier
sheet
article
modification layer
thin sheet
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2016547990A
Other languages
English (en)
Other versions
JP6873702B2 (ja
JP2017500753A5 (ja
Inventor
ジーン エニックス,ダーウィン
ジーン エニックス,ダーウィン
タイラー キーチ,ジョン
タイラー キーチ,ジョン
ブルース ショリー,エイリック
ブルース ショリー,エイリック
パイプス サード トーマス,ウィンザー
パイプス サード トーマス,ウィンザー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Corning Inc
Original Assignee
Corning Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Corning Inc filed Critical Corning Inc
Publication of JP2017500753A publication Critical patent/JP2017500753A/ja
Publication of JP2017500753A5 publication Critical patent/JP2017500753A5/ja
Application granted granted Critical
Publication of JP6873702B2 publication Critical patent/JP6873702B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/486Via connections through the substrate with or without pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/14Mountings, e.g. non-detachable insulating substrates characterised by the material or its electrical properties
    • H01L23/15Ceramic or glass substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68318Auxiliary support including means facilitating the separation of a device or wafer from the auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • H01L2221/68331Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding of passive members, e.g. die mounting substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68345Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during the manufacture of self supporting substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68381Details of chemical or physical process used for separating the auxiliary support from a device or wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/83052Detaching layer connectors, e.g. after testing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/8385Bonding techniques using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/053Oxides composed of metals from groups of the periodic table
    • H01L2924/054313th Group
    • H01L2924/05432Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/053Oxides composed of metals from groups of the periodic table
    • H01L2924/054414th Group
    • H01L2924/05442SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/10251Elemental semiconductors, i.e. Group IV
    • H01L2924/10253Silicon [Si]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1027IV
    • H01L2924/10271Silicon-germanium [SiGe]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1032III-V
    • H01L2924/10329Gallium arsenide [GaAs]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1032III-V
    • H01L2924/1033Gallium nitride [GaN]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12041LED
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1432Central processing unit [CPU]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/146Mixed devices
    • H01L2924/1461MEMS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/156Material
    • H01L2924/15786Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • H01L2924/15788Glasses, e.g. amorphous oxides, nitrides or fluorides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/20Parameters
    • H01L2924/201Temperature ranges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/20Parameters
    • H01L2924/201Temperature ranges
    • H01L2924/20107Temperature range 250 C=<T<300 C, 523.15K =<T< 573.15K
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/20Parameters
    • H01L2924/201Temperature ranges
    • H01L2924/2011Temperature range 400 C=<T<450 C, 673.15K =<T< 723.15K
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/20Parameters
    • H01L2924/206Length ranges
    • H01L2924/2064Length ranges larger or equal to 1 micron less than 100 microns
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/20Parameters
    • H01L2924/206Length ranges
    • H01L2924/20641Length ranges larger or equal to 100 microns less than 200 microns
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/20Parameters
    • H01L2924/206Length ranges
    • H01L2924/20642Length ranges larger or equal to 200 microns less than 300 microns

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Recrystallisation Techniques (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Pressure Welding/Diffusion-Bonding (AREA)
  • Laminated Bodies (AREA)

Abstract

物品(2)を形成するために、表面修飾層(30)を介してキャリア(10)上に配置される、薄型シート(20)。上記物品は、FEOL半導体加工におけるような高温加工に供してよく、加工中に脱気せず、キャリアから分離しないように薄型シートをキャリア上に維持し、その一方で薄型シートは、室温での剥離力によってキャリアから分離でき、薄型シート及びキャリアのうちの薄い方は元の状態のまま残る。ビアホール(60)のアレイ(50)を有するインターポーザ(56)を薄型シート上に形成してよく、デバイス(66)をインターポーザ上に形成する。あるいは薄型シートは、FEOL加工中にその上に半導体回路が形成される基板であってよい。

Description

優先権
本出願は、2013年10月14日出願の米国仮特許出願第61/890524号の優先権の利益を主張するものであり、本出願は上記仮特許出願の内容に依存するものであり、また上記仮特許出願はその全体が参照により本出願に援用される。
本発明は概して、比較的薄型の基板の加工を可能とするために、上記比較的薄型の基板に結合され、上記比較的薄型の基板から取り外される、キャリアを対象とする。より詳細には、本発明は、半導体及び/又はインターポーザの加工のために、ウェハをキャリアに結合し、上記加工後にウェハをキャリアから剥離するための、方法及び装置に関する。
半導体デバイスは、半導体ウェハ上又は半導体ウェハ内に能動デバイスを形成することによって製造される。半導体ウェハは、例えばガラス、シリコン、ポリシリコン、単結晶シリコン、酸化シリコン、酸化アルミニウム、これらの組合せ及び/又はその他を含んでよい。典型的には、単一のウェハ上に数百個又は数千個の集積回路(IC)又はダイが作製される。典型的には、複数の絶縁材料、導電性材料及び半導電性材料が、ウェハ全体に亘って順次堆積及びパターン形成されて、ICが形成される。最上部に形成される材料層の1つは、典型的には、ウェハ内の下層のアクティブな領域及び構成部品への電気的接続を形成するボンドパッドのための層を含む。
ICの形成後、ウェハを裏面加工に供してよい。裏面加工は、ウェハを薄くして、梱包のためにウェハを準備するステップを含んでよい。例えばいくつかの技術では、裏面加工は、ウェハを貫通して形成された基板貫通ビアホールへの電気的接続を形成するステップを含む。この例では、ウェハの裏面を研削等の加工によって薄くして、ウェハの裏面に導電性ビアホールを露出させる。ウェハを薄くするこの加工は、ウェハの縁部を損傷する場合があり、またウェハを更に壊れやすくして、後続のウェハの輸送及び加工中の損傷に対するウェハの感受性をより高める可能性がある。
これらのタイプの損傷の緩和を支援するために、通常はウェハにキャリアを取り付ける。このキャリアは接着剤を用いて取り付けられ、キャリアを操作することによってウェハを操作できるように構成される。更に、キャリアによって追加される強度は、輸送及び/又は加工によって引き起こされる応力によってウェハが損傷されないようにウェハを支持する。
典型的なキャリアは、接着剤を用いてウェハに取り付けられるガラス基板であってよい。しかしながら、このウェハは加工中に歪む場合があること、及び典型的なキャリアは歪みを防止するために十分な支持を提供しないことが分かっている。ウェハの歪みにより、プロセスは失敗する場合があり、及び/又はプロセスによって警報条件が生じる場合がある。能動トランジスタ、抵抗及びRC回路、並びにトランジスタを相互接続するための局所的配線を半導体にパターン形成する、IC製造の第1の部分は、「基板工程(front‐end‐of‐line:FEOL)」加工と呼ばれる。FEOL加工はまた:ウェルの形成;ゲートモジュールの形成;ソース及びドレーンモジュールの形成;DRIE(dry reactive ion etch:乾式反応性イオンエッチング);PVD、Ti又はCu等;CVD TiN等;PECVD SiO2等;電解Cu(等)めっき;Cu(等)アニーリング;計測(X線等);(Cu等)CMP(Chemical Mechanical Polish:化学機械的研磨);Cu(H2O2+H2SO4)+Ti(DHF)湿式エッチング;スパッタ付着層(Ti等);スパッタシード層(Cu等);リソグラフィ(フォトレジスト、露光、ストリッピング、Cuのエッチング)を含んでよい。FEOL加工に関連する高温(例えば≧500℃、いくつかの例では500℃〜650℃、いくつかの場合には最高700℃)プロセスのうちのいくつかにより、多くの接着剤系溶液は使用できない。というのは、これらは結合を保持できない場合があるか、汚染物質を排気する場合があるか、又はその両方であるためである。多くの接着剤は、例えば約300℃といった、遥かに低い温度でさえ脱気する。IC製造ラインの、個々のチップを横断する比較的長い距離を接続してオフチップ位置にまで至る粗密な配線を、ウェハ上の配線と相互接続する部分は、「配線工程(back‐end‐of‐line:FEOL)」配線と呼ばれる。BEOL加工はまた:接点の形成;層の絶縁;配線の相互接続;RFシールド形成;パッシベーション;ESD保護;チップ‐包装間の問題解決のためのパッド及び他の結合部位の結合を含んでよい。BEOL加工温度は一般にFEOL加工温度より低いが、誘電性堆積は典型的には350〜450℃で発生し、大半の接着剤はこのような比較的低い温度で脱気する。更に、大半の一時的接着剤は、ウェハ及びキャリア材料と適合しない高いCTEを有し、ウェハ上の繊細な微小構造をそのまま残した状態で除去するのが困難である。更に、接着剤とウェハ及び/又はキャリアとの間のCTEの不適合は、ウェハの望ましくない歪みを引き起こし得る。また更に、接着剤は、キャリアに結合する際にインターポーザのビアホール内への経路を見出し、望ましくないことに、ビアホールの少なくとも一部の金属化を妨げる場合がある。
従って、加工条件、特にFEOL加工の高温の必要に耐えられる、キャリア‐基板間の問題解決のための改善された解決策の必要がある。更に、FEOLの厳しさに耐えられ、更にその後の容易な剥離を提供できる、キャリア‐基板間の問題解決のための解決策により、開始時から比較的薄い初期基板を使用できるようになり、これにより配線工程での薄化の必要を緩和できる。即ち、典型的な既存の半導体用工具は、およそ500マイクロメートル以上のウェハを加工するために設計されている。しかしながら、キャリアがウェハを支持する場合、合計の厚さが、工具の加工厚さ範囲内という小ささになる必要がある。従って例えば、厚さ400マイクロメートルのキャリアを、100マイクロメートルのウェハを支持するために使用してよく、この組合せを、既存の半導体用工具で加工してよい。この解決策を用いる場合、高温加工後でさえ容易に分離できる制御された結合により、100マイクロメートルのウェハを基板として使用してよく、これによって、ウェハ上にデバイスを形成した後の、廃棄物や薄化の可能な収率の低下を回避できる。FEOL加工に耐える能力により、厚さ≦200マイクロメートル、例えば200、190、180、170、160、150、140、130、120、110、100、90、80、70、60、50、40、30、又は20マイクロメートルのウェハを用いて、キャリア‐基板間の問題解決のための解決策を開始できるようになる。このような厚さ(例えば≦200マイクロメートル)のウェハをキャリアに取り付け、加工し、その後キャリアから取り外すことができる。これは、例えばポリシリコン又は単結晶シリコンウェハを基板として使用する場合に、主要な利点となる。というのは、極めて高価な材料の除去及び廃棄を回避できるためであり、この材料を単純に、形成時の厚さのまま加工できる。
更に、3D IC技術は、比較的高価で先進的なリソグラフィによる解決策を必要とすることなく、又はより多くの回路構成を収容するために大型のチップサイズを必要とすることなく半導体の性能を改善するための主要な技術的趨勢として、半導体業界で広く受け入れられている。3D ICのためのこの技術は、シリコンICが薄くなっていることによるものであり、また、平坦な構成(2.5D IC)の単一のインターポーザ上に直接置かれたIC間に電気信号を再分配するため、及び薄化されたICを積層する(3D IC)ための、インターポーザによるものである。
ポリシリコン、単結晶シリコン又はガラス製であってよいこれらのインターポーザによって、数ミリメートルから数マイクロメートルに経路の長さが削減されることによる、通信の速度の劇的な改善が可能である。この新規の技術の模範的な用途は、フィールドプログラマブルゲートアレイ(FPGA)、例えばXilinx(米国カリフォルニア州サンノゼ)製のハイエンドな特殊機能性製品である。
インターポーザは、厚さおよそ50mm〜100mmであり、現在では200mmOD〜300mmODのサイズであることを特徴とし、長期に亘ってより大きなサイズのパネルへの傾向がある。ビアホールは、金属化の後にこれを通って電気信号が処理されるが、これは5μmOD〜150μmODであり、密度は設計及び用途に応じて、典型的には1平方ミリメートル当たり1〜20個のビアホールである。厚いインターポーザは許容できない形状因子(高さ)及び性能(熱)障害を引き起こすため、インターポーザは定義上薄いものである。「薄い」とは一般に約100マイクロメートルとされるが、一般に200マイクロメートルを超えない。一方、国際半導体技術ロードマップ(International Technology Roadmap for Semiconductors:ITRS)は、50μmまでの厚さを許容している。また、これらの厚さの基板は一般に、既存の工具で加工できない。従って本開示は、キャリアの有利な使用、及び高温加工中でもウェハに取り付けられたままとすることができ、それでもなお、このような加工の後にウェハを容易に解放できるキャリアについて考える。
インターポーザの技術は新しいものであるが、主流であるインターポーザ基板は単結晶シリコンであり、選択肢としてガラスも出現している。ガラスの魅力は性能とコストであるが、ガラスに関して上述の利点を実現する解決策は現在まだ存在しない。本開示のコンセプトにより、様々な薄型基板をシリコン及びガラスを含むウェハとして、FEOL及びBEOLを含む様々な条件下で加工でき、これによってIC、RC回路及びインターポーザを含む様々なデバイスを提供できるようになる。
本開示の結合に関する解決策により、高収率かつ短い加工時間で、あらゆる既存の必要なプロセスステップによって、最終厚さのガラスにおける薄型形状の加工、及び薄化されたシリコンを得ることができる。金属化、分布層の配置によってこの薄型ウェハを加工した後、これを剥離して、薄化された加工済みインターポーザ、及び/又はICをそのまま残すことができる。更に、(およそ≦200マイクロメートルに)事前に薄化したシリコンウェハと共にキャリアを使用することにより、いずれのデバイスがウェハ上で加工される前に、ウェハを遮蔽できる。従ってコストを削減でき、及び/又は収率を改善できる。
以上から、高温加工を含むFEOL加工の厳しさに(半導体又はディスプレイ作製プロセスとは相容れない脱気を発生させることなく)耐えることができ、かつ薄型シートの全領域を(全体を一度に、又は複数のセクションの形で)キャリアから取り外すことができるような、薄型シート‐キャリア物品が必要である。本明細書は、(高温加工を含む)FEOL加工に耐えられる程度に十分に強い一方で、高温加工後でさえキャリアからシートを剥離できる程度に十分に弱い、一時的な結合を形成するために、キャリアと薄型シートとの間の接着を制御するための方法を記載する。より具体的には、本開示は、(様々な材料及び関連する表面熱処理を含む)表面修飾層を提供し、これは、薄型シート、キャリア又はこれら両方の上に設けて、室温でのファンデルワールス及び/又は水素結合並びに薄型シートとキャリアとの間の高温共有結合の両方を制御してよい。更に具体的には、室温での結合を、真空加工、湿式加工及び/又は超音波洗浄加工中に薄型シートとキャリアとを共に保持するために十分となるように制御してよい。同時に、高温共有結合を、高温加工中の薄型シートとキャリアとの間の恒久的な結合を防止するように、及び高温処理中の層間剥離を防止できる程度に十分な結合を維持するように、制御してよい。代替実施形態では、表面修飾層を用いて、様々な制御された結合領域を形成してよい(ここでキャリア及びシートは、真空加工、湿式加工及び/又は超音波洗浄加工を含む様々なプロセスを通して十分に結合されたままである)。また更に、いくつかの表面修飾層は、キャリアとシートとの間の結合の制御を提供しながら同時に、例えば高温及び/又は真空加工を含むFPD(例えばLTPS)加工環境における過酷な条件中の脱気放出を削減する。
更なる特徴及び利点は以下の詳細な説明に記載し、その一部は当業者にはこの説明から容易に明らかとなり、又は文章による説明及び添付の図面において例示されるような様々な態様を実施することによって把握されるだろう。上述の概説及び以下の詳細な説明の両方は、上記様々な態様の単なる例であり、本出願で請求されるような本発明の性質及び特徴を理解するための概観又は枠組みを提供することを意図したものである。
添付の図面は、本発明の原理の更なる理解を提供するために含まれているものであり、本明細書に組み込まれて本明細書の一部を構成する。図面は1つ又は複数の実施形態を示し、これは説明と併せて、例を用いて本発明の原理及び動作を説明する役割を果たす。本明細書及び図面において開示される様々な特徴は、いずれの及び全ての組合せで使用できることを理解されたい。非限定的な例として、様々な特徴は、以下の態様において記載するように、互いに組み合わせてよい。
第1の態様によると:
キャリア結合表面を有するキャリア;
中に少なくとも1つのビアホールを有するシートであって、シート結合表面を更に備えるシート;
表面修飾層
を備える物品が提供され、
キャリア結合表面は、間に表面修飾層を有してシート結合表面に結合され、
表面修飾層は、チャンバ内において室温から500℃まで9.2℃/分の速度で加熱され、500℃の温度で10分保持された後、炉冷速度で300℃に冷却される温度サイクルに物品を供し、チャンバから物品を取り出して物品を室温まで冷却させた後、キャリア及びシートは、一方が保持され他方が重力を受けている場合には互いに分離せず、シートは、室温で分離を実施する際にキャリア及びシートのうちの薄い方が2つ以上の片に破壊されることなく、キャリアから分離できることを特徴とする。
第2の態様によると:
キャリア結合表面を有するキャリア;
中に少なくとも1つのビアホールを有するシートであって、シート結合表面を更に備えるシート;
表面修飾層
を備える物品が提供され、
キャリア結合表面は、間に表面修飾層を有してシート結合表面に結合され、
表面修飾層は、チャンバ内において室温から400℃まで9.2℃/分の速度で加熱され、400℃の温度で10分保持された後、炉冷速度で300℃に冷却される温度サイクルに物品を供し、チャンバから物品を取り出して物品を室温まで冷却させた後、キャリア及びシートは、一方が保持され他方が重力を受けている場合には互いに分離せず、試験#2に従って表面修飾層からの脱気は存在せず、またシートは、室温で分離を実施する際にキャリア及びシートのうちの薄い方が2つ以上の片に破壊されることなく、キャリアから分離できることを特徴とする。
第3の態様によると、シートは、シリコン、石英、サファイア、セラミック又はガラスを含む、態様1又は態様2の物品が提供される。
第4の態様によると、シートの厚さは≦200マイクロメートルである、態様1の物品が提供される。
第5の態様によると、:
キャリア結合表面を有するキャリア;
厚さ≦200マイクロメートルのウェハシートであって、シート結合表面を更に備え、シリコン、石英又はサファイアを含む、ウェハシート;
表面修飾層
を備える物品が提供され、
キャリア結合表面は、間に表面修飾層を有してシート結合表面に結合され、
表面修飾層は、チャンバ内において室温から500℃まで9.2℃/分の速度で加熱され、500℃の温度で10分保持された後、炉冷速度で300℃に冷却される温度サイクルに物品を供し、チャンバから物品を取り出して物品を室温まで冷却させた後、キャリア及びシートは、一方が保持され他方が重力を受けている場合には互いに分離せず、シートは、室温で分離を実施する際にキャリア及びシートのうちの薄い方が2つ以上の片に破壊されることなく、キャリアから分離できることを特徴とする。
第6の態様によると:
キャリア結合表面を有するキャリア;
厚さ≦200マイクロメートルのウェハシートであって、シート結合表面を更に備え、シリコン、石英又はサファイアを含む、ウェハシート;
表面修飾層
を備える物品が提供され、
キャリア結合表面は、間に表面修飾層を有してシート結合表面に結合され、
表面修飾層は、チャンバ内において室温から400℃まで9.2℃/分の速度で加熱され、400℃の温度で10分保持された後、炉冷速度で300℃に冷却される温度サイクルに物品を供し、チャンバから物品を取り出して物品を室温まで冷却させた後、キャリア及びシートは、一方が保持され他方が重力を受けている場合には互いに分離せず、試験#2に従って表面修飾層からの脱気は存在せず、またシートは、室温で分離を実施する際にキャリア及びシートのうちの薄い方が2つ以上の片に破壊されることなく、キャリアから分離できることを特徴とする。
第7の態様によると、シートは中に少なくとも1つのビアホールを更に備える、態様5又は態様6の物品が提供される。
第8の態様によると、少なくとも1つのビアホールは、直径≦150マイクロメートルである、態様1〜4のいずれか1つの物品が提供される。
第9の態様によると、少なくとも1つのビアホールが、中に導電性材料を含む、態様1〜4、7、8のいずれか1つの物品が提供される。
第10の態様によると、シートは、シート結合表面に対向するデバイス表面を備え、上記デバイス表面は、シリコン、シリコン‐ゲルマニウム、ガリウムヒ素及び窒化ガリウム上に又はシリコン、シリコン‐ゲルマニウム、ガリウムヒ素及び窒化ガリウムから製造された:集積回路;MEMS;CPU;マイクロセンサ;電力半導体;発光ダイオード;フォトニック回路;インターポーザ;埋込み型パッシブデバイス;及びマイクロデバイスからなる群から選択されるデバイスのアレイを備える、態様1〜9のいずれか1つの物品が提供される。
第11の態様によると、シートは、シート結合表面に対向するデバイス表面を備え、上記デバイス表面は:はんだバンプ;金属ポスト;金属ピラー;相互接続ルーティング;相互接続配線;絶縁性酸化物層;並びにシリコン、ポリシリコン、二酸化シリコン、シリコン(オキシ)窒化物、金属、低k誘電体、ポリマー誘電体、金属窒化物及び金属ケイ化物からなる群から選択された材料から形成された構造体からなる群から選択される、少なくとも1つの構造体を備える、態様1〜9のいずれか1つの物品が提供される。
第12の態様によると、加熱は窒素中で実施される、態様1〜11のいずれか1つの物品が提供される。
第13の態様によると、加熱中に表面修飾層からの脱気が存在せず、表面修飾層からの脱気は:
(a)脱気試験#1に従って、試験限界温度600℃において、カバーの表面エネルギの変化が≧15mJ/mであること;及び
(b)脱気試験#2に従って、試験限界温度600℃において、%気泡領域の変化が≧5であること
のうちの少なくとも1つとして定義される、態様1、3〜5、7〜12のいずれか1つの物品が提供される。
第14の態様によると、表面修飾層は:
a)プラズマ重合化フルオロポリマー;及び
b)芳香族シラン
のうちの1つを含む、態様1〜13のいずれか1つの物品が提供される。
第15の態様によると、表面修飾層の厚さは0.1〜100nmである、態様1〜14のいずれか1つの物品が提供される。
第16の態様によると、キャリアはガラスを含む、態様1〜15のいずれか1つの物品が提供される。
第17の態様によると、キャリア及びシートのうちの少なくとも一方の結合表面は、≧100平方cmの面積を有する、態様1〜16のいずれか1つの物品が提供される。
第18の態様によると:
キャリア結合表面を有するキャリアを得るステップ;
中に少なくとも1つのビアホールを有するシートを得るステップであって、上記シートは、シート結合表面を更に備え、キャリア結合表面及びシート結合表面のうちの少なくとも1つはその上に表面修飾層を備える、ステップ;
結合表面及び表面修飾層を用いてキャリアをシートに結合して、物品を形成するステップ;
物品を基板工程(FEOL)加工に供するステップであって、FEOL加工後、キャリア及びシートは、一方が保持され他方が重力を受けている場合には互いに分離しない、ステップ;
キャリア及びシートのうちの薄い方が2つ以上の片に破壊されることなく、キャリアからシートを取り外すステップ
を有してなる、インターポーザを作製する方法が提供される。
第19の態様によると、シートは、シリコン、石英、サファイア、セラミック又はガラスを含む、態様18の方法が提供される。
第20の態様によると、シートの厚さは≦200マイクロメートルである、態様18の方法が提供される。
第21の態様によると:
キャリア結合表面を有するキャリアを得るステップ;
厚さ≦200マイクロメートルのウェハシートを得るステップであって、上記シートはシリコン、石英又はサファイアを含み、上記シートは、シート結合表面を更に備え、キャリア結合表面及びシート結合表面のうちの少なくとも1つはその上に表面修飾層を備える、ステップ;
結合表面及び表面修飾層を用いてキャリアをシートに結合して、物品を形成するステップ;
物品を基板工程(FEOL)加工に供するステップであって、FEOL加工後、キャリア及びシートは、一方が保持され他方が重力を受けている場合には互いに分離しない、ステップ;
キャリア及びシートのうちの薄い方が2つ以上の片に破壊されることなく、キャリアからシートを取り外すステップ
を有してなる、シリコンウェハシートを加工する方法が提供される。
第22の態様によると、シートは中に少なくとも1つのビアホールを更に備える、態様21の方法が提供される。
第23の態様によると、FEOL加工は500℃〜700℃の加工チャンバ温度を含む、態様18〜22のいずれか1つの方法が提供される。
第24の態様によると、FEOL加工は:DRIE(乾式反応性イオンエッチング);PVD;CVD TiN;PECVD SiO2;電解Cuめっき;Cuアニーリング;計測;Cu CMP;Cu(H2O2+H2SO4)+Ti(DHF)湿式エッチング;スパッタ付着層;スパッタシード層;リソグラフィ(フォトレジスト、露光、ストリッピング、Cuのエッチング)のうちの少なくとも1つを含む、態様18〜22の方法が提供される。
第25の態様によると、少なくとも1つのビアホールは、直径≦150マイクロメートルである、態様18〜20、22〜24のいずれか1つの方法が提供される。
第26の態様によると、少なくとも1つのビアホールは、中に導電性材料を含む、態様18〜20、22〜25のいずれか1つの方法が提供される。
第27の態様によると、シートは、シート結合表面に対向するデバイス表面を備え、上記デバイス表面は、シリコン、シリコン‐ゲルマニウム、ガリウムヒ素及び窒化ガリウム上に又はシリコン、シリコン‐ゲルマニウム、ガリウムヒ素及び窒化ガリウムから製造された:集積回路;MEMS;CPU;マイクロセンサ;電力半導体;発光ダイオード;フォトニック回路;インターポーザ;埋込み型パッシブデバイス;及びマイクロデバイスからなる群から選択されるデバイスのアレイを備える、態様18〜26のいずれか1つの方法が提供される。
第28の態様によると、シートは、シート結合表面に対向するデバイス表面を備え、上記デバイス表面は:はんだバンプ;金属ポスト;金属ピラー;相互接続ルーティング;相互接続配線;絶縁性酸化物層;並びにシリコン、ポリシリコン、二酸化シリコン、シリコン(オキシ)窒化物、金属、低k誘電体、ポリマー誘電体、金属窒化物及び金属ケイ化物からなる群から選択された材料から形成された構造体からなる群から選択される、少なくとも1つの構造体を備える、態様18〜26のいずれか1つの方法が提供される。
第29の態様によると、加熱は窒素中で実施される、態様18〜28のいずれか1つの方法が提供される。
第30の態様によると、加熱中に表面修飾層からの脱気が存在せず、表面修飾層からの脱気は:
(a)脱気試験#1に従って、試験限界温度600℃において、カバーの表面エネルギの変化が≧15mJ/mであること;及び
(b)脱気試験#2に従って、試験限界温度600℃において、%気泡領域の変化が≧5であること
のうちの少なくとも1つとして定義される、態様18〜29のいずれか1つの方法が提供される。
第31の態様によると、表面修飾層は:
a)プラズマ重合化フルオロポリマー;及び
b)芳香族シラン
のうちの1つを含む、態様18〜30のいずれか1つの方法が提供される。
第32の態様によると、表面修飾層の厚さは0.1〜100nmである、態様18〜31のいずれか1つの方法が提供される。
第33の態様によると、キャリアはガラスを含む、態様18〜32のいずれか1つの方法が提供される。
第34の態様によると、キャリア及びシートのうちの少なくとも一方の結合表面は、≧100平方cmの面積を有する、態様18〜33のいずれか1つの方法が提供される。
間に表面修飾層を有して薄型シートに結合されたキャリアを有する物品の概略側面図 図1の物品の分解・部分切り欠き図 温度の関数としての、シリカ上の表面ヒドロキシル濃度のグラフ アニーリング温度の関数としての、ガラスのSC1洗浄済みシートの表面エネルギのグラフ フィルムが作製される構成材料のうちの1つの百分率の関数としての、ガラスのシート上に堆積した薄型フルオロポリマーフィルムの表面エネルギのグラフ 試験用設定の概略図 異なる条件下での、多様な材料に関する、時間に対する(図6の試験用設定の異なる複数の部分の)表面エネルギのグラフの一群 多様な材料に関する、温度に対する%気泡領域の変化のグラフ 多様な材料に関する、温度に対する%気泡領域の変化の別のグラフ インターポーザを有する、薄型シート及びキャリアの上面図 図10の線11‐11に沿った、薄型シート及びキャリアの断面図 図11と同様であるが、薄型シート上に追加のデバイスが堆積されている、断面図
以下の詳細な説明では、限定のためではなく説明のために、具体的詳細を開示する例示的実施形態を挙げて、本発明の様々な原理の完全な理解を提供する。しかしながら、本開示の利益を得ている当業者には、本発明を、本明細書で開示される具体的詳細から逸脱した他の実施形態で実施してよいことが理解されるだろう。更に、公知のデバイス、方法及び材料の説明は、本発明の様々な原理の説明を不明瞭にしないよう、省略する場合がある。最後に、同様の要素には、適用できるかぎり同様の参照番号を付している。
本明細書では、「約(about)」ある特定の値から、及び/又は「約」別の特定の値までとして範囲を表す場合がある。このような範囲が表されている場合、別の実施形態は、上記ある特定の値から及び/又は上記別の特定の値までを含む。同様に値が先行詞「約(about)」を用いて近似値として表されている場合、その特定の値が別の実施形態を形成することが理解されるだろう。更に、各範囲の端点は、他の端点に関連して及び他の関連とは独立して重要であることが理解されるだろう。
例えば上、下、右、左、前、後、頂部、底部といった、本明細書で使用される方向に関する用語は、図面を図示されたまま参照して使用されているだけであり、絶対的な配向を含意することを意図したものではない。
そうでないことが明記されていない限り、本明細書に記載のいずれの方法が、その複数のステップをある具体的な順序で実施することを必要とするものとして解釈されることは、全く意図されていない。従って、方法クレームは、そのステップが従うべき順番を実際に詳述してはおらず、又はそうでないことが明記されていない限り、ある順序が推定されることをいずれの点でも意図したものではない。これは:複数のステップの配置又は操作フローに関する論理上の問題;文法的構成又は句読点から導かれる明白な意味;本明細書に記載の実施形態の数又はタイプを含む、いずれのあり得る表現されていない原則にも当てはまる。
本明細書で使用される場合、名詞は、文脈上そうでないことが明らかでない限り、複数の支持対象を指す。従って例えば、ある「構成部品(component)」に対する言及は、文脈上そうでないことが明らかでない限り、2つ以上のこのような構成部品を有する態様も含む。
2つ以上の薄型シート基板を加工するために、薄型シート又はその一部をキャリアから取り外せるようにすることが望ましい。本開示は、高温加工を通して薄型シートを加工できるようにするための物品及び方法を挙げる。ここで高温加工は≧400℃の温度での加工であり、作製されるデバイスのタイプに応じて変化してよく、例えば非晶質シリコン又は非晶質インジウム‐ガリウム‐亜鉛酸化物(IGZO)背面加工におけるような最高約450℃、結晶質IGZO加工におけるような最高約500〜550℃、又はLTPSプロセスにおいて典型的であるような最高約600〜650℃であり、この高温加工においてさえ、薄型シートは、薄型シート又はキャリアを損傷する(例えばキャリア及び薄型シートのうちの一方が2つ以上の片に破壊されるか又は割れる)ことなくキャリアから容易に取り外すことができる。具体的なプロセスについて言及するが、これらのプロセスは、特定の温度要件を有するプロセスの単なる例である。当然のことながら、薄型シート及びキャリアを上記プロセスのうちのいずれの1つにおいて使用してよい場合、これは同様の温度要件を有する異なるプロセスで使用できる。
図1及び2に示すように、物品2は厚さ8を有し、厚さ18を有するキャリア10、厚さ28を有する薄型シート20(即ち10〜50マイクロメートル、50〜100マイクロメートル、100〜150マイクロメートル、150〜300マイクロメートル、300、250、200、190、180、170、160、150、140、130、120、110、100、90、80、70、60、50、40、30、20又は10マイクロメートルの厚さを含むがこれらに限定されない、≦300マイクロメートルの厚さを有するシート)、及び厚さ38を有する表面修飾層30を含む。物品2は、薄型シート20自体は≦300マイクロメートルであるものの、より厚いシート(即ちおよそ≧0.4mm、例えば0.4mm、0.5mm、0.6mm、0.7mm、0.8mm、0.9mm又は1.0mmのシート)に関して設計されている設備において薄型シート20を加工できるように設計される。即ち、厚さ18、28及び38の合計である厚さ8は、ある設備の一部、例えば基板シート上に電子デバイス構成部品を配置するために設計された設備が加工するように設計されている、より厚いシートの厚さと同等となるように設計される。例えば、加工設備が700マイクロメートルのシートのために設計されており、薄型シートが300マイクロメートルの厚さ28を有する場合、厚さ18は、厚さ38を無視できると仮定した場合、400マイクロメートルとして選択されることになる。即ち表面修飾層30は、正しい縮尺で図示されておらず、単なる例示のために大幅に誇張されている。更に表面修飾層は、切り欠き図で示されている。実際には、表面修飾層は結合表面14全体に亘って均一に配置されることになる。典型的には、厚さ38は、ナノメートルレベル、例えば0.1〜2.0又は最高10nmのものとなり、いくつかの例では最高100nmであってよい。厚さ38は、エリプソメータで測定してよい。更に表面修飾層の存在は、表面化学分析によって、例えばToF Sims質量分析によって検出してよい。従って、物品の厚さ8への厚さ38の寄与は無視でき、厚さ28を有するある所定の薄型シート20を加工するためのキャリア10の好適な厚さ18を決定するための計算において無視してよい。しかしながら、表面修飾層30がいずれの有意な厚さ38を有している限り、これは、薄型シート20の所定の厚さ28及び加工設備がそのために設計されている所定の厚さに関してキャリア10の厚さ18を決定するにあたって考慮され得る。
キャリア10は、第1の表面12、結合表面14、周縁部16及び厚さ18を有する。更にキャリア10は、例えばガラスを含むいずれの好適な材料製であってよい。キャリアはガラスである必要はなく、(その代わりに、表面エネルギ及び/又は結合は、ガラスキャリアに関連して以下に記載するものと同様の様式で制御できるため)セラミック、ガラスセラミック又は金属とすることができる。ガラス製である場合、キャリア10は、アルミノシリケート、ボロシリケート、アルミノボロシリケート、ソーダライムシリケートを含むいずれの好適な組成物製であってよく、最終的な用途に応じて、アルカリ含有であってもアルカリ非含有であってもよい。厚さ18は約0.2〜3mm以上、例えば0.2、0.3、0.4、0.5、0.6、0.65、0.7、1.0、2.0又は3mm以上であってよく、厚さ28と、上述のように厚さ38が無視できない場合は厚さ38とに左右される。更にキャリア10は、図示したように1層で、又は一体に結合された(複数の薄型シートを含む)複数の層で作製してよい。更にキャリアは第一世代サイズ以上、例えば第二世代、第三世代、第四世代、第五世代、第八世代以上(例えば100mm×100mmから3メートル×3メートル以上のシートサイズ)であってよい。
薄型シート20は、第1の表面22、結合表面24、周縁部26及び厚さ28を有する。周縁部16及び26はいずれの好適な形状であってよく、互いに同一であってよく、又は互いに異なっていてよい。更に薄型シート20は、例えばシリコン、ポリシリコン、単結晶シリコン、サファイア、石英、ガラス、セラミック又はガラスセラミックを含む、いずれの好適な材料のものであってよい。ガラス製の場合、薄型シート20は、アルミノシリケート、ボロシリケート、アルミノボロシリケート、ソーダライムシリケートを含むいずれの好適な組成物製であってよく、最終的な用途に応じて、アルカリ含有であってもアルカリ非含有であってもよい。高温での加工中に物品の歪みを防止するために、薄型シートの熱膨張係数は、キャリアの熱膨張係数と比較的近くなるよう適合させてよい。上述のように、薄型シート20の厚さ28は300マイクロメートル以上である。更に薄型シートは第一世代サイズ以上、例えば第二世代、第三世代、第四世代、第五世代、第八世代以上(例えば100mm×100mmから3メートル×3メートル以上のシートサイズ)であってよい。
物品2は、既存の設備で加工できる正確な厚さを有する必要があるだけでなく、加工が行われる過酷な環境に耐えられることも必要である。例えば加工は、湿式超音波、真空及び高温(例えば≧400℃)加工を含んでよい。いくつかのプロセスに関して、上述のように、温度は≧500℃又は≧600℃及び最高650℃であってよい。
物品2が加工されることになる過酷な環境に耐えるために、結合表面14は、薄型シート20がキャリア10から分離しない程度に十分な強度で、結合表面24に結合されなければならない。そして、加工中にキャリア10から薄型シート20が分離しないように、この強度を、加工を通して維持しなければならない。更に、(キャリア10を再使用できるように)薄型シート20をキャリア10から取り外せるようにするために、結合表面14は、初期設計の結合力によって、及び/又は例えば物品が高温、例えば≧400℃の温度での加工に供される際に行われる場合がある初期設計の結合力の修正によって得られた結合力によって、結合表面24にあまりに強く結合されてはならない。表面修飾層30を用いて、上述の目標を両方とも達成するために、結合表面14と結合表面24との間の結合強度を制御できる。制御された結合力は、薄型シート20及びキャリア10の極性及び非極性表面エネルギ成分を変調することによって制御される合計接着エネルギに対するファンデルワールス(及び/又は水素結合)並びに共有牽引エネルギの寄与を制御することによって、達成される。この制御された結合は、(湿式、超音波、真空、並びに≧400℃及びいくつかの例では≧500℃又は≧600℃及び最高650℃の加工温度を含む熱プロセスを含む)加工に耐えられながらも、十分な分離力の適用によって、並びに更に薄型シート20及び/又はキャリア10に対する壊滅的な損傷を引き起こさない力によって、剥離できるままとなるよう、十分に強い。このような剥離は、薄型シート20及び薄型シート20上に製造されたデバイスの取り外しを可能とし、またキャリア10の再使用を可能とする。
表面修飾層30は、薄型シート20とキャリア10との間の固体層として示されているが、必ずしもそうである必要はない。例えば層30は、およそ0.1〜2nm厚であってよく、結合表面14全体を完全に被覆しなくてよい。例えば被覆範囲は≦100%、1%〜100%、10%〜100%、20%〜90%又は50%〜90%であってよい。他の実施形態では、層30は最高10nm厚であってよく、又は他の実施形態では最高100nm厚でさえあってよい。表面修飾層30は、キャリア10及び薄型シート20のうちの一方又は他方に接触できない場合でさえ、キャリア10と薄型シート20との間に配置されるものと考えられる。いずれにせよ、表面修飾層30の重要な側面は、これが、結合表面14の、結合表面24と結合する能力を修正することによって、キャリア10と薄型シート20との間の結合の強度を制御するという点である。表面修飾層30の材料及び厚さ、並びに結合前の結合表面14、24の処理を用いて、キャリア10と薄型シート20との間の結合の強度(接着のエネルギ)を制御できる。
一般に、2つの表面間の接着のエネルギは、「A theory for the estimation of surface and interfacial energies. I. derivation and application to interfacial tension」(L. A. Girifalco and R. J. Good, J. Phys. Chem., V 61, p904)によって得られる:
Figure 2017500753
ここでγ、γ及びγ12はそれぞれ、表面1、表面2の表面エネルギ、及び表面1と2との界面エネルギである。個々の表面エネルギは通常、2つの項:分散成分γ及び極性成分γの組合せである:
Figure 2017500753
接着が主に、ロンドン分散力(γ)及び極性力(γ)、例えば水素結合によるものである場合、界面エネルギは、(上述のGorofa;cp and R. J. Good)によって得られる:
Figure 2017500753
(1)に(3)を代入した後、接着のエネルギは、おおよそ以下のように計算できる;
Figure 2017500753
上記の等式(4)では、接着エネルギのファンデルワールス(及び/又は水素結合)成分のみが考慮されている。これらは、極性‐非極性相互作用(ケーソム力)、極性‐非極性相互作用(デバイ力)及び非極性‐非極性相互作用(ロンドン力)を含む。しかしながら、他の牽引エネルギ、例えば共有結合及び静電結合も存在する場合がある。従って上記の等式は、更に一般化した形態では以下のように記述される:
Figure 2017500753
ここでW及びWは共有及び静電接着エネルギである。共有接着エネルギは、最初に水素結合された一対のウェハを高温に加熱して、シラノール‐シラノール水素結合の大半又は全てをSi‐O‐Si共有結合に変換する、シリコンウェハ結合におけるように、かなり一般的である。最初の室温での水素結合により、結合した表面の分離が可能なおよそ〜100〜200mJ/mの接着エネルギが生成される一方で、高温加工中に達成される完全に共有結合したウェハのペアは、〜1000〜3000mJ/mの接着エネルギを有し、これは結合した表面の分離が不可能であり、その代わりに2つのウェハは一体として機能する。一方、両方の表面が、下層の基板の影響を遮断するのに十分な厚さの低表面エネルギ材料、例えばフルオロポリマーで完璧にコーティングされている場合、接着エネルギは上記コーティング材料の接着エネルギとなり、これは極めて低く、接着表面14、24間の接着を弱くするか又は無くし、これにより薄型シート20はキャリア10上で加工できなくなる。以下の2つの極端な場合を考える:(a)水素結合によって室温で一体に結合され(従って接着エネルギは〜100〜200mJ/mである)、その後高温に加熱されて、シラノール基が共有Si‐O‐Si結合に変換された(従って接着エネルギは1000〜3000mJ/mとなる)、シラノール基で飽和され、標準洗浄剤1(standard clean 1:SC1、当該技術分野で公知)で洗浄した2つのガラス表面。この後者の接着エネルギは1対のガラス表面を取り外し可能とするには高すぎる;及び(b)室温で結合され、高温に加熱された、低表面接着エネルギ(表面当たり〜12mJ/m)を有するフルオロポリマーで完璧にコーティングされた2つのガラス表面。後者の場合(b)では、これらの表面は(表面が合わされたときの合計接着エネルギ〜24mJ/mが低すぎるため)結合しないだけでなく、極性反応基が存在しない(又は少なすぎる)ことにより高温でも結合しない。これら2つの極端な例の間に、例えば50〜1000mJ/mという、所望の度合いの制御された結合を生成できる接着エネルギの範囲が存在する。従って本発明者らは、これら2つの極端な例の間の接着エネルギをもたらす表面修飾層30であって、FPD加工の苛烈さを通して一対の基板(例えばキャリア10及び薄型シート20)を互いに結合したまま維持するのに十分なだけでなく、(例えば≧400℃の高温加工後でさえ)加工完了後に薄型シート20をキャリア10から取り外すことができるような度合いの、制御された結合を生成できるような、表面修飾層30を提供する様々な方法を発見した。更に、キャリア10からの薄型シート20の取り外しは、機械的力によって、及び少なくとも薄型シート20への壊滅的な損傷が存在しないような方法で、及び好ましくはキャリア10への壊滅的な損傷も存在しないように、実施できる。
等式(5)は、接着エネルギが、4つの表面エネルギパラメータに、存在する場合は共有結合エネルギ及び静電エネルギを加えた関数であることを記述している。
適切な接着エネルギは、表面修飾剤、即ち表面修飾層30の賢明な選択、及び/又は接着前の表面の熱処理によって達成できる。適切な接着エネルギは、結合表面14及び結合表面24のうちの一方又は両方の化学修飾剤の選択によって得ることができ、これはファンデルワールス(及び/又は水素結合;これらの用語は明細書全体を通して相互交換可能なものとして使用される)接着エネルギ、並びに(例えばおよそ≧400℃の)高温加工によってもたらされる同様の共有結合接着エネルギを制御する。例えば、(最初は表面エネルギの高極性成分によってシラノール基で飽和している)SC1洗浄済みガラスの結合表面を用意し、これを低エネルギフルオロポリマーでコーティングすることにより、極性及び非極性基による表面の被覆画分の制御が得られる。これは室温での初期ファンデルワールス(及び/又は水素)結合の制御だけでなく、より高温での共有結合の程度/度合いの制御も提供する。室温での初期ファンデルワールス(及び/又は水素)結合の制御は、一方の表面の他方に対する結合を提供することによって、真空及び/又は回転‐濯ぎ‐乾燥(spin‐rinse‐dry:SRD)タイプの加工を可能とするために実施され、いくつかの例では、一方の表面の他方に対する容易に形成される結合を提供するために実施され、この容易に形成される結合は、スクイージを用いて又は減圧環境を用いて薄型シート20をキャリア10に圧着する場合に実施されるような、薄型シート20の全面に亘って外部から印加される力の印加なしに、達成できる。即ち初期ファンデルワールス結合は、薄型シート及びキャリアを、これらが一方を保持して他方が重力を受けても分離しないように一体として保持する、少なくとも最小の度合いの結合をもたらす。殆どの場合、初期ファンデルワールス(及び/又は水素)結合は、真空、SRD及び超音波加工を通して、薄型シートがキャリアから層間剥離することなく物品が受けることができる程度のものでもある。表面修飾層30(表面修飾層30を作製する材料及び/又は表面修飾層30を適用する表面の表面処理を含む)を介した、並びに/又は結合表面を一体に結合する前の結合表面の熱処理による、ファンデルワールス(及び/又は水素結合)並びに共有相互作用の両方の、適切なレベルでのこのような正確な制御は、FPDスタイルの加工全体を通して薄型シート20をキャリア10に接着できるようにし、また同時にFPDスタイルの加工後に薄型シート20をキャリア10から(薄型シート20及び/又はキャリアへの損傷を回避する適切な力によって)分離できるようにする、所望の接着エネルギを達成する。更に、適切な状況においては、接着エネルギの別のレベルの制御を提供するために、一方又は両方のガラス表面に静電荷を適用してよい。
高温加工、FPD加工、例えばp‐Si及び酸化物TFTによる製造は典型的には、400℃超、500℃超、及びいくつかの例では600℃以上、最高650℃の温度での熱プロセスを伴い、これは表面修飾層30の不在下での薄型シート20とキャリア10とのガラス‐ガラス間結合を引き起こす。従って、Si‐O‐Si結合の形成の制御は、再使用可能なキャリアに繋がる。高温でのSi‐O‐Si結合の形成の制御の1つの方法は、結合されることになる表面上の表面ヒドロキシルの濃度を低下させることである。
温度の関数としての、シリカ上の表面ヒドロキシル濃度の、Ilerによるプロット(R. K. Iller: The Chemistry of Silica (Wiley−Interscience, New York, 1979))である図3に示すように、平方nmあたりのヒドロキシル(OH基)の数は、表面の温度が上昇するに従って減少する。従って、シリカ表面(即ちガラス表面、例えば結合表面14及び/又は結合表面24)の加熱は、表面ヒドロキシルの濃度を低下させ、2つのガラス上のヒドロキシルが相互作用する蓋然性を低下させる。このような表面ヒドロキシル濃度の低下は、単位面積あたりの形成されるSi‐O‐Si結合を減少させ、接着力を低下させる。しかしながら、表面ヒドロキシルの排除には、高温(表面ヒドロキシルを完全に排除するためには750℃超)での長いアニーリング時間が必要となる。このような長いアニーリング時間及び高いアニーリング温度は、コストが高いプロセス、及び典型的なディスプレイ用ガラスの歪み点を超えることが多いため実際的ではないプロセスに繋がる。
以上の分析から、本発明者らは、以下の3つのコンセプトを両立させることによって、高温、例えば(LTPS加工を含む)FPD加工に好適な薄型シート及びキャリアを含む物品を作製できることを発見した:
(1)初期室温結合を促進するような、かつ非高温FPDプロセス、例えば真空加工、SRD加工及び/又は超音波加工に耐えるために十分な、(例えば表面結合前に表面あたり>40mJ/mの表面エネルギを有する)中程度の接着エネルギを生成するための、ファンデルワールス(及び/又は水素)結合を制御することによって実施できる初期室温結合の制御による、1つ若しくは複数のキャリア及び/又は薄型シート結合表面の修飾;
(2)層間剥離並びに/又はデバイス製造において許容できない汚染、例えば上記物品を使用できる半導体及び/又はディスプレイ作製プロセスにとって許容できない汚染を引き起こし得る脱気が発生しない、高温プロセスに耐えられるよう熱的に安定した方法での、キャリア及び/又は薄型シートの表面修飾;並びに
(3)キャリア表面ヒドロキシル濃度、及び高温(例えば≧400℃の温度)において強い共有結合を形成できる他の種の濃度を制御することによって実施できる、高温での接着の制御であって、これにより、キャリアの結合表面と薄型シートの結合表面との間の結合エネルギを制御して、高温加工(特に500〜650℃の範囲の熱的プロセスを経る)高温加工の後でさえ、キャリアと薄型シートとの間の接着力を、少なくとも薄型シートを損傷せずに(及び好ましくは薄型シート又はキャリアを損傷せずに)薄型シートをキャリアから剥離できるものの、キャリアと薄型シートとの間の結合を、これらが加工中に層間剥離しないように維持するのに十分な範囲内のままとすることができる、高温での接着の制御。
更に本発明者らは、表面修飾層30を適切な結合表面調製と共に使用することにより、上述のコンセプトを両立させることにより、制御された結合領域、即ち薄型シート20とキャリア10との間に、(真空及び湿式プロセスを含む)FPDタイプのプロセスで物品2を加工できるようにするために十分な室温での結合を提供する結合領域、並びにまた、物品2が高温加工、例えばFPDタイプ加工又はLTPS加工を終了した後で、キャリア10から薄型シート20を(少なくとも薄型シートを損傷せずに、及び好ましくはキャリアも損傷せずに)取り外すことができるように、(≧400℃の高温でさえある)薄型シート20とキャリア10との間の共有結合を制御する結合領域を、容易に達成できることを発見した。キャリアからの薄型シートの完全な分離を提供し、高温加工に好適な、可能性のある結合表面の調製及び表面修飾層を評価するために、一連の試験を用いて、それぞれの適性を評価した。異なるFPD用途は異なる要件を有するが、LTPS及び酸化物TFTプロセスはこの時点では最も厳格であると思われ、従ってこれらのプロセス中のステップの代表に対する試験を選択した。というのはこれらは物品2に関する望ましい用途であるためである。真空プロセス、(SRD及び超音波タイププロセスを含む)湿式洗浄並びに湿式エッチングは、多くのFPD用途に共通である。典型的なaSi TFTによる製造は、最高320℃での加工を必要とする。酸化物TFTプロセスでは400℃でのアニーリングが使用され、LTPS加工では600℃を超える結晶化及びドーパント活性化ステップが使用される。従って以下の5つの試験を使用して、特定の結合表面調製及び表面修飾層30が、FPD加工全体を通して薄型シート20をキャリア10に結合したままとすることができ、その一方で(≧400℃の温度での加工を含む)このような加工後に(薄型シート20及び/又はキャリア10を損傷せずに)薄型シート20をキャリア10から取り外すことができるようにすることができる可能性を評価した。これらの試験は順番に実施され、後続の試験を許容できないタイプの不具合がない限り、試料は1つの試験から次の試験へと持ち越された。
(1)真空試験。真空適合性試験を、STS Multiplex PECVDロードロック(SPTS(英国ニューポート)から入手可能)で実施した。このロードロックを、軟質ポンプバルブを備えたEbara A10Sドライポンプ(Ebara Technologies Inc.,(カリフォルニア州サクラメント)から入手可能)によってポンピングした。ロードロック内に試料を配置し、続いてロードロックを45秒で大気圧から70mTorr(約9.3Pa)までポンピングした。以下の表の「真空(Vacuum)」の列に表示「F」で示される不具合は:(a)キャリアと薄型シートとの間の接着(裸眼での目視検査による。薄型シートがキャリアから剥落した、若しくはキャリアから部分的に剥離した場合に、不具合が発生したと見做した);(b)キャリアと薄型シートとの気泡発生(裸眼での目視検査によって決定‐加工前後に試料の写真を撮影して比較した。補助器具を使用せずに目で視認できる寸法まで欠陥のサイズが増大した場合に、不具合が発生したと決定した);又は(c)キャリアに対する薄型シートの移動(裸眼での目視検査によって決定‐加工前後に試料の写真を撮影して比較した。結合の移動という欠陥、例えば気泡が存在した場合、若しくは縁部が剥離した場合、若しくはキャリア上での薄型シートの移動が存在した場合に、不具合が発生したと決定した)が存在した場合に、発生したものと見做した。以下の表では、「真空」の列の表示「P」は、上述の基準に従って試料に不具合が発生しなかったことを示す。
(2)湿式プロセス試験。湿式プロセス適合性試験を、Semitool model SRD‐470S(Applied Materials(カリフォルニア州サンタクララ)から入手可能)を用いて実施した。この試験は、60秒間の500rpmでの濯ぎ、500rpmでの15MOhm‐cmまでのQ‐rinseによる洗浄、10秒間の500rpmでのパージ、90秒間の1800rpmでの乾燥、及び温かい窒素流下での180秒間の2400rpmでの乾燥からなる。「SRD」の列に表示「F」で示されるような不具合は:(a)キャリアと薄型シートとの間の接着(裸眼での目視検査による。薄型シートがキャリアから剥落した、若しくはキャリアから部分的に剥離した場合に、不具合が発生したと見做した);(b)キャリアと薄型シートとの気泡発生(裸眼での目視検査によって決定‐加工前後に試料の写真を撮影して比較した。補助器具を使用せずに目で視認できる寸法まで欠陥のサイズが増大した場合に、不具合が発生したと決定した);又は(c)キャリアに対する薄型シートの移動(裸眼での目視検査によって決定‐加工前後に試料の写真を撮影して比較した。結合の移動という欠陥、例えば気泡が存在した場合、若しくは縁部が剥離した場合、若しくはキャリア上での薄型シートの移動が存在した場合に、不具合が発生したと決定した);又は(d)薄型シートの下側への水の侵入(50倍光学顕微鏡を用いた目視検査によって決定‐液体若しくは残滓が観察可能である場合に、不具合が発生したと決定した)が存在した場合に、発生したものと見做した。以下の表では、「SRD」の列の表示「P」は、上述の基準に従って試料に不具合が発生しなかったことを示す。
(3)400℃までの温度の試験。400℃プロセス適合性試験を、Alwin21 Accuthermo610 RTP(Alwin21(カリフォルニア州サンタクララ)から入手可能)を用いて実施した。薄型シートが結合したキャリアを、室温から400℃まで6.2℃/分で加熱し、400℃の温度で600秒保持した後、300℃まで1℃/分で冷却するサイクルに供した。続いてキャリア及び薄型シートを室温まで冷却した。「400℃」の列に表示「F」で示されるような不具合は:(a)キャリアと薄型シートとの間の接着(裸眼での目視検査による。薄型シートがキャリアから剥落した、若しくはキャリアから部分的に剥離した場合に、不具合が発生したと見做した);(b)キャリアと薄型シートとの気泡発生(裸眼での目視検査によって決定‐加工前後に試料の写真を撮影して比較した。補助器具を使用せずに目で視認できる寸法まで欠陥のサイズが増大した場合に、不具合が発生したと決定した);又は(c)キャリアと薄型シートとの間の接着の増大であって、このような接着の増大により、薄型シート若しくはキャリアを損傷しない、(薄型シートとキャリアとの間のカミソリの刃の挿入による、及び/若しくは100mm四方の薄型ガラス(Saint Gobain Performance Plastic(ニューヨーク州フージック)製K102シリーズ))に取り付けられた幅1インチ(2.54センチメートル)×長さ6インチ(15.24センチメートル)+2〜3インチ(5.08〜7.62センチメートル)のKapton(商標)テープの片を薄型シートに付着させて、このテープを引っ張ることによる)キャリアからの薄型シートの剥離が妨害される、キャリアと薄型シートとの間の接着の増大(薄型シート及びキャリアの分離を試みたときに薄型シート若しくはキャリアに損傷が発生した場合、若しくは上記剥離方法のいずれかの実施によって薄型シートとキャリアとを剥離させられなかった場合に、不具合が発生したと見做した)が存在した場合に、発生したと見做した。更に、薄型シートをキャリアと結合した後、かつ熱サイクルの前に、剥離試験を各試料に対して実施して、いずれの関連する表面処理を含む特定の材料が、温度サイクル前にキャリアからの薄型シートの剥離を可能としてしまうかどうかを決定した。以下の表では、「400℃」の列の表示「P」は、上述の基準に従って試料に不具合が発生しなかったことを示す。
(4)600℃までの温度の試験。600℃プロセス適合性試験を、Alwin21 Accuthermo610 RTPを用いて実施した。薄型シートを有するキャリアを、室温から600℃まで9.5℃/分で加熱し、600℃の温度で600秒保持した後、300℃まで1℃/分で冷却するサイクルに供した。続いてキャリア及び薄型シートを室温まで冷却した。「600℃」の列に表示「F」で示されるような不具合は:(a)キャリアと薄型シートとの間の接着(裸眼での目視検査による。薄型シートがキャリアから剥落した、若しくはキャリアから部分的に剥離した場合に、不具合が発生したと見做した);(b)キャリアと薄型シートとの気泡発生(裸眼での目視検査によって決定‐加工前後に試料の写真を撮影して比較した。補助器具を使用せずに目で視認できる寸法まで欠陥のサイズが増大した場合に、不具合が発生したと決定した);又は(c)キャリアと薄型シートとの間の接着の増大であって、このような接着の増大により、薄型シート若しくはキャリアを損傷しない、(薄型シートとキャリアとの間のカミソリの刃の挿入による、及び/若しくは上述のようなKaptonテープの片を薄型シートに付着させて、このテープを引っ張ることによる)キャリアからの薄型シートの剥離が妨害される、キャリアと薄型シートとの間の接着の増大(薄型シート及びキャリアの分離を試みたときに薄型シート若しくはキャリアに損傷が発生した場合、若しくは上記剥離方法のいずれかの実施によって薄型シートとキャリアとを剥離させられなかった場合に、不具合が発生したと見做した)が存在した場合に、発生したと見做した。更に、薄型シートをキャリアと結合した後、かつ熱サイクルの前に、剥離試験を各試料に対して実施して、特定の材料及びいずれの関連する表面処理が、温度サイクル前にキャリアからの薄型シートの剥離を可能としてしまうかどうかを決定した。以下の表では、「600℃」の列の表示「P」は、上述の基準に従って試料に不具合が発生しなかったことを示す。
(5)超音波試験。超音波適合性試験を、4タンクラインで物品を洗浄することによって実施した。物品を、タンク#1からタンク#4までの各タンク内で順次処理した。4つのタンクそれぞれに関するタンクの寸法は、高さ18.4インチ(46.736センチメートル)×幅10インチ(25.4センチメートル)×奥行き15インチ(38.1センチメートル)であった。2つの洗浄タンク(#1及び#2)は、50℃のDI水中の、横浜油脂工業株式会社(日本、横浜市)から入手可能な1%セミクリーンKGを含んでいた。洗浄タンク#1を、NEY Prosonik2 104kHz超音波生成器(Blackstone‐NET Ultrasonic(ニューヨーク州ジェームズタウン)から入手可能)を用いて撹拌し、洗浄タンク#2を、NEY Prosonik2 104kHz超音波生成器を用いて撹拌した。2つの濯ぎタンク(タンク#3及びタンク#4)は、50℃のDI水を含んでいた。濯ぎタンク#3を、NEY sweepsonik2D 72kHz超音波生成器を用いて撹拌し、濯ぎタンク#4を、NEY sweepsonik2D 104kHz超音波生成器を用いて撹拌した。プロセスをタンク#1〜4それぞれにおいて10分間実施し、続いてタンク#4から試料を取り出した後、回転‐濯ぎ‐乾燥(spin‐rinse‐dry:SRD)を行った。「超音波(Ultrasonic)」の列に表示「F」で示されるような不具合は:(a)キャリアと薄型シートとの間の接着(裸眼での目視検査による。薄型シートがキャリアから剥落した、若しくはキャリアから部分的に剥離した場合に、不具合が発生したと見做した);(b)キャリアと薄型シートとの気泡発生(裸眼での目視検査によって決定‐加工前後に試料の写真を撮影して比較した。補助器具を使用せずに目で視認できる寸法まで欠陥のサイズが増大した場合に、不具合が発生したと決定した);又は(c)他の光沢に関する欠陥の形成(50倍光学顕微鏡を用いた目視検査によって決定。薄型シートとキャリアとの間に捕捉された、以前には観察されなかった粒子が存在した場合に、不具合が発生したと決定した);又は(d)薄型シートの下側への水の侵入(50倍光学顕微鏡を用いた目視検査によって決定‐液体若しくは残滓が観察可能である場合に、不具合が発生したと決定した)が存在した場合に、発生したものと見做した。以下の表では、「超音波」の列の表示「P」は、上述の基準に従って試料に不具合が発生しなかったことを示す。更に、以下の表では、「超音波」の列の空欄は、試料をこの方法で試験しなかったことを示す。
加熱によるヒドロキシルの削減による、結合表面の調製
物品2がFPD加工を良好に受けられる(即ち薄型シート20が加工中にキャリア10に結合したままであるにもかかわらず、高温加工を含む加工後にキャリア10から分離できる)ようにするための、表面修飾層30を有する結合表面14、24のうちの1つ又は複数の修正の利点は、キャリア10及び薄型シート20を有し、これらの間に表面修飾層30を有しない物品2を加工することによって実証した。具体的には、まず、加熱してヒドロキシル基を削減することによって、ただし表面修飾層30を用いずに、結合表面14、24の調製を試みた。キャリア10及び薄型シート20を洗浄し、結合表面14及び24を互いに結合した後、物品2を試験した。結合のためにガラスを調製するための典型的な洗浄プロセスは、ガラスを希釈過酸化水素及び塩基(通常は水酸化アンモニウムであるが、水酸化テトラメチルアンモニウム溶液、例えばJT Baker JTB‐100又はJTB‐111も使用してよい)中で洗浄する、SC1洗浄プロセスである。洗浄により結合表面から粒子が除去され、表面エネルギが明らかになった。即ち洗浄により、表面エネルギのベースラインが得られる。洗浄の方法はSC1である必要はなく、他のタイプの洗浄を用いてよい。というのは、その洗浄のタイプが表面上のシラノール基に対して極めて僅かな影響しか有しないためである。様々な試験の結果を以下の表1に示す。
強力であるが分離可能な、初期の室温でのファンデルワールス及び/又は水素結合は、100mm四方×厚さ100マイクロメートルの薄型ガラスシート、及びガラスキャリアである直径150mm、厚さ0.50又は0.63mmのシングルミーンフラット(single mean flat:SMF)ウェハ(それぞれEagle XG(登録商標)ディスプレイガラス(アルカリ非含有アルミノボロシリケートガラス、平均表面粗度Raおよそ0.2nm、Corning Incorporation(ニューヨーク州コーニング)から入手可能)からなる)を単に洗浄することによって生成される。この実施例では、ガラスを、40:1:2のDI水:JTB‐111:過酸化水素の65℃の浴で10分間洗浄した。残留した水を除去するために、薄型ガラス又はガラスキャリアを、窒素中で400℃で10分間アニーリングしてもしなくてもよい。以下の表1の「キャリア」の列又は「薄型ガラス」の列の表示「400℃」は、試料を窒素中で400℃で10分間アニーリングしたことを示す。FPDプロセス適合性試験は、このSC1‐SC1初期室温結合は、真空、SRD及び超音波試験を通過するために十分な機械的強度を有することを実証している。しかしながら、400℃以上の加熱により、薄型ガラスとキャリアとの間の恒久的な結合が生成され、即ち薄型ガラスシートは、薄型ガラスシート及びキャリアのうちの一方又は両方を損傷せずにキャリアから取り外せなかった。そしてこれは、キャリア及び薄型ガラスのそれぞれが表面ヒドロキシルの濃度を低下させるためのアニーリングステップを有する実施例1cについても当てはまった。従って、加熱のみ、並びにこれに続く、表面修飾層30を用いないキャリア10及び薄型シート12の結合による、結合表面14、24の上述のような調製は、温度が≧400℃となるプロセスに関しては好適に制御された結合ではない。
Figure 2017500753
ヒドロキシルの削減及び表面修飾層による、結合表面の調製
例えば熱処理によるようなヒドロキシルの削減、及び表面修飾層30を共に用いて、結合表面14、24の相互作用を制御してよい。例えば、結合表面14、24の結合エネルギ(極性/分散エネルギ成分による室温でのファンデルワールス及び/又は水素結合、並びに共有結合エネルギ成分による高温での共有結合の両方)を制御して、室温結合が困難な結合強度から、室温での結合及び高温加工後の結合表面の分離を容易にできる結合強度まで、高温加工後に表面が損傷なしに分離するのを妨げる結合強度まで、変化する結合強度を提供できる。いくつかの用途では、結合が全くない、又は極めて弱い結合しかないことが望ましい場合がある。例えば(プロセス温度≧500℃又は≧600℃及び最高650℃が達成され得る)高温プロセスのためのキャリアを提供する、他の用途では、薄型シートとキャリアとを最初に一体としながら、高温での共有結合を防止又は制限するために、室温において十分なファンデルワールス及び/又は水素結合を有することが望ましい。更に他の用途に関しては、薄型シートとキャリアとを最初に一体とし、また高温において強力な共有結合を発現させるために、十分な室温での結合を有することが望ましい場合がある。理論によって拘束されることを望むものではないが、いくつかの例では、表面修飾層を用いて、薄型シート及びキャリアを最初に一体とする室温での結合を制御してよく、その一方で、(表面を加熱することによる、又は例えばヒドロキシル基と表面修飾層との反応によるもののような)表面上のヒドロキシル基の削減を用いて、共有結合、特に高温での共有結合を制御してよい。
表面修飾層30のための材料は、結合表面14、24にエネルギ(例えば1つの表面に関して測定した場合の、極性及び分散成分を含むエネルギ<40mJ/m)を提供し、従って弱い結合しか生成されない。一例では、ヘキサメチルジシラザン(HMDS)を用い、表面ヒドロキシルと反応してトリメチルシリル(TMS)末端表面を残すことにより、この低エネルギ表面を生成してよい。表面修飾層としてのHMDSは、表面の加熱と共に使用して、室温及び高温両方での結合を制御するためにヒドロキシル濃度を削減してよい。各結合表面14、24に関して好適な結合表面の調製を選択することによって、ある範囲の能力を有する物品を達成できる。より具体的には、高温加工を対象として、真空、SRD、400℃(部分a及びc)並びに600℃(部分a及びc)加工試験それぞれに耐える(又はこれらそれぞれを通過する)ことができるように、薄型シート20とキャリア10との間の好適な結合を達成できる。
一例では、SC1洗浄の後に薄型ガラス及びキャリア両方のHMDS処理を続けることにより、ファンデルワールス(及び/又は水素結合)力を用いて室温で結合するのが困難な、結合が弱い表面が生成される。薄型ガラスをキャリアに結合するために、機械的力を印加する。表2の実施例2aに示すように、この結合は、真空試験及びSRD加工においてキャリアの歪みが観察され、400℃及び600℃熱的プロセスにおいて(脱気によるものと思われる)気泡が観察され、超音波加工後に粒子による欠陥が観察された程度に十分に弱い。
別の例では、1つの表面のみ(ここに挙げる例ではキャリア)のHMDS処理によって、真空及びSRD加工に耐える、より強い室温での接着が生成される。しかしながら、400℃以上での熱プロセスは、薄型ガラスをキャリアに恒久的に結合した。これは、シリカ上のトリメチルシリル基の最大表面被覆範囲が、Sindorf and Macielによって、J. Phys. Chem. 1982, 86, 5208‐5219において、2.8/nmであると計算され、またSurawala et. alによって、Journal of Non‐Crystalline Solids 316 (2003) 349‐363において、完全にヒドロキシル化されたシリカに関する4.6〜4.9/nmのヒドロキシル濃度に対して2.7/nmであると測定されているため、予想されないことではない。即ち、トリメチルシリル基はある程度の表面ヒドロキシルと結合するものの、ある程度の結合していないヒドロキシルが残ることになる。従って、十分な時間及び温度を想定して、薄型ガラス及びキャリアを恒久的に結合するための、表面シラノール基の濃縮が考えられる。
HMDSへの曝露前に表面ヒドロキシル濃度を低下させて、表面エネルギの極性成分の増大を得るために、ガラス表面を加熱することにより、様々な表面エネルギを生成できる。これは、高温での共有Si‐O‐Si結合の形成を推進する力を低下させ、またより強い室温での結合、例えばファンデルワールス(及び/又は水素)結合をもたらす。図4は、アニーリング後及びHMDS処理後の、「Eagle XG」ディスプレイガラスキャリアの表面エネルギを示す。HMDSへの曝露前のアニーリング温度の上昇により、HMDSへの曝露後の極性の寄与(線404)が上昇することによって、合計(極性及び分散)表面エネルギ(線402)が増大する。また、上記合計表面エネルギに対する分散性分の寄与(線406)は、熱処理によって概ね不変のままであることも分かる。理論によって拘束されることを望むものではないが、HMDS処理後の表面のエネルギの極性成分の増大、及びこれによる合計エネルギの増大は、HMDSによる準単層TMS被覆により、HMDS処理後でさえ、ある程度のガラス表面領域が露出しているためであると考えられる。
実施例2bでは、薄型ガラスシートを真空中で150℃の温度で1時間加熱した後、HMDSのコーティングを有する熱処理されていないキャリアと結合した。薄型ガラスシートのこの熱処理は、≧400℃の温度におけるキャリアへの薄型ガラスシートの恒久的な結合を防止するには不十分であった。
表2の実施例2c〜2eに示すように、HMDSへの曝露前のガラス表面のアニーリング温度を変化させることにより、ガラス表面の結合エネルギを変化させて、ガラスキャリアと薄型ガラスシートとの間の結合を制御できる。
実施例2cでは、キャリアを真空中で190℃の温度で1時間アニーリングした後、HMDSに曝露して、表面修飾層30が得られた。更に、薄型ガラスシートを450℃の温度で1時間アニーリングした後、キャリアと結合した。得られた物品は、真空、SRD及び400℃試験(部分a及びc、ただし部分bは気泡発生の増大により通過しなかった)に耐えたが、600℃試験は通過しなかった。従って、実施例2bに比べて高温結合に対する耐性は上昇したものの、これは、全ての薄型シートをキャリアから取り外せる≧600℃の温度における加工のための物品を製造するには不十分であった。
実施例2dでは、キャリアを真空中で340℃の温度で1時間アニーリングした後、HMDSに曝露して、表面修飾層30が得られた。ここでもまた、薄型ガラスシートを450℃の温度で1時間アニーリングした後、キャリアと結合した。結果は実施例2cのものと同様であり、物品は、真空、SRD及び400℃試験(部分a及びc、ただし部分bは気泡発生の増大により通過しなかった)に耐えたが、600℃試験は通過しなかった。
実施例2eに示すように、薄型ガラス及びキャリアを真空中で450℃で1時間アニーリングした後、キャリアをHMDSに曝露し、続いてキャリア及び薄型ガラスシートを結合することにより、恒久的な結合に対する温度耐性が改善される。450℃までの両方の表面のアニーリングにより、600℃で10分間のRTPアニーリング後の、恒久的な結合が防止され、即ちこの試料は600℃加工試験(部分a及びc、ただし部分bは気泡発生の増大により通過しなかった;400℃試験と同様の結果が得られた)を通過した。
Figure 2017500753
上述の実施例2a〜2cでは、キャリア及び薄型シートはそれぞれ「Eagle XG」ガラスであり、キャリアは、直径150mm、厚さ630マイクロメートルのSMFウェハであり、薄型シートは、100mm四方で厚さ100マイクロメートルであった。HMDSは、YES‐5 HMDSオーブン(Yield Engineering Systems(カリフォルニア州サンノゼ)から入手可能)内でのパルス蒸着によって適用され、1原子分の層厚さ(即ち約0.2〜1nm)としたが、表面被覆範囲は1つの単相未満であってよく、即ちMacielに記載され、上述されている通り、表面ヒドロキシルの一部はHMDSによって被覆されない。表面修飾層の厚さが小さいため、デバイス製造中の汚染を引き起こし得る脱ガスのリスクが殆ど存在しない。また、表2において「SC1」の表示で示されているように、キャリア及び薄型シートはそれぞれ、加熱処理又はいずれの後続のHMDS処理前に、SC1プロセスを用いて洗浄した。
実施例2aと実施例2bとの比較は、表面修飾層を含む表面の数を変化させることによって、薄型シートとキャリアとの間の結合エネルギを制御できることを示す。そして、結合エネルギの制御を用いて、2つの結合表面間の結合力を制御できる。また、実施例2b〜2eの比較は、表面修飾材料の適用前に、結合表面が供される熱処理のパラメータを変化させることによって、表面の結合エネルギを制御できることを示す。ここでもまた、熱処理を用いて、表面ヒドロキシルの数を低減でき、またこれによって特に高温での共有結合の度合いを制御できる。
結合表面上の表面エネルギを制御するために異なる様式で作用し得る他の材料を、表面修飾層30のために使用して、2つの表面間の室温及び高温での結合力を制御してよい。例えば、一方又は両方の結合表面を修飾して、種、例えばヒドロキシルを被覆するか又は立体的に隠す表面修飾層との中程度の結合力を生成して、高温におけるキャリアと薄型シートとの間の強い恒久的な共有結合の形成を防止する場合、キャリアから完全に取り外すことができる薄型シートを生成できる。調整可能な表面エネルギを生成するため、及び表面ヒドロキシルを被覆して共有結合の形成を防止するための1つの方法は、プラズマポリマーフィルム、例えばフルオロポリマーフィルムの堆積である。プラズマ重合化は、大気圧又は減圧下、並びにソースガス、例えば:フルオロカーボン源(CF4、CHF3、C2F6、C3F6、C2F2、CH3F、c4h8、クロロフルオロカーボン又はハイドロクロロフルオロカーボンを含む);炭化水素、例えばアルカン(メタン、エタン、プロパン、ブタンを含む)、アルケン(エチレン、プロピレンを含む)、アルキン(アセチレンを含む)及び芳香族(ベンゼン、トルエンを含む);水素;並びに例えばSF6である他のガス源からのプラズマ励起(DC又はDF並列プレート、誘導結合されたプラズマ(ICP)電子サイクロトロン共鳴(ECR)ダウンストリームマイクロ波又はRFプラズマ)下で、薄いポリマーフィルムを堆積させる。プラズマ重合化は、高架橋材料の層を生成する。反応条件及びソースガスの制御を用いて、フィルム厚さ、密度及び化学的性質を制御して、官能基を所望の用途に適合させることができる。
図5は、CF4‐C4F8混合物からOxford ICP380エッチング工具(Oxford Instruments(英国オックスフォードシャー)から入手可能)を用いて堆積させたプラズマ重合化フルオロポリマー(PPFP)フィルムの、(極性成分(線504)及び分散成分(線506)を含む)合計表面エネルギ(線502)を示す。フィルムを「Eagle XG」ガラスのシート上に堆積させ、分光エリプソメトリーにより、フィルムの厚さが1〜10nmであることが示された。図5から分かるように、40%未満のC4F8を含有するプラズマ重合化フルオロポリマーフィルムで処理されたガラスキャリアは、>40mJ/mの表面エネルギを示し、ファンデルワールス又は水素結合によって、室温で薄型ガラスとキャリアとの間の制御された結合を生成する。結合の促進は、最初にキャリアと薄型シートとを室温で結合させる際に観察される。即ち薄型シートをキャリア上に配置して、これらを一点で一体に圧着する際、波面がキャリアを横断するが、表面修飾層を有しないSC1処理済み表面に関して観察されるものよりも速度が低い。この制御された結合は、真空、湿式、超音波及び600℃までの熱的プロセスを含む全ての標準的なFPDプロセスに耐えるのに十分であり、即ちこの制御された結合は、薄型ガラスがキャリアから移動又は層間剥離することなく、600℃加工試験を通過した。剥離は、上述のようにカミソリの刃及び/又はKaptomテープを用いて剥離させることによって達成した。(上述のようにして堆積された)2つの異なるPPFPフィルムのプロセス適合性を表3に示す。実施例3aのPPFP1は、C4F8/(C4F8+CF4)=0で形成され、即ちC4F8ではなくCF4/H2で形成され、また実施例3bのPPFP2は、C4F8/(C4F8+CF4)=0.38で堆積された。両方のタイプのPPFPフィルムは、真空、SRD、400℃及び600℃加工試験に耐えた。しかしながら、PPFP2の超音波洗浄の20分後に層間剥離が観察され、これは、接着力がこの加工に耐えるには不十分であることを示す。それにもかかわらず、PPFP2の表面修飾層は、超音波加工が必要ないようないくつかの用途に関して有用であり得る。
Figure 2017500753
上述の実施例3a及び3bでは、キャリア及び薄型シートはそれぞれ「Eagle XG」ガラスであり、キャリアは、直径150mm、厚さ630マイクロメートルのSMFウェハであり、薄型シートは、100mm四方で厚さ100マイクロメートルであった。表面修飾層の厚さが小さいため、デバイス製造中の汚染を引き起こし得る脱ガスのリスクが殆ど存在しない。更に、表面修飾層が劣化しないように思われるため、ここでもまた、脱気のリスクが同様に低い。また、表3に示されているように、各薄型シートは、真空中で1時間の150℃での加熱処理前に、SC1プロセスを用いて洗浄した。
表面エネルギを制御するために他の様式で機能し得る更に他の材料を、表面修飾層として使用して、薄型シートとキャリアと間の室温及び高温での結合力を制御してよい。例えば、制御された結合を生成できる結合表面は、キャリア及び/又はガラス薄型シートをシラン処理することによって生成できる。シランは、好適な表面エネルギを生成するため、及びその用途に十分な熱安定性を得るために、選択される。処理されるキャリア又は薄型シートを、例えばO2プラズマ又はUV‐オゾン、及びSC1又は標準洗浄剤2(SC2、当該技術分野で公知)であるプロセスによって洗浄して、シランが表面シラノール基と反応するのを妨げる有機物及び他の不純物(例えば金属)を除去できる。他の化学作用、例えばHF又はH2SO4洗浄化学作用に基づく洗浄も使用してよい。キャリア若しくは薄型シートを加熱して、(HMDSの表面修飾層に関連して上述したように)シランの適用前に表面ヒドロキシル濃度を制御してよく、及び/又はキャリア若しくは薄型シートをシランの適用後に加熱して、表面ヒドロキシルによるシランの濃縮を完了してよい。シラン化後の未反応のヒドロキシル基の濃度は、≧400℃の温度での薄型シートとキャリアとの間の恒久的な結合を防止する、即ち制御された結合を形成するために、結合前に十分低くしてよい。このアプローチについて以下に説明する。
実施例4a
結合表面がO2プラズマ及びSC1で処理されたガラスキャリアを、続いてトルエン中の1%ドデシルトリエトキシシラン(DDTS)で処理し、真空中で150℃で1時間アニーリングして、濃縮を完了した。DDTS処理済み表面は、45mJ/mの表面エネルギを有する。表4に示すように、(SC1洗浄され、真空中で400℃で1時間加熱された)ガラス薄型シートを、DDTS表面修飾層をその上に有するキャリア結合表面に結合した。この物品は、湿式及び真空プロセス試験に耐えたが、400℃を超える熱的プロセスには、シランの熱分解によってキャリアの下側に気泡が形成されることなく耐えることはできなかった。この熱分解は、良好な熱安定性のコーティングを生成するメチル、ジメチル及びトリメチルシラン(x=1〜3、R1=CH)を除く、全ての直鎖アルコキシ及びクロロアルキルシランR1Si(OR2)(Cl)(ここでx=1〜3、及びy+z=4‐x)に関して予想される。
実施例4b
結合表面がO2プラズマ及びSC1で処理されたガラスキャリアを、続いてトルエン中の1%3,3,3,トリフルオロプロピルトリエトキシシラン(TFTS)で処理し、真空中で150℃で1時間アニーリングして、濃縮を完了した。TFTS処理済み表面は、47mJ/mの表面エネルギを有する。表4に示すように、(SC1洗浄され、続いて真空中で400℃で1時間加熱された)ガラス薄型シートを、TFTS表面修飾層をその上に有するキャリア結合表面に結合した。この物品は、ガラスキャリアにガラス薄型シートが恒久的に結合することなく、真空、SRD及び400℃プロセス試験に耐えた。しかしながら、600℃試験は、シランの熱分解によってキャリアの下側に形成された気泡を生成した。これはプロピル基の熱安定性が限定されていることから、予想されないものではなかった。この試料は気泡発生により600℃試験を通過しなかったが、この実施例の材料及び熱処理は、気泡及びその悪影響、例えば表面の平坦性の低下又は波打ちの増大を許容できるようないくつかの用途のために使用してよい。
実施例4c
結合表面がO2プラズマ及びSC1で処理されたガラスキャリアを、続いてトルエン中の1%フェニルトリエトキシシラン(PTS)で処理し、真空中で200℃で1時間アニーリングして、濃縮を完了した。PTS処理済み表面は、54mJ/mの表面エネルギを有する。表4に示すように、(SC1洗浄され、続いて真空中で400℃で1時間加熱された)ガラス薄型シートを、PTS表面修飾層を有するキャリア結合表面に結合した。この物品は、ガラス薄型シートとガラスキャリアとを恒久的に結合することなく、真空、SRD及び600℃までの熱的プロセスに耐えた。
実施例4d
結合表面がO2プラズマ及びSC1で処理されたガラスキャリアを、続いてトルエン中の1%ジフェニルジエトキシシラン(DPDS)で処理し、真空中で200℃で1時間アニーリングして、濃縮を完了した。DPDS処理済み表面は、47mJ/mの表面エネルギを有する。表4に示すように、(SC1洗浄され、続いて真空中で400℃で1時間加熱された)ガラス薄型シートを、DPDS表面修飾層を有するキャリア結合表面に結合した。この物品は、ガラス薄型シートとガラスキャリアとを恒久的に結合することなく、真空及びSRD試験並びに600℃までの熱的プロセスに耐えた。
実施例4e
O2プラズマ及びSC1で処理された結合表面を有するガラスキャリアを、続いてトルエン中の1%4‐ペンタフルオロフェニルトリエトキシシラン(PFPTS)で処理し、真空中で200℃で1時間アニーリングして、濃縮を完了した。PFPTS処理済み表面は、57mJ/mの表面エネルギを有する。表4に示すように、(SC1洗浄され、続いて真空中で400℃で1時間加熱された)ガラス薄型シートを、PFPTS表面修飾層を有するキャリア結合表面に結合した。この物品は、ガラス薄型シートとガラスキャリアとを恒久的に結合することなく、真空及びSRD試験並びに600℃までの熱的プロセスに耐えた。
Figure 2017500753
上述の実施例4a〜4bでは、キャリア及び薄型シートはそれぞれ「Eagle XG」ガラスであり、キャリアは、直径150mm、厚さ630マイクロメートルのSMFウェハであり、薄型シートは、100mm四方で厚さ100マイクロメートルであった。シラン層は自己集合単層(self‐assembled monolayer:SAM)であり、従っておよそ約2nm未満の厚さであった。上述の実施例では、SAMは、アリール又はアルキル非極性尾部及びモノ、ジ又はトリアルコキシド頭部基を有するオルガノシランを用いて生成した。これらはガラス上のシラノール表面と反応して、有機官能性を直接付与する。非極性頭部基間の比較的弱い相互作用により、有機層が組織される。表面修飾層の厚さが小さいため、デバイス製造中の汚染を引き起こし得る脱ガスのリスクが殆ど存在しない。更に、実施例4c、4d及び4eでは表面修飾層が劣化しないように思われたため、ここでもまた、脱気のリスクが同様に低い。また、表4に示されているように、各ガラス薄型シートは、真空中で1時間の400℃での加熱処理前に、SC1プロセスを用いて洗浄した。
実施例4a〜4eの比較から分かるように、初期室温結合を促進するために、結合表面の表面エネルギを40mJ/m超となるように制御することは、FPD加工に耐えながらも、損傷なしに薄型シートをキャリアから取り外せる、制御された結合を生成するために考慮しなければならない唯一の対象ではない。具体的には、実施例4a〜4eから分かるように、各キャリアは40mJ/m超の表面エネルギを有し、これにより初期室温結合が促進され、物品は真空及びSRD加工に耐えた。しかしながら、実施例4a及び4bは600℃加工試験を通過しなかった。上述のように、特定の用途に関して、薄型シートとキャリアとを一体として保持するためには、及びまた薄型シートとキャリアとの間に恒久的な結合が存在しないように、上記高温で発生する共有結合を制御するためには不十分なレベルへの結合の劣化なしに、結合が高温(その中で使用されるように物品が設計されているプロセスに合わせて、例えば≧400℃、≧500℃又は≧600℃、最高650℃)に耐えられることも重要である。表4の実施例によって示すように、芳香族シラン、特にフェニルシランは、初期室温結合を促進する、及び高温加工に耐えられる一方で薄型シートを損傷なしにキャリアから取り外せるようにする、制御された結合を提供するために有用である。
実施例4、3及び2において上述した分離は、薄型シートとキャリアとの間の結合境界を改質するためのいずれの更なる熱エネルギ又は化学エネルギを追加することなく、室温で実施される。唯一のエネルギ入力は、機械的牽引及び/又は剥離力である。
実施例3及び4において上述した材料は、一体に結合されることになる、キャリア、薄型シート、又はキャリア及び薄型シートの両方に適用できる。
脱気
典型的なウェハ結合用途で使用されるポリマー接着剤は一般に、厚さ10〜100マイクロメートルであり、温度限界において又は温度限界付近においてその質量の約5%を失う。厚いポリマーフィルムから発展したこのような材料に関して、質量損失、又は脱気の量を質量分析によって定量化することは容易である。一方、厚さおよそ10nm以下の薄型表面処理、例えば上述のプラズマポリマー又は自己集合単層表面修飾層、並びに熱分解されたシリコーン油の薄層からの脱気の測定は比較的困難である。このような材料に関して、質量分析は十分な感受性を有しない。しかしながら、脱気を測定するための多数の他の方法が存在する。
脱気の僅かな量を測定する第1の方法は、表面エネルギ測定に基づくものであり、これについては図6を参照して説明する。この試験を実施するために、図6に示すような設定を用いてよい。試験される表面修飾層をその上に有する第1の基板又はキャリア900は、表面902、即ち試験される表面修飾層30に組成及び厚さにおいて対応する表面修飾層を呈する。第2の基板又はカバー910は、その表面912がキャリア900の表面902に近接するが接触はしないように配置される。表面912はコーティングされていない表面、即ちカバーが作製される材料が剥き出しの表面である。スペーサ920をキャリア900とカバー910との間の様々な地点に配置して、キャリア900及びカバー910を互いから離間した関係に保持する。スペーサ920は、カバー910をキャリア900から離間させて、互いに対する材料の移動を可能とするために、十分に厚くなければならないが、試験中に表面902及び912上のチャンバ雰囲気由来の汚染物質の量を最小化するために、十分に薄くなければならない。キャリア900、スペーサ920及びカバー910は共に試験物品901を形成する。
試験物品901の組み立て前に、表面902、即ち表面修飾層がその上に設けられたキャリア900の表面の表面エネルギと同様に、剥き出しの表面912の表面エネルギを測定する。図7に示すような表面エネルギ、極性成分及び分散成分の両方を、S. Wu(1971)が開発した理論モデルを3つの試験液:水、ジヨードメタン及びヘキサデカンの3つの接触角度に対してフィットさせることにより測定した(参照:S. Wu, J.Polym,Sci.C, 34, 19, 1971)。
組み立て後、試験物品901を加熱チャンバ930内に配置し、時間‐温度サイクルを通して加熱した。加熱は大気圧において、N2ガスを流して、即ち矢印940の方向に2標準リットル/分の流量で流して実施した。
加熱サイクル中、表面902の表面エネルギの変化によって、表面902の変化(例えば蒸発、熱分解、分解、重合化、キャリアとの反応及びディウェッティングによる、表面修飾層の変化を含む)が証明される。表面902自体の表面エネルギの変化は、表面修飾層が脱気したことを必ずしも意味しないが、材料の性質としてのその温度での材料の全体的な不安定性が、例えば上述の機序によって変化していることを示す。従って、表面902の表面エネルギの変化が小さいほど、表面修飾層はより安定している。一方、表面912が表面902に近接しているため、表面902から脱気されたいずれの材料が表面912上に集まることになり、表面912の表面エネルギが変化することになる。従って、表面912の表面エネルギの変化は、表面902上に存在する表面修飾層の脱気の尺度となる。
よって、脱気に関するある試験は、カバー表面912の表面エネルギの変化を使用する。具体的には、表面912の表面エネルギが≧mJ/mだけ変化する場合、脱気が発生している。この大きさの表面エネルギの変化は汚染に対応しており、この汚染はフィルム接着の損失、又は材料の特性及びデバイスの性能の劣化に繋がり得る。≦5 mJ/mの表面エネルギの変化は、表面エネルギ測定及び表面エネルギの不均質性の再現性に近い。この小さな変化は、最小の脱気に対応する。
図7の結果を生む試験の間、キャリア900、カバー910及びスペーサ920は、Corning Incorporated(ニューヨーク州コーニング)から入手可能な「Eagle XG」ガラス、アルカリ非含有アルミノボロシリケートディスプレイグレードガラスで作製されたが、必ずしもそうでなくてよい。キャリア900及びカバー910は直径150mm、厚さ0.63mmであった。一般にキャリア900及びカバー910はそれぞれ、脱気試験が望まれるキャリア10及び薄型シート20と同一の材料で作製されることになる。この試験中、シリコンスペーサは厚さ0.63mm、幅2mm及び長さ8cmであり、これによって表面902と表面912との間に0.63mmの間隙を形成した。この試験中、チャンバ930は、室温から試験限界温度まで9.2℃/分の速度で加熱し、グラフにおいて「アニーリング時間」として示した様々な時間に亘って試験限界温度で保持した後、炉冷速度で200℃に冷却するサイクルを実施する、MPT‐RTP600高速熱加工設備に組み込まれた。オーブンが200℃まで冷却された後、試験物品を取り出し、試験物品が室温まで冷却された後、各表面902及び912の表面エネルギを再度測定した。従って例えば、材料#1に関して限界温度450℃まで試験された、カバー表面エネルギの変化に関するデータ、線1003を用いて、データを以下のように集めた。0分のデータポイントは75mJ/m(1平方メートルあたりのミリジュール)を示し、剥き出しのガラスの表面エネルギである。即ち時間‐温度サイクルはまだ実施されていない。1分のデータポイントは、以下のように時間‐温度サイクルが実施された後に測定された表面エネルギを示す:(表面902を存在させるためにキャリア900上に材料#1を表面修飾層として使用した)物品901を、室温及び大気圧の加熱チャンバ930内に配置し;チャンバを、2標準リットル/分のN2ガス流と共に、9.2℃/分の速度で試験限界温度450℃まで加熱し、試験限界温度450℃に1分間保持し;続いてチャンバを1℃/分の速度で300℃まで冷却し、次に物品901をチャンバ930から取り出し;続いて(N2流雰囲気を用いずに)物品を室温まで冷却し;続いて表面912の表面エネルギを測定して、線1003上の1分の点としてプロットした。次に、材料#1(線1003、1004)に関する残りのデータポイント、並びに材料#2(線1203、1204)、材料#3(線1303、1304)、材料#4(線1403、1404)、材料#5(線1503、1504)並びに材料#6(線1603及び1604)に関するデータポイントを、適宜450℃又は600℃の試験限界温度における保持時間に対応するアニーリング時間の分数を用いて、同様の方法で決定した。対応する表面修飾層材料(材料#1〜6)に関する表面902の表面エネルギを表す、線1001、1002、1201、1202、1301、1302、1401、1402、1501、1502、1601及び1602に関するデータポイントを、表面902の表面エネルギを各時間‐温度サイクル後に測定した以外は同様の方法で決定した。
上述の組み立てプロセス、及び時間‐温度サイクルを、以下に記載するような6つの異なる材料に対して実施し、その結果を図7にグラフで示す。6つの材料のうち材料#1〜4は、上述の表面修飾層材料に対応する。材料#5及び#6は比較例である。
材料#1は、CHF3‐CF4プラズマ重合化フルオロポリマーである。この材料は、上述の実施例3bの表面修飾層と一致する。図7に示すように、線1001及び1002は、キャリアの表面エネルギが大幅に変化しないことを示す。従ってこの材料は、450℃〜600℃の温度において極めて安定である。更に線1003及び1004によって示すように、カバーの表面エネルギもまた大幅には変化しなかった。即ち変化は≦5mJ/mである。従って、450℃〜600℃では、この材料に関連する脱気が発生しなかった。
材料#2は、フェニルトリエトキシシランの1%トルエン溶液から堆積し、真空オーブン内で190℃で30分間硬化させた自己集合単相(SAM)である、フェニルシランである。この材料は、上述の実施例4cの表面修飾層と一致する。図7に示すように、線1201及び1202は、キャリア上の表面エネルギのある程度の変化を示す。上述のように、これは表面修飾層におけるある程度の変化を示し、比較すると材料#2は材料#1よりも若干不安定である。しかしながら、線1203及び1204によって示すように、キャリアの表面エネルギの変化は≦5mJ/mであり、これは、表面修飾層に対する変化が脱気を発生させなかったことを示している。
材料#3はペンタフルオロフェニルトリエトキシシランの1%トルエン溶液から堆積し、真空オーブン内で190℃で30分間硬化させたSAMである、ペンタフルオロフェニルシランである。この材料は、上述の実施例4eの表面修飾層と一致する。図7に示すように、線1301及び1302は、キャリア上の表面エネルギのある程度の変化を示す。上述のように、これは表面修飾層におけるある程度の変化を示し、比較すると材料#3は材料#1よりも若干不安定である。しかしながら、線1303及び1304によって示すように、キャリアの表面エネルギの変化は≦5mJ/mであり、これは、表面修飾層に対する変化が脱気を発生させなかったことを示している。
材料#4は、140℃のYES HMDSオーブン中で蒸気から堆積した、ヘキサメチルジシラザン(HMDS)である。この材料は、上述の表2の実施例2bの表面修飾層と一致する。図7に示すように、線1401及び1402は、キャリア上の表面エネルギのある程度の変化を示す。上述のように、これは表面修飾層におけるある程度の変化を示し、比較すると材料#4は材料#1よりも若干不安定である。更に、材料#4に関するキャリアの表面エネルギの変化は、材料#2及び#3のいずれに関するものよりも大きく、これは、比較すると材料#4が材料#2及び#3よりも若干不安定であることを示す。しかしながら、線1403及び1404によって示すように、キャリアの表面エネルギの変化は≦5mJ/mであり、これは、表面修飾層に対する変化が、カバーの表面エネルギに影響を与える脱気を発生させなかったことを示している。しかしながらこれはHMDSが脱気する様式と一致している。即ちHMDSはアンモニア及び水を脱気し、これはカバーの表面エネルギに影響を与えず、電子部品製造設備及び/又は加工に影響を与え得ない。一方、脱気の産物が薄型シートとキャリアとの間に捕捉されると、第2の脱気試験に関連して以下に記載するような他の問題が発生し得る。
材料#5は、グリシドキシプロピルトリエトキシシランの1%トルエン溶液から堆積し、真空オーブン内で190℃で30分間硬化させたSAMである、グリシドキシプロピルシランである。これは比較例材料である。線1501及び1502によって示すように、キャリアの表面エネルギには比較的小さい変化しか存在しないが、線1503及び1504によって示すように、カバーの表面エネルギには有意な変化が存在する。即ち材料#5はキャリア表面上において比較的安定であるが、実際には材料#5はカバー表面上に有意な量の材料を脱気し、これによってカバー表面エネルギは≧10mJ/mだけ変化した。600℃における10分間の終点での表面エネルギは10mJ/m以内であるが、この時間中の変化は10mJ/mを超える。例えば1分及び5分におけるデータポイントを参照のこと。理論によって拘束されることを望むものではないが、5分時点から10分時点への表面エネルギの僅かな上昇は、カバー表面を分解して劣化させる、脱気した材料の一部によるものである可能性が高い。
材料#6は、5mlのDow Corning704拡散ポンプオイル、テトラメチルテトラフェニルトリシロキサン(Dow Corningから入手可能)をキャリア上に吐出し、これを空気中の500℃のホットプレート上に8分間配置することによって調製されたシリコーンコーティングである、DC704である。試料調製の完了は、視認可能な発煙の終了によって認められる。上述の方法で試料を調製した後、上述の脱気試験を実施した。これは比較例材料である。図7に示すように、線1601及び1602は、キャリア上の表面エネルギのある程度の変化を示す。上述のように、これは表面修飾層のある程度の変化を示し、比較すると材料#6は材料#1より不安定である。更に、線1603及び1604によって示すように、キャリアの表面エネルギの変化は≧10mJ/mであり、これは有意な脱気を示す。より詳細には、450℃の試験限界温度において、10分間に関するデータポイントは、約15mJ/mの表面エネルギの低下を示し、また1分及び5分におけるポイントに関しては更に大きい表面エネルギの低下を示す。同様に、600℃の試験限界温度におけるサイクル中のカバーの表面エネルギの変化、カバーの表面エネルギの低下は、10分のデータポイントにおいて約25mJ/mであり、これは5分時点より若干大きく、1分時点より若干小さかった。しかしながら全体として、試験の全範囲に亘ってこの材料に関して有意な量の脱気が示された。
意義深いことに、材料#1〜4に関して、時間‐温度サイクル全体を通した表面エネルギは、カバー表面が剥き出しのガラスの表面エネルギと一致した表面エネルギのままであり、即ちキャリア表面から脱気した材料は全く集まっていないことを示す。表2に関連して記載される材料#4の場合、キャリア及び薄型シート表面を調製する方法は、物品(表面修飾層を介してキャリアと一体として結合された薄型シート)がFPD加工に耐えるかどうかに関して、大きな違いを生み出す。従って、図7に示す材料#4の実施例は脱気し得ないものの、この材料は、表2の議論に関連して記載したように、400℃又は600℃試験に耐えられたり耐えられなかったりし得る。
僅かな量の脱気を測定する第2の方法は、組み立て済み物品、即ち薄型シートが表面修飾層を介してキャリアに結合された物品に基づくものであり、%気泡領域を使用して脱気を決定する。即ち物品の加熱中、キャリアと薄型シートとの間に形成された気泡は、表面修飾層の脱気を示す。第1の脱気試験に関連して上述したように、極めて薄い表面修飾層の脱気を測定するのは困難である。この第2の試験では、薄型シート下側の脱気が、薄型シートとキャリアとの間の強力な接着によって制限されている場合がある。それにもかかわらず、厚さ≦10mmの層(例えばプラズマ重合化材料、SAM及び熱分解シリコンオイル表面処理)は、絶対質量損失が比較的小さいにもかかわらず、それでもなお熱処理中に気泡を生成する場合がある。そして薄型シートとキャリアとの間の気泡の生成は、パターン生成、フォトリソグラフィ加工及び/又は薄型シート上へのデバイスの加工中の位置合わせに関する問題を引き起こし得る。更に、薄型シートとキャリアとの間の結合領域の境界における気泡発生は、あるプロセスからの、下流のプロセスを汚染するプロセス流体による問題を引き起こし得る。≧5の%気泡領域の変化は有意であり、脱気の指標であり、望ましくない。一方で≦1の%気泡領域の変化は有意でなく、脱気が存在しないことの指標である。
クラス1000クリーンルーム内において手動結合によって結合された薄型ガラスの平均気泡領域は1%である。結合されたキャリアの%気泡領域は、キャリア、薄型シート及び表面調製の清浄度に左右される。これらの初期欠陥が熱処理後の気泡成長の核部位として作用するため、熱処理後の1%未満の気泡領域のいずれの変化は、試料調製の変異性の範囲内である。この試験を実施するために、市販の透過原稿ユニットを有するデスクトップスキャナ(Epson Expression 10000XL Photo)を使用して、薄型シートとキャリアとを結合する領域の、結合直後の第1のスキャン画像を作製した。この部分は、標準的なEpsonソフトウェアを用いて、508dpi(50マイクロメートル/ピクセル)及び24ビットRGBでスキャンした。画像処理ソフトウェアはまず、必要に応じて試料の異なる複数のセクションの画像を単一の画像へとスティッチして、(スキャナ内の試料を用いずに実施される較正基準スキャンを用いて)スキャナのアーティファクトを除去することによって、画像を準備する。続いて、閾値設定、穴埋め、侵食/拡張及びブロブ解析等の標準的な画像処理技術を用いて、結合した領域を分析する。より新しいEpson Expression 11000XL Photoも同様に使用してよい。透過モードでは、結合領域の気泡がスキャン画像で視認でき、気泡領域に関する値を決定できる。続いて気泡領域を合計結合領域(即ち薄型シートとキャリアとの間の合計重複領域)と比較して、合計結合領域に対する結合領域中の気泡の%領域を計算する。次に試料を、MPT‐RTP600s高速熱処理システム内で、300℃、450℃及び600℃の試験限界温度において、N2雰囲気下で、最高10分間熱処理する。具体的には、実施された時間‐温度サイクルは、以下を含む:物品を、室温及び大気圧の加熱チャンバに挿入するステップ;チャンバを試験限界温度まで、9℃/分の速度で加熱するステップ;チャンバを上記試験限界温度に10分間保持するステップ;チャンバを炉冷速度で200℃まで冷却するステップ;物品をチャンバから取り出して室温まで冷却するステップ;光学スキャナで物品の2度目のスキャンを実施するステップ。続いて第2のスキャンからの%気泡領域を上述のようにして計算し、第1のスキャンからの%気泡領域と比較して、%気泡領域の変化(Δ%気泡領域)を決定した。上述のように、≧5%の気泡領域の変化は有意であり、脱気の指標である。%気泡領域の変化は、元々の%気泡領域の変異性から、測定基準として選択された。即ち殆どの表面修飾層は、薄型シート及びキャリアの調製後かつこれらの結合前における操作及び清浄性により、第1のスキャンにおいて約2%の気泡領域を有する。しかしながら、材料間に変化が発生し得る。第1の脱気試験方法に関して挙げたものと同一の材料#1〜6を再びこの第2の脱気試験方法において使用した。これらの材料のうち、材料#1〜4は第1のスキャンにおいて約2%の気泡領域を示したが、材料#5及び#6は第1のスキャンにおいて有意に大きい気泡領域、即ち約4%を示した。
第2の脱気試験の結果を、図8及び9を参照して説明する。材料#1〜3に関する脱気試験結果を図8に示し、材料#4〜6に関する脱気試験結果を図9に示す。
材料#1に関する結果を、図8において正方形のデータポイントとして示す。図面から分かるように、%気泡領域の変化は、試験限界温度300℃、450℃及び600℃に関しては略ゼロであった。従って材料#1はこれらの温度において脱気を示さない。
材料#2に関する結果を、図8において菱形のデータポイントとして示す。図面から分かるように、%気泡領域の変化は、試験限界温度450℃及び600℃に関しては1未満である。従って材料#2はこれらの温度において脱気を示さない。
材料#3に関する結果を、図8において三角形のデータポイントとして示す。図面から分かるように、材料#1に関する結果と同様、%気泡領域の変化は、試験限界温度300℃、450℃及び600℃に関しては略ゼロであった。従って材料#3はこれらの温度において脱気を示さない。
材料#4に関する結果を、図9において円形のデータポイントとして示す。図面から分かるように、%気泡領域の変化は、試験限界温度300℃に関しては略ゼロであったが、試験限界温度450℃及び600℃においてはいくつかの試料に関して1%近くであり、同一材料の他の試料に関しては、試験限界温度450℃及び600℃において約5%である。材料#4に関する結果は極めて一貫性に乏しく、薄型シート及びキャリア表面をHMDS材料との結合のために調製する方法に左右される。試料の性能が、試料が調製される方法に左右される様式は、上述の表2に関連して挙げた材料の実施例、及び関連する議論と一貫したものである。この材料に関して、450℃及び600℃の試験限界温度に関して1%近い%気泡領域の変化を有する試料は、上述の分離試験によるとキャリアからの薄型シートの分離を実現できなかったことに留意されたい。即ち薄型シートとキャリアとの間の強力な接着は、気泡の生成を制限し得た。一方、5%近い%気泡領域の変化を有する試料は、キャリアからの薄型シートの分離を実現できた。よって、脱気を起こさない試料は、熱処理後に、キャリアと薄型シートとを一体として付着させる(キャリアからの薄型シートの取り外しを妨げる)接着の増大という望ましくない結果を有し、一方でキャリアからの薄型シートの取り外しを可能とする試料は、脱気に関して望ましくない結果を有していた。
材料#5に関する結果を、図9において三角形のデータポイントとして示す。図面から分かるように、%気泡領域の変化は、試験限界温度300℃に関しては約15%であり、より高い試験限界温度450℃及び600℃に関するものよりも大幅に高い。従って材料#5はこれらの温度において有意な脱気を示す。
材料#6に関する結果を、図9において正方形のデータポイントとして示す。この図面から分かるように、%気泡領域の変化は、試験限界温度300℃に関しては2.5%を超え、試験限界温度450℃及び600℃に関しては5%を超える。従って材料#5は、試験限界温度450℃及び600℃において有意な脱気を示す。
電子デバイスの作製に関して
本明細書に記載の制御された結合の1つの使用法は、キャリア及びキャリアに結合した薄型シートを有するものを含む物品を作製するための使用であり、上記物品は、電子デバイス、例えばTFT、(有機発光材料を含む)OLED、PVデバイス、タッチセンサ、インターポーザ、集積回路、抵抗‐コンデンサ回路及びディスプレイを作製するために使用される。
いずれにせよ、より厚いシートのために現在設計されているままの電子デバイス加工設備を、上記ガラス物品の加工に使用して、電子デバイス構成部品、又は電子デバイスの一部を、物品のシート上に配置できる。電子デバイス構成部品は、上述の制御された結合によってキャリアに結合された薄型シートの1つ又は複数の部分上に配置しなければならず、これにより薄型シートは、電子デバイスの作製に必要な温度への加工後であっても、キャリアから分離可能なままである。デバイスの加工は、例えば≧400℃、≧500℃、≧600℃又は最高650℃、及びいくつかの例では最高700℃の温度での加工を含んでよい。上述のように、上述のような高温への加工後であっても、薄型シートがキャリアから、少なくとも薄型シートを損傷せずに、並びに好ましくは薄型シート及びキャリアの両方を損傷せずに分離可能なままとなるように、好適な表面修飾層を選択してよい。電子デバイスが完成するか又は好適な中間段階となるまで、いずれの数の電子デバイス構成部品を、これを実施するためのいずれの数のステップで配置してよい。物品は、電子デバイス加工前に組み立ててよく、又は電子デバイス作製プロセスの一部として組み立ててよい。
デバイス加工は、デバイス加工全体を通して物品を手付かずのまま維持することを含んでよく、又はプロセス中の1つ若しくは複数の時点において物品を方形切断するステップを含んでよい。例えばデバイス加工は、物品上に1つの電子デバイス構成部品を形成するステップ、及び続いて物品を、後に更なる加工を受ける2つ以上の部分に方形切断するステップ、即ち電子デバイスの追加の構成部品を、シート上に、又は前のステップにおける堆積からシート上に存在する電子デバイス構成部品上に配置するステップを含んでよい。方形切断ステップは、物品の各部分がキャリアに結合されたままの薄型シートの一部分を含むように、又は方形切断された部分のサブセットのみがこのような構成を含むように、実施してよい。方形切断された部分のいずれにおいて、その部分の薄型シートの全領域が、その部分のキャリアの全領域に結合されたままであってよい。
完了まで又はある中間段階までのデバイス加工後、デバイス及びデバイスが配置された薄型シートの一部分を、キャリアから取り外してよい。薄型シートはその全体を取り外してよく、又はその一部分を残りの部分から分離してキャリアから取り外してよい。この取り外しは物品全体から、又は物品から方形切断された複数の部分のうちの1つ若しくは複数から行ってよい。
半導体及び/又はインターポーザ加工において薄型ウェハを加工するための使用法
(材料及び関連する結合表面熱処理を含む)表面修飾層を介した制御された結合の別の使用法は、例えばFEOL加工等、≧400℃(例えば≧450℃、≧500℃、≧550℃、≧600℃)の温度を必要とするプロセスにおいて薄型シートを加工するための、キャリア上の薄型シートの使用を提供するための使用である。即ち薄型シートは、後に薄化する必要のない厚さに加工されたウェハであってよい。上述の実施例2e、3a、3b、4c、4d及び4eによって例示されるような、(材料及び関連する結合表面熱処理を含む)表面修飾層を用いて、このような温度条件下でのキャリアの再使用を提供できる。具体的には、これらの表面修飾層を使用して、薄型シート及びキャリアの結合領域間の重複領域の表面エネルギを修正することにより、加工後に薄型シート全体をキャリアから分離できるようにすることができる。薄型シートは全体を1回で分離してよく、又は例えば、薄型シートの一部分上に製造されたデバイスを最初に取り外し、その後いずれの残りの部分を取り外して、キャリアを再使用のために洗浄する場合のように、複数のセクションで分離してよい。薄型シート全体の取り外しにより、又は合計すると薄型シート全体となる薄型シートの方形切断されたセクションの取り外しにより、薄型シート全体をキャリアから取り外す場合、キャリアは、別の薄型シートを単にその上に配置することによって再使用できる。あるいはキャリアを洗浄して、表面修飾層を改めて形成することによって薄型シートを搬送するために再び調製してよい。表面修飾層が薄型シートとキャリアとの恒久的な結合を防止するため、これらは、温度が≧600℃となるプロセスのために使用してよい。当然のことながら、これらの表面修飾層は、温度≧600℃での加工中に結合表面エネルギを制御できるが、これらを使用して、より低い温度、例えば≧400℃(例えば≧450℃、≧500℃、≧550℃)の温度における加工に耐えられる、薄型シートとキャリアとの組合せを製造することもでき、またこれらをこのような比較的低い温度で使用して、例えばBEOL加工において、(実施例3a、3b、4c、4d及び4eの材料の場合に)脱気することなく結合を制御することもできる。更に、物品の熱加工が400℃を超えない場合、実施例2c、2d、4bによって例示される表面修飾層も同一の様式で使用してよい。薄型シートは、厚さ≦200マイクロメートルのポリシリコン又は単結晶シリコンウェハ、シリコンウェハ、ガラス、セラミック、ガラス‐セラミック、石英、サファイアであってよく、またFEOL加工においてこれらを例えば≧500℃の温度で加工して、上記薄型シート上にRC回路、IC又は他の電子デバイスを形成してよい。FEOL加工後、ウェハは、電子デバイスを損傷することなく、キャリアから容易に取り外すことができる。しかしながら、取り外し前、ウェハは更に、例えばBEOL加工におけるもののような、比較的低温での加工を受けてよい。
(材料及び関連する結合表面熱処理を含む)表面修飾層を介した制御された結合の第2の使用法は、インターポーザを製造するための使用である。より具体的には、表面修飾層の使用により、制御された結合の領域を形成でき、十分な分離力によって、結合によって引き起こされる薄型シート又はキャリアの損傷なしに、薄型シート(又はその一部)をキャリアから分離でき、それでもなお、加工全体を通して、キャリアに対して薄型シートを保持するのに十分な結合力が維持される。この場合、薄型シートはインターポーザであり、これは、例えばシリコン、ポリシリコン、単結晶シリコン、ガラス、セラミック、ガラス‐セラミック、石英、サファイアを含むいずれの好適な材料から作製されたウェハであってよく、また例えば≦200マイクロメートルの厚さを有してよい。
これよりインターポーザ及びその製造の例を、図10〜12を参照して説明する。
図10を参照すると、薄型シート20を、制御された結合領域40によってキャリア10に結合してよい。
この実施形態では、キャリア10は、ガラス基板、又はガラスと同様の表面エネルギを有する別の好適な材料、例えばシリコン、ポリシリコン、単結晶シリコン、セラミック、ガラス‐セラミック、サファイア若しくは石英であってよい。ガラス基板を使用する利点は、高価なキャリア基板の必要を回避して、比較的低いコストで、最小の厚さ変動を有する平坦なシートを得ることができる点である。更に、ガラスを用いると、コスト効率の高い方法で高い品質を達成できる。即ち、極めて均一な厚さのガラス基板を極めて安価に作製でき、またキャリアとして使用できる。しかしながら、本開示の表面修飾層を用いると、キャリアは、ウェハを最終厚さまで薄化する場合のように、低い全体の厚さの変動を有する高精度のキャリアである必要はない。即ち、キャリアのいずれの変動は、薄化を実施するとすぐに薄化されたウェハ内に存在することになるため、キャリア上のウェハを薄化する場合、キャリアは全体厚さに関して極めて厳密な制御を有しなければならない。ウェハが既に最終厚さである場合にウェハ上へのデバイスの形成を可能とする、本開示の表面修飾層を用いると、キャリアの合計厚さ変動は遥かに重要でなくなる。
この実施形態では、薄型シート20を使用してインターポーザ56を形成する。シートは例えば、ポリシリコン若しくは単結晶シリコンウェハを含むシリコン、石英、サファイア、セラミック又はガラスであってよい。シート20は、≦200マイクロメートルの厚さを有してよい。インターポーザ56はそれぞれ、周縁部52と、複数のビアホールのアレイ50とを有し、アレイ50は周縁部57を有する。10個のインターポーザ56が図示されているが、1個を含むいずれの好適な個数を、1つの薄型シート20上に配置してよい。図示に便利なように、各インターポーザ56は、ビアホールのアレイ50を1つだけ有するものとして示されているが、必ずしもそうである必要はなく、代わりにいずれのインターポーザ56は2つ以上のアレイ50を有してよい。更に、各インターポーザは同数のアレイ50を有するものとして示されているが、必ずしもそうである必要はなく、(0個を含む)いずれの個数のインターポーザが同数のアレイ50を有してよい。更にアレイ50は典型的には、同数及び同一のパターンのビアホールを有することになるが、必ずしもそうである必要はない。図示に便利なように、ビアホール60は、インターポーザ56のうちの1つのアレイ50のうちの1つのみのものが示されているが、必ずしもそうである必要はなく、即ち残りのインターポーザ56のうちのいずれの1つ又は複数は、ビアホール60のアレイ50を1つ又は複数有してよい。
ここで、図10の線l1‐l1に沿った断面図である図11を参照する。ビアホール60は、貫通ビアホール又はブラインドビアホール、即ちシート20の厚さ内で終端するビアホールを含んでよい。ビアホール60は直径62を有し、ピッチ64で離間している。複数の直径62は同一のものとして示されているが、必ずしもそうである必要はなく、即ち1つのアレイ50内又は1つのインターポーザ56上の異なる複数のアレイ50内に、異なる直径の複数のビアホールが存在してよい。直径62は例えば5マイクロメートル〜150マイクロメートルであってよい。同様に、ビアホール60は同一のピッチ64で離間しているが、必ずしもそうである必要はなく、即ち1つのアレイ50内、又は1つのインターポーザ56上の異なる複数のアレイ50内、又は1つの薄型シート20上の異なる複数のインターポーザ56上に、異なる複数のピッチが存在してよい。ピッチは、例えば1平方ミリメートルあたり1〜20個のビアホールが存在するようなものであってよく、インターポーザの設計及び用途に左右される。更に、材料61がビアホール60のうちのいずれの1つ又は複数の中に存在してよい。材料61は、導電性材料、絶縁性材料又はこれらの組合せであってよい。例えば導電性材料を、ビアホールの周縁部、即ちビアホールの外径62上に形成してよく、また異なる導電性材料又は絶縁性材料を使用して、ビアホールの残りの部分を充填してよい。
ここで、図11と同様であるが、複数のデバイス/構造体がインターポーザ56の上に配置されて1つ又は複数のビアホール60に接続されている、図12を参照する。図12に示すように、デバイス66を、複数のビアホール60を覆うように配置して、複数のビアホール60に接続してよい。デバイス66は:集積回路;MEMS;マイクロセンサ;電力半導体;発光ダイオード;フォトニック回路;CPU;SRAM;DRAM;eDRAM;ROM;EEPROM;フラッシュメモリ;インターポーザ;埋込み型パッシブデバイス;並びにシリコン、シリコン‐ゲルマニウム、ガリウムヒ素及び窒化ガリウム上に又はシリコン、シリコン‐ゲルマニウム、ガリウムヒ素及び窒化ガリウムから製造されたマイクロデバイスを含んでよい。デバイス66が1つだけ図示されているが、1つのインターポーザ56上に、複数のデバイス66のアレイを含む、いずれの好適な個数のデバイス66が存在してよい。あるいは、構造体68を、1つのビアホール60のみを覆うように配置して、1つのビアホール60のみに接続してよい。構造体68は:はんだバンプ;金属ポスト;金属ピラー;相互接続ルーティング;相互接続配線;絶縁性酸化物層;並びにシリコン、ポリシリコン、二酸化シリコン、シリコン(オキシ)窒化物、金属(例えばCu、Al、W)、低k誘電体、ポリマー誘電体、金属窒化物及び金属ケイ化物からなる群から選択された材料から形成された構造体を含んでよい。構造体68が1つだけ図示されているが、1つのインターポーザ56上に、複数の構造体68の1つ又は複数のアレイを含む、いずれの好適な個数の構造体68が存在してよい。更に、1つ又は複数の構造体68をデバイス66上に配置してよい。
制御された結合領域40では、キャリア10及び薄型シート20は、重複領域全体に亘ってキャリア10及び薄型シート20が接続されるものの、高温加工、例えば≧400℃、例えば≧450℃、≧500℃、≧550℃、≧600℃、及び最高約650℃又は場合によっては最高700℃の温度での加工の後でさえこれらが互いから分離できるように、互いに対して結合される。
上述の実施例2a、2e、3a、3b、4c、4d及び4eによって例示されるような、材料及び結合表面熱処理を含む表面修飾層30を用いて、キャリア10と薄型シート20との間に制御された結合領域40を提供できる。具体的には、これらの表面修飾層は、キャリア10上又は薄型シート20上においてアレイ50の周縁部52内に形成してよい。従って、物品2をデバイス加工中に高温で加工する際、周縁部52によって区切られた領域内においてキャリア10と薄型シート20との間に制御された結合を設けることができ、これにより、薄型シート及びキャリアをこの領域において分離力によって(薄型シート又はキャリアに対する壊滅的な損傷なしに)分離できる一方で、超音波加工を含む加工中に薄型シート及びキャリアは層間剥離しない。更に、表面修飾層の厚さが非常に小さい、即ち100ナノメートル未満、40ナノメートル未満、10ナノメートル未満、及びいくつかの例では約2ナノメートルであるため、(より厚い、即ちおよそ40〜60マイクロメートル以上の接着層の場合に発生するような)ウェハと表面修飾層との間のCTEの不適合によるウェハへの影響は存在しない。更に、薄型シートとキャリアとの間の脱気を制限する必要がある場合に、実施例3b、4c及び4eの表面修飾層材料を使用してよい。
そして、周縁部52を有するインターポーザ56(それぞれ複数のビアホール60のアレイ50を有する)の引き抜き中、周縁部52内の薄型シート20の部分は、加工後及び周縁部52に沿った薄型シートの分離後に、キャリア10から容易に分離できる。あるいは、薄型シート20(又は薄型シート20及びキャリア10の両方)を線5に沿って方形切断してよく、これにより、インターポーザ56の周縁部52より大きい薄型シート20のセクションを、キャリア10、又はキャリアが薄型シート20と共に方形切断される場合はキャリア10の複数のセクションから取り外すことができる。表面修飾層が結合エネルギを制御して、薄型シートとキャリアとの恒久的な結合を防止するため、これら表面修飾層は、温度が≧600℃であるプロセスのために使用できる。当然のことながら、これら表面修飾層は≧600℃の温度での加工中に結合表面エネルギを制御できるものの、例えば≧400℃(例えば≧450℃、≧500℃、≧550℃)という比較的低い温度での加工に耐え、かつこのような比較的低温での用途で使用できる、薄型シート及びキャリアの組合せを製造するためにも使用できる。更に、物品の熱的加工が400℃を超えない場合、実施例2c、2d、4bによって例示される表面修飾層も、いくつかの例では他のプロセス要件に応じて、同一の様式で使用して、結合表面エネルギを制御できる。更に上述のように、実施例3b、4c及び4eの表面修飾層材料を、薄型シートとキャリアとの間の脱気が懸念材料である例において使用してよい。
結論
本発明の上述の実施形態、特にいずれの「好ましい」実施形態は、単なる可能な実装例であり、単に本発明の様々な原理の明瞭な理解のために挙げられていることを強調しておく。本発明の上述の実施形態に対して、本発明の精神及び様々な原理から実質的に逸脱することなく、多くの変更及び修正を施してよい。本明細書において、全てのこのような修正及び変更は、本明細書において本開示及び本発明の範囲内に含まれ、かつ以下の請求項によって保護されることが意図されている。
例えば、多くの実施形態の表面修飾層30を、キャリア10上に形成されるものとして示し、議論しているが、その代わりに、又は更に、表面修飾層30は薄型シート20上に形成してよい。即ち実施例4及び3で挙げた材料を、キャリア10に、薄型シート20に、又はキャリア10及び薄型シート20の両方に対して、一体に結合されることになる面上に適用してよい。
更に、いくつかの表面修飾層30について、400℃又は600℃の温度で物品2を加工した後でも薄型シート20をキャリア10から取り外せるようにするために、結合強度を制御するものとして説明したが、当然のことながら、物品2を、この物品が通過した具体的な試験の温度より低い温度で加工し、それでもなお、薄型シート20又はキャリア10を損傷せずに薄型シート20をキャリア10から取り外すための同一の能力を達成できる。
また更に、制御された結合というコンセプトについて、本明細書では、キャリア及び薄型シートと共に使用するものとして説明したが、特定の状況では、上記コンセプトは、ガラス、セラミック又はガラスセラミックのより厚いシート(ここでこれらのシート(又はその一部分)は、互いから引き離すことが望ましい場合がある)間の制御された結合に適用される。
また更に、本明細書の制御された結合というコンセプトは、ガラスキャリア及びガラス薄型シートと共に使用できるものとして説明したが、キャリアは他の材料、例えばセラミック、ガラスセラミック又は金属で作製してよい。同様に、キャリアに対して制御下で結合されるシートは、他の材料、例えばセラミック又はガラスセラミックで作製してよい。
2 物品
8 物品2の厚さ
10 キャリア
12 キャリア10の第1の表面
14 キャリア10の結合表面
16 キャリア10の周縁部
18 キャリア10の厚さ
20 薄型シート
22 薄型シート20の第1の表面
24 薄型シート20の結合表面
26 薄型シート20の周縁部
28 薄型シート20の厚さ
30 表面修飾層
38 表面修飾層30の厚さ
50 アレイ
52 周縁部
56 インターポーザ
60 ビアホール
61 材料
62 ビアホール60の直径
64 ビアホール60のピッチ
66 デバイス
68 構造体
900 キャリア
901 試験物品
902 キャリア900の表面
910 カバー
912 カバー912の表面
920 スペーサ
930 加熱チャンバ
940 N2ガスを流す方向

Claims (15)

  1. キャリア結合表面を有するキャリア;
    中に少なくとも1つのビアホールを有するシートであって、シート結合表面を更に備えるシート;
    表面修飾層
    を備える、物品であって、
    前記キャリア結合表面は、間に前記表面修飾層を有して前記シート結合表面に結合され、
    前記表面修飾層は:
    (i)チャンバ内において室温から500℃まで9.2℃/分の速度で加熱され、500℃の温度で10分保持された後、炉冷速度で300℃に冷却される温度サイクルに前記物品を供し、前記チャンバから前記物品を取り出して前記物品を室温まで冷却させた後、前記キャリア及び前記シートは、一方が保持され他方が重力を受けている場合には互いに分離せず、前記シートは、室温で分離を実施する際に前記キャリア及び前記シートのうちの薄い方が2つ以上の片に破壊されることなく、前記キャリアから分離できること;並びに
    (ii)チャンバ内において室温から400℃まで9.2℃/分の速度で加熱され、400℃の温度で10分保持された後、炉冷速度で300℃に冷却される温度サイクルに前記物品を供し、前記チャンバから前記物品を取り出して前記物品を室温まで冷却させた後、前記キャリア及び前記シートは、一方が保持され他方が重力を受けている場合には互いに分離せず、試験#に2よると表面修飾層からの脱気は存在せず、前記シートは、室温で分離を実施する際に前記キャリア及び前記シートのうちの薄い方が2つ以上の片に破壊されることなく、前記キャリアから分離できること
    のうちの少なくとも一方を特徴とする、物品。
  2. 前記シートは、シリコン、石英、サファイアを含む、請求項1に記載の物品。
  3. キャリア結合表面を有するキャリア;
    厚さ≦200マイクロメートルのウェハシートであって、シート結合表面を更に備え、シリコン、石英又はサファイアを含む、ウェハシート;
    表面修飾層
    を備える、物品であって、
    前記キャリア結合表面は、間に前記表面修飾層を有して前記シート結合表面に結合され、
    前記表面修飾層は:
    (i)チャンバ内において室温から500℃まで9.2℃/分の速度で加熱され、500℃の温度で10分保持された後、炉冷速度で300℃に冷却される温度サイクルに前記物品を供し、前記チャンバから前記物品を取り出して前記物品を室温まで冷却させた後、前記キャリア及び前記シートは、一方が保持され他方が重力を受けている場合には互いに分離せず、前記シートは、室温で分離を実施する際に前記キャリア及び前記シートのうちの薄い方が2つ以上の片に破壊されることなく、前記キャリアから分離できること;並びに
    (ii)チャンバ内において室温から400℃まで9.2℃/分の速度で加熱され、400℃の温度で10分保持された後、炉冷速度で300℃に冷却される温度サイクルに前記物品を供し、前記チャンバから前記物品を取り出して物品を室温まで冷却させた後、前記キャリア及び前記シートは、一方が保持され他方が重力を受けている場合には互いに分離せず、試験#2に従って前記表面修飾層からの脱気は存在せず、また前記シートは、室温で分離を実施する際に前記キャリア及び前記シートのうちの薄い方が2つ以上の片に破壊されることなく、前記キャリアから分離できること
    のうちの少なくとも一方を特徴とする、物品。
  4. 前記少なくとも1つのビアホールは、直径≦150マイクロメートルであり、中に導電性材料を含む、請求項1又は2に記載の物品。
  5. 前記シートは、前記シート結合表面に対向するデバイス表面を備え、
    前記デバイス表面は、シリコン、シリコン‐ゲルマニウム、ガリウムヒ素及び窒化ガリウム上に又はシリコン、シリコン‐ゲルマニウム、ガリウムヒ素及び窒化ガリウムから製造された:集積回路;MEMS;CPU;マイクロセンサ;電力半導体;発光ダイオード;フォトニック回路;インターポーザ;埋込み型パッシブデバイス;及びマイクロデバイスからなる群から選択されるデバイスのアレイを備える、請求項1〜4のいずれか1項に記載の物品。
  6. 加熱中に前記表面修飾層からの脱気が存在せず、
    前記表面修飾層からの前記脱気は:
    (a)脱気試験#1に従って、試験限界温度600℃において、前記カバーの表面エネルギの変化が≧15mJ/mであること;及び
    (b)脱気試験#2に従って、試験限界温度600℃において、%気泡領域の変化が≧5であること
    のうちの少なくとも1つとして定義される、請求項1〜5のいずれか1項に記載の物品。
  7. 前記表面修飾層は:
    a)プラズマ重合化フルオロポリマー;及び
    b)芳香族シラン
    のうちの1つを含む、請求項1〜6のいずれか1項に記載の物品。
  8. キャリア結合表面を有するキャリアを得るステップ;
    中に少なくとも1つのビアホールを有するシートを得るステップであって、前記シートは、シート結合表面を更に備え、前記キャリア結合表面及び前記シート結合表面のうちの少なくとも1つはその上に表面修飾層を備える、ステップ;
    前記結合表面及び前記表面修飾層を用いて前記キャリアを前記シートに結合して、物品を形成するステップ;
    前記物品を基板工程(FEOL)加工に供するステップであって、前記FEOL加工後、前記キャリア及び前記シートは、一方が保持され他方が重力を受けている場合には互いに分離しない、ステップ;
    前記キャリア及び前記シートのうちの薄い方が2つ以上の片に破壊されることなく、前記キャリアから前記シートを取り外すステップ
    を有してなる、インターポーザを作製する方法。
  9. 前記シートは、シリコン、石英、サファイア、セラミック又はガラスを含む、請求項8に記載の方法。
  10. キャリア結合表面を有するキャリアを得るステップ;
    厚さ≦200マイクロメートルのウェハシートを得るステップであって、前記シートはシリコン、石英又はサファイアを含み、前記シートは、シート結合表面を更に備え、前記キャリア結合表面及び前記シート結合表面のうちの少なくとも1つはその上に表面修飾層を備える、ステップ;
    前記結合表面及び前記表面修飾層を用いて前記キャリアを前記シートに結合して、物品を形成するステップ;
    前記物品を基板工程(FEOL)加工に供するステップであって、前記FEOL加工後、前記キャリア及び前記シートは、一方が保持され他方が重力を受けている場合には互いに分離しない、ステップ;
    前記キャリア及び前記シートのうちの薄い方が2つ以上の片に破壊されることなく、前記キャリアから前記シートを取り外すステップ
    を有してなる、シリコンウェハシートを加工する方法。
  11. 前記FEOL加工は:
    (i)500℃〜700℃の加工チャンバ温度;及び
    (ii)DRIE(乾式反応性イオンエッチング);PVD;CVD TiN;PECVD SiO2;電解Cuめっき;Cuアニーリング;計測;Cu CMP;Cu(H2O2+H2SO4)+Ti(DHF)湿式エッチング;スパッタ付着層;スパッタシード層;リソグラフィ(フォトレジスト、露光、ストリッピング、Cuのエッチング)のうちの少なくとも1つ
    のうちの少なくとも一方を含む、請求項8〜10のいずれか1項に記載の方法。
  12. 前記少なくとも1つのビアホールは、直径≦150マイクロメートルであり、中に導電性材料を含む、請求項8、9又は11のいずれか1項に記載の方法。
  13. 前記シートは、前記シート結合表面に対向するデバイス表面を備え、
    前記デバイス表面は:
    (i)シリコン、シリコン‐ゲルマニウム、ガリウムヒ素及び窒化ガリウム上に又はシリコン、シリコン‐ゲルマニウム、ガリウムヒ素及び窒化ガリウムから製造された:集積回路;MEMS;CPU;マイクロセンサ;電力半導体;発光ダイオード;フォトニック回路;インターポーザ;埋込み型パッシブデバイス;及びマイクロデバイスからなる群から選択されるデバイスのアレイ;並びに
    (ii)はんだバンプ;金属ポスト;金属ピラー;相互接続ルーティング;相互接続配線;絶縁性酸化物層;並びにシリコン、ポリシリコン、二酸化シリコン、シリコン(オキシ)窒化物、金属、低k誘電体、ポリマー誘電体、金属窒化物及び金属ケイ化物からなる群から選択された材料から形成された構造体からなる群から選択される、少なくとも1つの構造体
    のうちの少なくとも一方を備える、請求項8〜12のいずれか1項に記載の方法。
  14. 加熱中に前記表面修飾層からの脱気が存在せず、
    前記表面修飾層からの脱気は:
    (a)脱気試験#1に従って、試験限界温度600℃において、前記カバーの表面エネルギの変化が≧15mJ/mであること;及び
    (b)脱気試験#2に従って、試験限界温度600℃において、%気泡領域の変化が≧5であること
    のうちの少なくとも1つとして定義される、請求項8〜13のいずれか1項に記載の方法。
  15. 前記表面修飾層は:
    a)プラズマ重合化フルオロポリマー;及び
    b)芳香族シラン
    のうちの1つを含む、請求項8〜14のいずれか1項に記載の方法。
JP2016547990A 2013-10-14 2014-10-14 半導体及びインターポーザ加工のためのキャリア結合方法及び物品 Active JP6873702B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361890524P 2013-10-14 2013-10-14
US61/890,524 2013-10-14
PCT/US2014/060340 WO2015057605A1 (en) 2013-10-14 2014-10-14 Carrier-bonding methods and articles for semiconductor and interposer processing

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2019138603A Division JP6874068B2 (ja) 2013-10-14 2019-07-29 半導体及びインターポーザ加工のためのキャリア結合方法及び物品

Publications (3)

Publication Number Publication Date
JP2017500753A true JP2017500753A (ja) 2017-01-05
JP2017500753A5 JP2017500753A5 (ja) 2017-11-24
JP6873702B2 JP6873702B2 (ja) 2021-05-19

Family

ID=51799328

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2016547990A Active JP6873702B2 (ja) 2013-10-14 2014-10-14 半導体及びインターポーザ加工のためのキャリア結合方法及び物品
JP2019138603A Active JP6874068B2 (ja) 2013-10-14 2019-07-29 半導体及びインターポーザ加工のためのキャリア結合方法及び物品

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2019138603A Active JP6874068B2 (ja) 2013-10-14 2019-07-29 半導体及びインターポーザ加工のためのキャリア結合方法及び物品

Country Status (8)

Country Link
US (1) US10510576B2 (ja)
EP (1) EP3058587B1 (ja)
JP (2) JP6873702B2 (ja)
KR (1) KR102355656B1 (ja)
CN (1) CN106133899B (ja)
SG (1) SG11201602919PA (ja)
TW (1) TWI632612B (ja)
WO (1) WO2015057605A1 (ja)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11097509B2 (en) 2016-08-30 2021-08-24 Corning Incorporated Siloxane plasma polymers for sheet bonding
US11123954B2 (en) 2014-01-27 2021-09-21 Corning Incorporated Articles and methods for controlled bonding of thin sheets with carriers
US11167532B2 (en) 2015-05-19 2021-11-09 Corning Incorporated Articles and methods for bonding sheets with carriers
US11192340B2 (en) 2014-04-09 2021-12-07 Corning Incorporated Device modified substrate article and methods for making
US11331692B2 (en) 2017-12-15 2022-05-17 Corning Incorporated Methods for treating a substrate and method for making articles comprising bonded sheets
US11535553B2 (en) 2016-08-31 2022-12-27 Corning Incorporated Articles of controllably bonded sheets and methods for making same
US11905201B2 (en) 2015-06-26 2024-02-20 Corning Incorporated Methods and articles including a sheet and a carrier

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10543662B2 (en) 2012-02-08 2020-01-28 Corning Incorporated Device modified substrate article and methods for making
US10086584B2 (en) 2012-12-13 2018-10-02 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
US10014177B2 (en) 2012-12-13 2018-07-03 Corning Incorporated Methods for processing electronic devices
US9340443B2 (en) 2012-12-13 2016-05-17 Corning Incorporated Bulk annealing of glass sheets
TWI617437B (zh) 2012-12-13 2018-03-11 康寧公司 促進控制薄片與載體間接合之處理
US10510576B2 (en) 2013-10-14 2019-12-17 Corning Incorporated Carrier-bonding methods and articles for semiconductor and interposer processing
TWI654088B (zh) * 2014-01-27 2019-03-21 美商康寧公司 用於聚合物表面與載具之受控接合之物件及方法
US9368436B2 (en) 2014-08-04 2016-06-14 Infineon Technologies Ag Source down semiconductor devices and methods of formation thereof
US9640419B2 (en) * 2014-08-04 2017-05-02 Infineon Technologies Ag Carrier system for processing semiconductor substrates, and methods thereof
WO2016073658A1 (en) 2014-11-05 2016-05-12 Corning Incorporated Bottom-up electrolytic via plating method
US10121759B2 (en) * 2015-11-04 2018-11-06 Kulicke And Soffa Industries, Inc. On-bonder automatic overhang die optimization tool for wire bonding and related methods
US10177114B2 (en) 2015-11-25 2019-01-08 Invensas Corporation Hybrid 3D/2.5D interposer
US10410883B2 (en) 2016-06-01 2019-09-10 Corning Incorporated Articles and methods of forming vias in substrates
US10794679B2 (en) 2016-06-29 2020-10-06 Corning Incorporated Method and system for measuring geometric parameters of through holes
US10134657B2 (en) 2016-06-29 2018-11-20 Corning Incorporated Inorganic wafer having through-holes attached to semiconductor wafer
US20190341320A1 (en) * 2016-08-01 2019-11-07 Corning Incorporated Glass based electronics packages and methods of forming thereof
TW201822282A (zh) * 2016-09-09 2018-06-16 美商康寧公司 具有通孔的低表面粗糙度基板及其製作方法
CN106783726A (zh) * 2016-12-30 2017-05-31 苏州爱彼光电材料有限公司 复合衬底及其制备方法、半导体器件
US11078112B2 (en) 2017-05-25 2021-08-03 Corning Incorporated Silica-containing substrates with vias having an axially variable sidewall taper and methods for forming the same
US10580725B2 (en) 2017-05-25 2020-03-03 Corning Incorporated Articles having vias with geometry attributes and methods for fabricating the same
KR102492733B1 (ko) 2017-09-29 2023-01-27 삼성디스플레이 주식회사 구리 플라즈마 식각 방법 및 디스플레이 패널 제조 방법
US10917966B2 (en) 2018-01-29 2021-02-09 Corning Incorporated Articles including metallized vias
US11554984B2 (en) 2018-02-22 2023-01-17 Corning Incorporated Alkali-free borosilicate glasses with low post-HF etch roughness
US11035807B2 (en) 2018-03-07 2021-06-15 General Electric Company Thermal interposer for a cryogenic cooling system
US11152294B2 (en) 2018-04-09 2021-10-19 Corning Incorporated Hermetic metallized via with improved reliability
WO2020171940A1 (en) 2019-02-21 2020-08-27 Corning Incorporated Glass or glass ceramic articles with copper-metallized through holes and processes for making the same
US10748798B1 (en) * 2019-07-01 2020-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Wireless camera wafer for vacuum chamber diagnostics
US10971472B2 (en) * 2019-07-09 2021-04-06 Mikro Mesa Technology Co., Ltd. Method of liquid assisted bonding
CN111226311B (zh) 2020-01-07 2021-01-29 长江存储科技有限责任公司 金属-电介质键合方法和结构
KR20230125003A (ko) * 2020-12-23 2023-08-28 엔테그리스, 아이엔씨. 가스 저장 시스템 및 가스 저장 방법

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005300972A (ja) * 2004-04-13 2005-10-27 Seiko Epson Corp 表示装置の製造方法及び基板貼り合わせ装置
JP2006508540A (ja) * 2002-11-29 2006-03-09 フラウンホファー ゲゼルシャフト ツール フェルドルンク デル アンゲヴァントテン フォルシュンク エー ファウ ウェーハ処理プロセス及び装置並びに中間層及びキャリヤー層を有するウェーハ
JP2006255926A (ja) * 2005-03-15 2006-09-28 Teijin Ltd 積層フィルム
WO2006112523A1 (ja) * 2005-04-19 2006-10-26 Ube Industries, Ltd. ポリイミドフィルム積層体
JP2012004522A (ja) * 2010-06-21 2012-01-05 Brewer Science Inc 逆に装着されたデバイスウェーハーをキャリヤー基板から分離する方法および装置
JP2012209545A (ja) * 2011-03-17 2012-10-25 Sekisui Chem Co Ltd 半導体積層体の製造方法
US20120329249A1 (en) * 2011-06-22 2012-12-27 Ahn Jung-Seok Methods of processing substrates
JP2013010340A (ja) * 2011-05-30 2013-01-17 Toyobo Co Ltd 積層体の作成方法および、この積層体を利用したフィルムデバイスの作成方法
JP2013503366A (ja) * 2009-08-27 2013-01-31 コーニング インコーポレイテッド 超音波を利用した担体からのガラス基板の剥離
US20130037960A1 (en) * 2011-08-09 2013-02-14 S.O.I.Tec Silicon On Insulator Technologies Methods of forming bonded semiconductor structures in 3d integration processes using recoverable substrates, and bonded semiconductor structures formed by such methods
US20130192754A1 (en) * 2012-01-26 2013-08-01 Sumitomo Bakelite Co., Ltd. Room Temperature Debonding Composition, Method and Stack
US20130203265A1 (en) * 2012-02-08 2013-08-08 Advanced Semiconductor Engineering, Inc. Carrier bonding and detaching processes for a semiconductor wafer
JP2013207084A (ja) * 2012-03-28 2013-10-07 Sumitomo Electric Ind Ltd 放熱板付基板モジュールおよび放熱板付基板モジュールの製造方法

Family Cites Families (283)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS4625913Y1 (ja) 1967-12-08 1971-09-06
US3722181A (en) 1970-05-22 1973-03-27 Du Pont Chromatographic packing with chemically bonded organic stationary phases
IE35508B1 (en) 1971-08-11 1976-03-03 Intercontinental Chem Co Ltd Method of forming adhesive bonds between surfaces
JPS5057657A (ja) 1973-09-22 1975-05-20
GB1583544A (en) 1977-07-25 1981-01-28 Uop Inc Metal-clad laminates
US4179324A (en) 1977-11-28 1979-12-18 Spire Corporation Process for fabricating thin film and glass sheet laminate
US4397722A (en) 1981-12-31 1983-08-09 International Business Machines Corporation Polymers from aromatic silanes and process for their preparation
US4599243A (en) 1982-12-23 1986-07-08 International Business Machines Corporation Use of plasma polymerized organosilicon films in fabrication of lift-off masks
US4849284A (en) 1987-02-17 1989-07-18 Rogers Corporation Electrical substrate material
US4822466A (en) 1987-06-25 1989-04-18 University Of Houston - University Park Chemically bonded diamond films and method for producing same
US4810326A (en) 1987-08-31 1989-03-07 International Business Machines Corporation Interlaminate adhesion between polymeric materials and electrolytic copper surfaces
US5141800A (en) 1989-02-02 1992-08-25 Chemical Fabrics Corporation Method of making laminated PTFE-containing composites and products thereof
US5357726A (en) 1989-02-02 1994-10-25 Chemfab Corporation Composite materials for structural end uses
US4990462A (en) 1989-04-12 1991-02-05 Advanced Micro Devices, Inc. Method for coplanar integration of semiconductor ic devices
US5073181A (en) 1990-08-09 1991-12-17 Corning Incorporated Method of protecting glass surfaces using submicron refractory particles
CA2065918A1 (en) 1991-04-22 1992-10-23 Bradley W. Reed Liquid membrane modules with minimal effective membrane thickness and methods of making the same
CA2069038C (en) 1991-05-22 1997-08-12 Kiyofumi Sakaguchi Method for preparing semiconductor member
JPH07500122A (ja) 1991-06-14 1995-01-05 ダブリュ.エル.ゴア アンド アソシエーツ,インコーポレイティド 表面改質多孔質膨張延伸ポリテトラフルオロエチレンとその製造方法
US5222494A (en) 1991-07-31 1993-06-29 Cyberonics, Inc. Implantable tissue stimulator output stabilization system
JP3039070B2 (ja) 1991-10-09 2000-05-08 住友電気工業株式会社 弗素樹脂被覆物
FR2690279B1 (fr) 1992-04-15 1997-10-03 Picogiga Sa Composant photovoltauique multispectral.
US5482896A (en) 1993-11-18 1996-01-09 Eastman Kodak Company Light emitting device comprising an organic LED array on an ultra thin substrate and process for forming same
US5616179A (en) 1993-12-21 1997-04-01 Commonwealth Scientific Corporation Process for deposition of diamondlike, electrically conductive and electron-emissive carbon-based films
US5554680A (en) 1994-02-16 1996-09-10 E. I. Du Pont De Nemours And Company Heat-resistant perfluoroelastomer composition
JP3081122B2 (ja) 1994-07-18 2000-08-28 シャープ株式会社 基板搬送用治具及びそれを用いた液晶表示素子の製造方法
US5413940A (en) 1994-10-11 1995-05-09 Taiwan Semiconductor Manufacturing Company Process of treating SOG layer using end-point detector for outgassing
DE69606942T2 (de) 1995-09-25 2000-10-05 Dow Corning Verwendung von präkeramischen Polymeren als Klebstoffe für Elektronik
CN1074006C (zh) 1995-10-13 2001-10-31 陶氏化学公司 涂覆的塑料基材
US5661618A (en) 1995-12-11 1997-08-26 International Business Machines Corporation Magnetic recording device having a improved slider
US5888591A (en) 1996-05-06 1999-03-30 Massachusetts Institute Of Technology Chemical vapor deposition of fluorocarbon polymer thin films
US5989998A (en) 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
WO2004079826A1 (ja) 1996-10-22 2004-09-16 Mitsutoshi Miyasaka 薄膜トランジスタの製造方法、及び表示装置と電子機器
US5820991A (en) 1997-02-24 1998-10-13 Cabo; Ana M. Fused glass sheets having ceramic paint and metal foil and method of making same
DE19711459A1 (de) 1997-03-19 1998-09-24 Flachglas Automotive Gmbh Verfahren zur Herstellung einer gebogenen Verbundsicherheitsglasscheibe
JP3551702B2 (ja) 1997-05-08 2004-08-11 カシオ計算機株式会社 液晶表示素子とその駆動方法
US5972152A (en) 1997-05-16 1999-10-26 Micron Communications, Inc. Methods of fixturing flexible circuit substrates and a processing carrier, processing a flexible circuit and processing a flexible circuit substrate relative to a processing carrier
US6687969B1 (en) 1997-05-16 2004-02-10 Micron Technology, Inc. Methods of fixturing flexible substrates and methods of processing flexible substrates
JPH1126733A (ja) 1997-07-03 1999-01-29 Seiko Epson Corp 薄膜デバイスの転写方法、薄膜デバイス、薄膜集積回路装置,アクティブマトリクス基板、液晶表示装置および電子機器
EP1018153A1 (en) 1997-08-29 2000-07-12 Sharon N. Farrens In situ plasma wafer bonding method
US5966622A (en) 1997-10-08 1999-10-12 Lucent Technologies Inc. Process for bonding crystalline substrates with different crystal lattices
US6852650B2 (en) 1998-02-05 2005-02-08 Asm Japan K.K. Insulation film on semiconductor substrate and method for forming same
EP2267720A3 (en) 1998-02-23 2011-03-09 Kabushiki Kaisha Toshiba Information storage medium, information playback method and apparatus and information recording method
US6159385A (en) 1998-05-08 2000-12-12 Rockwell Technologies, Llc Process for manufacture of micro electromechanical devices having high electrical isolation
EP1048628A1 (de) 1999-04-30 2000-11-02 Schott Glas Polymerbeschichtete Dünnglasfoliensubstrate
JP3202718B2 (ja) 1999-02-23 2001-08-27 鹿児島日本電気株式会社 表示装置製造用治具及びそれを用いた表示装置の製造方法
US6387736B1 (en) 1999-04-26 2002-05-14 Agilent Technologies, Inc. Method and structure for bonding layers in a semiconductor device
US6338901B1 (en) 1999-05-03 2002-01-15 Guardian Industries Corporation Hydrophobic coating including DLC on substrate
US6824879B2 (en) 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
SG93210A1 (en) 1999-06-29 2002-12-17 Univ Singapore Method for lamination of fluoropolymer to metal and printed circuit board (pcb) substrate
TW487959B (en) 1999-08-13 2002-05-21 Semiconductor Energy Lab Laser apparatus, laser annealing method, and manufacturing method of a semiconductor device
US6674140B2 (en) 2000-02-01 2004-01-06 Analog Devices, Inc. Process for wafer level treatment to reduce stiction and passivate micromachined surfaces and compounds used therefor
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
US6528145B1 (en) 2000-06-29 2003-03-04 International Business Machines Corporation Polymer and ceramic composite electronic substrates
DE10034737C2 (de) 2000-07-17 2002-07-11 Fraunhofer Ges Forschung Verfahren zur Herstellung einer permanenten Entformungsschicht durch Plasmapolymerisation auf der Oberfläche eines Formteilwerkzeugs, ein nach dem Verfahren herstellbares Formteilwerkzeug und dessen Verwendung
US6649540B2 (en) 2000-11-09 2003-11-18 The Boc Group, Inc. Organosilane CVD precursors and their use for making organosilane polymer low-k dielectric film
FR2823596B1 (fr) 2001-04-13 2004-08-20 Commissariat Energie Atomique Substrat ou structure demontable et procede de realisation
FR2823599B1 (fr) 2001-04-13 2004-12-17 Commissariat Energie Atomique Substrat demomtable a tenue mecanique controlee et procede de realisation
FR2824821B1 (fr) 2001-05-17 2003-08-29 Essilor Int Procede de preparation d'un verre apte au debordage, verre ainsi obtenu et procede de debordage d'un tel verre
US6956268B2 (en) 2001-05-18 2005-10-18 Reveo, Inc. MEMS and method of manufacturing MEMS
US7045878B2 (en) 2001-05-18 2006-05-16 Reveo, Inc. Selectively bonded thin film layer and substrate layer for processing of useful devices
JP5415658B2 (ja) 2001-05-28 2014-02-12 三菱樹脂株式会社 中間膜用粘着シート及び透明積層体
JP4036018B2 (ja) 2001-06-20 2008-01-23 昭和電工株式会社 有機発光素子および発光材料
EP1275624B1 (en) 2001-06-29 2007-08-15 Crystal Systems Inc. Antifogging product, inorganic hydrophilic hard layer forming material and process for producing antifogging lens
US6735982B2 (en) 2001-07-12 2004-05-18 Intel Corporation Processing relatively thin glass sheets
US6649212B2 (en) 2001-07-30 2003-11-18 Guardian Industries Corporation Modified silicon-based UV absorbers useful in crosslinkable polysiloxane coatings via sol-gel polymerization
TW558743B (en) 2001-08-22 2003-10-21 Semiconductor Energy Lab Peeling method and method of manufacturing semiconductor device
JP2003077187A (ja) 2001-08-30 2003-03-14 Toshiba Corp 光ディスクの製造方法
JP2003071937A (ja) 2001-09-05 2003-03-12 Toppan Printing Co Ltd 積層体及びその製造方法、並びに多層回路基板
US7033910B2 (en) 2001-09-12 2006-04-25 Reveo, Inc. Method of fabricating multi layer MEMS and microfluidic devices
US6528351B1 (en) 2001-09-24 2003-03-04 Jigsaw Tek, Inc. Integrated package and methods for making same
US6814833B2 (en) 2001-10-26 2004-11-09 Corning Incorporated Direct bonding of articles containing silicon
US6521857B1 (en) 2001-11-19 2003-02-18 Geomat Insights, Llc Plasma enhanced bonding method and device
US6699798B2 (en) 2002-04-24 2004-03-02 Intel Corporation Promoting adhesion of fluoropolymer films to semiconductor substrates
FR2874455B1 (fr) 2004-08-19 2008-02-08 Soitec Silicon On Insulator Traitement thermique avant collage de deux plaquettes
EP1363319B1 (en) 2002-05-17 2009-01-07 Semiconductor Energy Laboratory Co., Ltd. Method of transferring an object and method of manufacturing a semiconductor device
KR101005989B1 (ko) 2002-06-11 2011-01-05 코니카 미놀타 홀딩스 가부시키가이샤 표면 처리 방법 및 광학 부품
WO2004014644A1 (ja) 2002-08-07 2004-02-19 Kabushiki Kaisha Toyota Chuo Kenkyusho 密着層を備える積層体及び保護膜を備える積層体
JP2006516302A (ja) 2002-09-18 2006-06-29 フジフィルム・エレクトロニック・マテリアルズ・ユーエスエイ・インコーポレイテッド アルキル−水素シロキサンの分解を防止する添加剤
DE20215401U1 (de) 2002-10-07 2004-02-19 Schott Glas Verbund aus einem Dünnstsubsrat und einem Trägersubstrat mit lösbarem Verbindungsmittel
TW200421497A (en) 2002-11-20 2004-10-16 Reveo Inc Method and system for increasing yield of vertically integrated devices
JP2004178891A (ja) 2002-11-26 2004-06-24 Mitsubishi Electric Corp 電子放出型発光素子の製造方法
DE10353530A1 (de) 2003-11-14 2005-06-23 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Wafer mit Deckschicht und Trennschicht, Verfahren zur Herstellung eines solchen Wafers sowie Verfahren zum Dünnen bzw. Rückseitenmetallisieren eines Wafers
DE10256247A1 (de) * 2002-11-29 2004-06-09 Andreas Jakob Schichtverbund aus einer Trennschicht und einer Schutzschicht zum Schutze und zum Handling eines Wafers beim Dünnen, bei der Rückseitenbeschichtung und beim Vereinzeln
US6762074B1 (en) 2003-01-21 2004-07-13 Micron Technology, Inc. Method and apparatus for forming thin microelectronic dies
US7089635B2 (en) 2003-02-25 2006-08-15 Palo Alto Research Center, Incorporated Methods to make piezoelectric ceramic thick film arrays and elements
US6964201B2 (en) 2003-02-25 2005-11-15 Palo Alto Research Center Incorporated Large dimension, flexible piezoelectric ceramic tapes
TWI361814B (en) 2003-03-07 2012-04-11 Kuraray Co Plastic bonding method
US7220656B2 (en) 2003-04-29 2007-05-22 Micron Technology, Inc. Strained semiconductor by wafer bonding with misorientation
US6969166B2 (en) 2003-05-29 2005-11-29 3M Innovative Properties Company Method for modifying the surface of a substrate
JP2005014599A (ja) 2003-05-30 2005-01-20 Toyota Central Res & Dev Lab Inc 樹脂シート及びエレクトロルミネッセンス表示装置
FR2855909B1 (fr) 2003-06-06 2005-08-26 Soitec Silicon On Insulator Procede d'obtention concomitante d'au moins une paire de structures comprenant au moins une couche utile reportee sur un substrat
US20040258850A1 (en) 2003-06-18 2004-12-23 Ann Straccia Environmentally friendly reactive fixture to allow localized surface engineering for improved adhesion to coated and non-coated substrates
US20050001201A1 (en) 2003-07-03 2005-01-06 Bocko Peter L. Glass product for use in ultra-thin glass display applications
FR2859312B1 (fr) 2003-09-02 2006-02-17 Soitec Silicon On Insulator Scellement metallique multifonction
US7242041B2 (en) 2003-09-22 2007-07-10 Lucent Technologies Inc. Field-effect transistors with weakly coupled layered inorganic semiconductors
US20050069713A1 (en) 2003-09-30 2005-03-31 Rahul Gupta Capillary coating method
US6992371B2 (en) 2003-10-09 2006-01-31 Freescale Semiconductor, Inc. Device including an amorphous carbon layer for improved adhesion of organic layers and method of fabrication
US20050242341A1 (en) 2003-10-09 2005-11-03 Knudson Christopher T Apparatus and method for supporting a flexible substrate during processing
US20050081993A1 (en) 2003-10-16 2005-04-21 Ilkka Steven J. Method of bonding glass
GB0326537D0 (en) 2003-11-14 2003-12-17 Koninkl Philips Electronics Nv Flexible devices
US20050118742A1 (en) 2003-11-17 2005-06-02 Frank Henning Method for reducing the adhesive properties of MEMS and anti-adhesion-coated device
GB0327093D0 (en) 2003-11-21 2003-12-24 Koninkl Philips Electronics Nv Active matrix displays and other electronic devices having plastic substrates
US7041608B2 (en) 2004-02-06 2006-05-09 Eastman Kodak Company Providing fluorocarbon layers on conductive electrodes in making electronic devices such as OLED devices
US7147891B2 (en) 2004-02-11 2006-12-12 Dionex Corporation Polymer substrate coated with weak cationic-exchange functional layer
US7087134B2 (en) 2004-03-31 2006-08-08 Hewlett-Packard Development Company, L.P. System and method for direct-bonding of substrates
JP2006003684A (ja) 2004-06-18 2006-01-05 Nikon Corp 基板レスフィルタの製造方法
ITMI20041252A1 (it) 2004-06-22 2004-09-22 Solvay Solexis Spa Composizioni perfluoroelastomeriche
US7261793B2 (en) 2004-08-13 2007-08-28 Hewlett-Packard Development Company, L.P. System and method for low temperature plasma-enhanced bonding
US20060134362A1 (en) 2004-12-17 2006-06-22 3M Innovative Properties Company Optically clear pressure sensitive adhesive
EP1854136A1 (en) 2005-03-01 2007-11-14 Dow Corning Corporation Temporary wafer bonding method for semiconductor processing
US20060246218A1 (en) 2005-04-29 2006-11-02 Guardian Industries Corp. Hydrophilic DLC on substrate with barrier discharge pyrolysis treatment
US7462552B2 (en) 2005-05-23 2008-12-09 Ziptronix, Inc. Method of detachable direct bonding at low temperatures
US20070020451A1 (en) 2005-07-20 2007-01-25 3M Innovative Properties Company Moisture barrier coatings
US7166520B1 (en) 2005-08-08 2007-01-23 Silicon Genesis Corporation Thin handle substrate method and structure for fabricating devices using one or more films provided by a layer transfer process
CN101242951B (zh) 2005-08-09 2012-10-31 旭硝子株式会社 薄板玻璃层压体以及利用薄板玻璃层压体的显示装置的制造方法
US7462551B2 (en) 2005-09-30 2008-12-09 Intel Corporation Adhesive system for supporting thin silicon wafer
US8039049B2 (en) 2005-09-30 2011-10-18 Tokyo Electron Limited Treatment of low dielectric constant films using a batch processing system
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
JP2007138144A (ja) 2005-10-18 2007-06-07 Hitachi Chem Co Ltd シリカ系被膜形成用組成物
KR20070047114A (ko) 2005-11-01 2007-05-04 주식회사 엘지화학 플렉서블 기판을 구비한 소자의 제조방법 및 이에 의해제조된 플렉서블 기판을 구비한 소자
CN101305315B (zh) 2005-11-11 2010-05-19 株式会社半导体能源研究所 形成具有功能性的层的方法及半导体器件的制造方法
FR2893750B1 (fr) 2005-11-22 2008-03-14 Commissariat Energie Atomique Procede de fabrication d'un dispositif electronique flexible du type ecran comportant une pluralite de composants en couches minces.
US20070134784A1 (en) 2005-12-09 2007-06-14 Halverson Kurt J Microreplicated microarrays
KR100831562B1 (ko) 2006-03-23 2008-05-21 주식회사 엘지화학 유연성 기판 반송용 점착제 조성물
US7737035B1 (en) 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
WO2007121524A1 (en) 2006-04-20 2007-11-01 Epitactix Pty Ltd. Method of manufacture and resulting structures for semiconductor devices
CN101437772B (zh) 2006-05-08 2011-09-07 旭硝子株式会社 薄板玻璃叠层体、使用了薄板玻璃叠层体的显示装置的制造方法及支持用玻璃基板
KR101358255B1 (ko) 2006-06-27 2014-02-05 엘지디스플레이 주식회사 광경화 타입 소수성 몰드 및 그 제조방법
JPWO2008007622A1 (ja) 2006-07-12 2009-12-10 旭硝子株式会社 保護ガラス付ガラス基板、保護ガラス付ガラス基板を用いた表示装置の製造方法及び剥離紙用シリコーン
US20080044588A1 (en) 2006-08-15 2008-02-21 Sakhrani Vinay G Method for Treating a Hydrophilic Surface
US8084103B2 (en) 2006-08-15 2011-12-27 Sakhrani Vinay G Method for treating a hydrophilic surface
JP2008072087A (ja) 2006-08-16 2008-03-27 Kyoto Univ 半導体装置および半導体装置の製造方法、ならびに表示装置
WO2008044884A1 (en) 2006-10-13 2008-04-17 Sunwoo Amc Co., Ltd. Laminating film of plastic/teflon-silicon and method for preparing the same
JP5008381B2 (ja) 2006-11-15 2012-08-22 富士フイルム株式会社 可撓性基板を用いた有機エレクトロルミネッセンス発光パネルの製造方法、それにより製造された有機エレクトロルミネッセンス発光パネル、及びその製造に使用する支持基板
KR100890250B1 (ko) 2007-01-08 2009-03-24 포항공과대학교 산학협력단 플렉서블 소자의 제조 방법 및 플렉서블 표시 장치의 제조방법
US8110906B2 (en) 2007-01-23 2012-02-07 Infineon Technologies Ag Semiconductor device including isolation layer
JP5532918B2 (ja) 2007-03-12 2014-06-25 旭硝子株式会社 保護ガラス付ガラス基板を用いた表示装置の製造方法
US8399047B2 (en) 2007-03-22 2013-03-19 The Regents Of The Univeristy Of Michigan Multifunctional CVD coatings
WO2008132085A1 (de) 2007-04-26 2008-11-06 Basf Se Silane enthaltend phenothiazin-s-oxid oder phenothiazin-s,s-dioxid-gruppen und deren verwendung in oleds
KR101436115B1 (ko) 2007-04-27 2014-09-01 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 기판의 제조방법, 및 반도체장치의 제조방법
CN101679109B (zh) 2007-06-20 2011-11-09 旭硝子株式会社 采用氟化剂的氧化物玻璃的表面处理方法
KR20080113576A (ko) 2007-06-25 2008-12-31 엘지디스플레이 주식회사 액정표시장치 및 그 제조방법
US7935780B2 (en) 2007-06-25 2011-05-03 Brewer Science Inc. High-temperature spin-on temporary bonding compositions
TW200907003A (en) 2007-07-03 2009-02-16 Hitachi Chemical Co Ltd Adhesive composition and method of manufacturing thereof, adhesive member using the adhesive composition and method of manufacturing thereof, supporting member for mounting semiconductor and method of manufacturing thereof, and semiconductor apparatus an
JP2009035720A (ja) 2007-07-11 2009-02-19 Seiko Epson Corp 接合膜付き基材、接合方法および接合体
JP2009028923A (ja) 2007-07-24 2009-02-12 Seiko Epson Corp 接合方法、接合体および配線基板
JP2009028922A (ja) 2007-07-24 2009-02-12 Seiko Epson Corp 接合方法、接合体、液滴吐出ヘッドおよび液滴吐出装置
JP2009074002A (ja) 2007-09-21 2009-04-09 Seiko Epson Corp 接着シート、接合方法および接合体
US20090091025A1 (en) 2007-10-04 2009-04-09 Agency For Science, Technology And Research Method for forming and releasing interconnects
JP4710897B2 (ja) 2007-11-28 2011-06-29 セイコーエプソン株式会社 接合体の剥離方法
KR101445734B1 (ko) 2007-12-07 2014-10-01 삼성전자 주식회사 액정표시장치
KR101096142B1 (ko) 2008-01-24 2011-12-19 브레우어 사이언스 인코포레이션 캐리어 기판에 디바이스 웨이퍼를 가역적으로 장착하는 방법
JP5024087B2 (ja) 2008-02-05 2012-09-12 旭硝子株式会社 ガラス積層体、支持体付き表示装置用パネル、およびそれらの製造方法
GB0802912D0 (en) 2008-02-15 2008-03-26 Carben Semicon Ltd Thin-film transistor, carbon-based layer and method of production thereof
EP2274162A1 (en) 2008-04-08 2011-01-19 The Arizona Board Of Regents, A Body Corporate Of The State Of Arizona Acting For And On Behalf Of Arizona State University Assemblies and methods for reducing warp and bow of a flexible substrate during semiconductor processing
KR101500684B1 (ko) 2008-04-17 2015-03-10 삼성디스플레이 주식회사 캐리어 기판 및 이를 이용한 가요성 표시 장치의 제조 방법
JPWO2009128359A1 (ja) 2008-04-17 2011-08-04 旭硝子株式会社 ガラス積層体、支持体付き表示装置用パネルおよびこれらの製造方法
US7842548B2 (en) 2008-04-22 2010-11-30 Taiwan Semconductor Manufacturing Co., Ltd. Fixture for P-through silicon via assembly
KR101458901B1 (ko) 2008-04-29 2014-11-10 삼성디스플레이 주식회사 가요성 표시 장치의 제조 방법
US7960840B2 (en) 2008-05-12 2011-06-14 Texas Instruments Incorporated Double wafer carrier process for creating integrated circuit die with through-silicon vias and micro-electro-mechanical systems protected by a hermetic cavity created at the wafer level
JP5029523B2 (ja) 2008-07-14 2012-09-19 旭硝子株式会社 ガラス積層体、支持体付き表示装置用パネル、表示装置用パネル、表示装置およびこれらの製造方法
DE102008045370B4 (de) 2008-09-02 2010-07-08 Grenzebach Maschinenbau Gmbh Verfahren und Vorrichtung zum Transport großflächiger, dünner Glasplatten
WO2010051106A2 (en) 2008-09-12 2010-05-06 Arizona Board of Regents, a body corporate acting for and on behalf of Arizona State University Methods for attaching flexible substrates to rigid carriers and resulting devices
TWI354854B (en) 2008-09-15 2011-12-21 Ind Tech Res Inst Substrate structures applied in flexible electrica
JP2010107597A (ja) 2008-10-28 2010-05-13 Seiko Epson Corp 光学素子および光学素子の製造方法
US8840999B2 (en) 2008-11-19 2014-09-23 Dow Corning Toray Company, Ltd. Silicone composition and a method for preparing the same
US8211270B2 (en) 2008-11-21 2012-07-03 Nitto Denko Corporation Method of detaching attached boards from each other
DE102009022628A1 (de) 2008-12-05 2010-06-10 Evonik Goldschmidt Gmbh Verfahren zur Modifizierung von Oberflächen
TW201033000A (en) 2009-01-09 2010-09-16 Asahi Glass Co Ltd Glass laminate and manufacturing method therefor
US9063605B2 (en) 2009-01-09 2015-06-23 Apple Inc. Thin glass processing using a carrier
JP5207306B2 (ja) 2009-01-26 2013-06-12 武井電機工業株式会社 薄膜積層ガラス基板の薄膜除去方法及び装置
EP2401148B1 (en) 2009-02-27 2013-01-23 ExxonMobil Oil Corporation Plasma treated evoh multilayer film
TWI410329B (zh) 2009-03-09 2013-10-01 Ind Tech Res Inst 可撓式裝置的取下設備及其取下方法
JP5402184B2 (ja) 2009-04-13 2014-01-29 日本電気硝子株式会社 ガラスフィルムおよびその製造方法
CN101873532B (zh) 2009-04-22 2013-01-02 电信科学技术研究院 广播多播系统中发送和获取控制信息的方法、基站和ue
US8697228B2 (en) 2009-05-06 2014-04-15 Corning Incorporated Carrier for glass substrates
WO2010128611A1 (ja) * 2009-05-08 2010-11-11 日立化成工業株式会社 半導体封止用フィルム状接着剤、半導体装置及びその製造方法
CN101924067B (zh) 2009-06-09 2013-05-08 财团法人工业技术研究院 挠性膜自载板上脱离的方法及可挠式电子装置的制造方法
JP2010284869A (ja) 2009-06-11 2010-12-24 Shin-Etsu Chemical Co Ltd 接合部材
JP5594522B2 (ja) 2009-07-03 2014-09-24 日本電気硝子株式会社 電子デバイス製造用ガラスフィルム積層体
US8568184B2 (en) 2009-07-15 2013-10-29 Apple Inc. Display modules
US7987566B2 (en) 2009-07-15 2011-08-02 Sturzebecher Richard J Capacitor forming method
CN101989469A (zh) 2009-07-31 2011-03-23 群康科技(深圳)有限公司 导电板
US8048794B2 (en) 2009-08-18 2011-11-01 International Business Machines Corporation 3D silicon-silicon die stack structure and method for fine pitch interconnection and vertical heat transport
DE102009028640A1 (de) 2009-08-19 2011-02-24 Evonik Goldschmidt Gmbh Härtbare Masse enthaltend Urethangruppen aufweisende silylierte Polymere und deren Verwendung in Dicht- und Klebstoffen, Binde- und/oder Oberflächenmodifizierungsmitteln
WO2011024690A1 (ja) 2009-08-27 2011-03-03 旭硝子株式会社 フレキシブル基材-支持体の積層構造体、支持体付き電子デバイス用パネル、および電子デバイス用パネルの製造方法
JP5562597B2 (ja) 2009-08-28 2014-07-30 荒川化学工業株式会社 支持体、ガラス基板積層体、支持体付き表示装置用パネル、および表示装置用パネルの製造方法
JP5723776B2 (ja) 2009-09-08 2015-05-27 旭硝子株式会社 ガラス/樹脂積層体の製造方法
ES2660203T3 (es) 2009-09-11 2018-03-21 Henkel IP & Holding GmbH Composiciones para la unión de polímeros
KR101730901B1 (ko) 2009-09-18 2017-04-27 니폰 덴키 가라스 가부시키가이샤 유리 필름의 제조 방법, 유리 필름의 처리 방법 및 유리 필름 적층체
CN102574371B (zh) 2009-10-20 2015-10-07 旭硝子株式会社 玻璃层叠体、带支承体的显示装置用面板、显示装置用面板、显示装置及它们的制造方法
KR20120098640A (ko) 2009-10-20 2012-09-05 아사히 가라스 가부시키가이샤 유리 적층체 및 그의 제조 방법, 및 표시 패널의 제조 방법 및 그 제조 방법에 의해 얻어지는 표시 패널
KR20110045136A (ko) 2009-10-26 2011-05-04 주식회사 삼영테크놀로지 윈도우와 디스플레이 패널을 분리하기 위한 코팅방법
US9019211B2 (en) 2009-10-30 2015-04-28 Corning Incorporated Methods and apparatus for providing touch sensitive displays
KR20120105030A (ko) 2009-12-17 2012-09-24 세람테크 게엠베하 골 시멘트 부착을 향상시키기 위한 세라믹 기재에 대한 표면 컨디셔닝
US9156230B2 (en) 2010-01-12 2015-10-13 Nippon Electric Glass Co., Ltd. Glass film laminate without adhesive
US8695832B2 (en) * 2010-02-02 2014-04-15 Klaus Thielen Can lid and method for producing a can lid
GB201003067D0 (en) 2010-02-23 2010-04-07 Semblant Ltd Plasma-polymerized polymer coating
JP2011201977A (ja) 2010-03-24 2011-10-13 Seiko Epson Corp 接合方法
JP2011201976A (ja) 2010-03-24 2011-10-13 Seiko Epson Corp 接合方法
US8349727B2 (en) 2010-04-08 2013-01-08 Liang Guo Integrated method for high-density interconnection of electronic components through stretchable interconnects
US20110256385A1 (en) 2010-04-15 2011-10-20 Seiko Epson Corporation Bonding film-attached substrate and bonding film-attached substrate manufacturing method
JP5516046B2 (ja) 2010-05-11 2014-06-11 セイコーエプソン株式会社 接合膜転写シートおよび接合方法
JP5835214B2 (ja) 2010-05-11 2015-12-24 旭硝子株式会社 積層体の製造方法、および積層体
JP2011235556A (ja) 2010-05-11 2011-11-24 Seiko Epson Corp 励起エネルギー特定方法、励起エネルギー特定装置、接合方法および接合装置
GB2481187B (en) 2010-06-04 2014-10-29 Plastic Logic Ltd Processing substrates
KR101721414B1 (ko) 2010-06-09 2017-03-31 삼성디스플레이 주식회사 백라이트 어셈블리 및 이를 포함하는 액정표시장치
TWI432835B (zh) 2010-06-24 2014-04-01 Au Optronics Corp 可撓性顯示面板及其製造方法
DE102010025967B4 (de) 2010-07-02 2015-12-10 Schott Ag Verfahren zur Erzeugung einer Vielzahl von Löchern, Vorrichtung hierzu und Glas-Interposer
CN101916022B (zh) 2010-07-06 2012-10-10 友达光电股份有限公司 可挠性显示面板及其制造方法
JP5602529B2 (ja) 2010-07-29 2014-10-08 日本合成化学工業株式会社 積層体の製法、ガラス基板付き偏光板の製法、およびそれにより得られたガラス基板付き偏光板
US9263314B2 (en) 2010-08-06 2016-02-16 Brewer Science Inc. Multiple bonding layers for thin-wafer handling
US20120035309A1 (en) 2010-08-06 2012-02-09 Baker Hughes Incorporated Method to disperse nanoparticles into elastomer and articles produced therefrom
JP5462107B2 (ja) 2010-08-09 2014-04-02 株式会社日本製鋼所 中空成形品の製造方法および製造装置
US8846499B2 (en) 2010-08-17 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Composite carrier structure
TWI446420B (zh) 2010-08-27 2014-07-21 Advanced Semiconductor Eng 用於半導體製程之載體分離方法
US20120063952A1 (en) 2010-09-10 2012-03-15 Hong Keith C Uv resistant clear laminates
KR101164945B1 (ko) 2010-09-13 2012-07-12 한국과학기술원 플렉시블 소자의 제작 방법
KR101779586B1 (ko) 2010-09-27 2017-10-10 엘지디스플레이 주식회사 플라스틱 기판을 이용한 표시장치 제조 방법
WO2012043971A2 (ko) 2010-09-29 2012-04-05 포항공과대학교 산학협력단 롤 형상의 모기판을 이용한 플렉서블 전자소자의 제조방법, 플렉서블 전자소자 및 플렉서블 기판
US8822306B2 (en) 2010-09-30 2014-09-02 Infineon Technologies Ag Method for manufacturing a composite wafer having a graphite core, and composite wafer having a graphite core
US8859103B2 (en) 2010-11-05 2014-10-14 Joseph Eugene Canale Glass wafers for semiconductor fabrication processes and methods of making same
KR101295532B1 (ko) 2010-11-11 2013-08-12 엘지디스플레이 주식회사 플렉시블 평판소자의 제조방법
KR101271838B1 (ko) 2010-11-24 2013-06-07 주식회사 포스코 보강기판을 이용한 플렉서블 전자소자의 제조방법, 플렉서블 전자소자 및 플렉서블 기판
EP2458620B1 (en) 2010-11-29 2021-12-01 IHP GmbH-Innovations for High Performance Microelectronics / Leibniz-Institut für innovative Mikroelektronik Fabrication of graphene electronic devices using step surface contour
TW201238014A (en) 2010-11-30 2012-09-16 Corning Inc Methods of forming a glass wiring board substrate
JP2012119611A (ja) 2010-12-03 2012-06-21 Asahi Glass Co Ltd 貫通電極基板の製造方法
KR101049380B1 (ko) * 2010-12-21 2011-07-15 한국기계연구원 전해도금을 이용한 반도체 소자 3차원 패키지용 관통 전극 및 그 제조 방법
CN102070120B (zh) 2010-12-31 2012-09-05 东南大学 用于微电子系统级封装的高密度转接板的制备方法
JP2012166999A (ja) 2011-02-16 2012-09-06 Asahi Glass Co Ltd インターポーザ用ガラス基板の製造方法、インターポーザの製造方法、インターポーザ用ガラス基板、およびインターポーザ
KR101918284B1 (ko) 2011-03-03 2019-01-30 엘지디스플레이 주식회사 플렉시블 표시장치의 제조 방법
TWI445626B (zh) 2011-03-18 2014-07-21 Eternal Chemical Co Ltd 製造軟性元件的方法
JP2012227310A (ja) 2011-04-19 2012-11-15 Panasonic Corp セラミックス多層基板とその製造方法
CN103492173B (zh) 2011-04-22 2015-05-20 旭硝子株式会社 层叠体、其制造方法和用途
JP5862238B2 (ja) 2011-05-27 2016-02-16 東洋紡株式会社 積層体とその製造方法及びそれを用いたデバイス構造体の製造方法
JP5883236B2 (ja) 2011-06-10 2016-03-09 日東電工株式会社 薄層基材用キャリア材
KR20130003997A (ko) 2011-07-01 2013-01-09 엘지디스플레이 주식회사 캐리어 기판과 박형 글라스의 탈부착 방법
WO2013006865A2 (en) 2011-07-07 2013-01-10 Brewer Science Inc. Methods of transferring device wafers or layers between carrier substrates and other surfaces
JP2013024100A (ja) 2011-07-20 2013-02-04 Yamaha Motor Co Ltd 内燃機関およびそれを備えた鞍乗型車両
US8383460B1 (en) 2011-09-23 2013-02-26 GlobalFoundries, Inc. Method for fabricating through substrate vias in semiconductor substrate
KR20140069277A (ko) 2011-09-27 2014-06-09 어플라이드 머티어리얼스, 인코포레이티드 얇은 유리 기판들을 위한 캐리어 및 그 이용
JP5796449B2 (ja) 2011-10-12 2015-10-21 旭硝子株式会社 電子デバイスの製造方法、樹脂層付きキャリア基板の製造方法
JP5790392B2 (ja) 2011-10-12 2015-10-07 旭硝子株式会社 電子デバイスの製造方法
CN103889712B (zh) 2011-10-18 2015-07-08 旭硝子株式会社 层叠体、层叠体的制造方法及带有电子器件用构件的玻璃基板的制造方法
KR101820171B1 (ko) 2011-10-24 2018-01-19 엘지디스플레이 주식회사 경량 박형의 액정표시장치 제조방법
US8550061B2 (en) 2012-01-26 2013-10-08 Maruzen Company Limited Toy gun
JP2015515431A (ja) 2012-02-08 2015-05-28 コーニング インコーポレイテッド 担体付のフレキシブルガラスの処理
US9725357B2 (en) 2012-10-12 2017-08-08 Corning Incorporated Glass articles having films with moderate adhesion and retained strength
JP2013184346A (ja) 2012-03-07 2013-09-19 Asahi Glass Co Ltd ガラス積層体、電子デバイスの製造方法
JP2013184872A (ja) 2012-03-09 2013-09-19 Nippon Electric Glass Co Ltd ガラス基板の端部処理方法、ガラス基板の端部処理装置及びガラス基板
JP2013216513A (ja) 2012-04-05 2013-10-24 Nippon Electric Glass Co Ltd ガラスフィルムの切断方法及びガラスフィルム積層体
DE102012207149A1 (de) 2012-04-27 2013-10-31 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verbund mit temporärer Schutzfolie
WO2013179881A1 (ja) 2012-05-29 2013-12-05 旭硝子株式会社 ガラス積層体および電子デバイスの製造方法
KR20130095605A (ko) 2012-06-22 2013-08-28 코스텍시스템(주) 디바이스 웨이퍼와 캐리어 웨이퍼의 본딩과 디본딩 장치
CN102815052B (zh) 2012-06-29 2016-08-24 法国圣戈班玻璃公司 超疏水减反基板及其制作方法
JP2014019597A (ja) 2012-07-17 2014-02-03 Nippon Electric Glass Co Ltd ガラスフィルムの製造方法及びガラスフィルム積層体
CN102820262A (zh) 2012-09-05 2012-12-12 江苏物联网研究发展中心 一种玻璃通孔的制作及互连的方法
JP6323957B2 (ja) 2012-10-12 2018-05-16 コーニング インコーポレイテッド 残留強度を有する物品
US20140150244A1 (en) 2012-11-30 2014-06-05 General Electric Company Adhesive-free carrier assemblies for glass substrates
US10014177B2 (en) 2012-12-13 2018-07-03 Corning Incorporated Methods for processing electronic devices
EP2932496A4 (en) 2012-12-13 2016-11-02 Corning Inc GLASS AND METHOD FOR PRODUCING GLASS ARTICLES
US9340443B2 (en) 2012-12-13 2016-05-17 Corning Incorporated Bulk annealing of glass sheets
TWI617437B (zh) 2012-12-13 2018-03-11 康寧公司 促進控制薄片與載體間接合之處理
US10086584B2 (en) 2012-12-13 2018-10-02 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
WO2014137801A1 (en) 2013-03-03 2014-09-12 John Moore Temporary adhesive with tunable adhesion force sufficient for processing thin solid materials
US9790407B2 (en) 2013-03-09 2017-10-17 Moore John Aqueous detergent soluble coating and adhesive and methods of temporary bonding for manufacturing
CN105658594B (zh) 2013-03-15 2019-01-04 康宁股份有限公司 玻璃片的整体退火
KR101432575B1 (ko) 2013-03-29 2014-08-21 엘지디스플레이 주식회사 경량 박형의 액정표시장치 제조방법
US20150099110A1 (en) 2013-10-07 2015-04-09 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
US10510576B2 (en) 2013-10-14 2019-12-17 Corning Incorporated Carrier-bonding methods and articles for semiconductor and interposer processing
JP6176067B2 (ja) 2013-11-11 2017-08-09 旭硝子株式会社 ガラス積層体および電子デバイスの製造方法
JP6119567B2 (ja) 2013-11-11 2017-04-26 旭硝子株式会社 ガラス積層体の製造方法および電子デバイスの製造方法
JP6136909B2 (ja) 2013-12-17 2017-05-31 旭硝子株式会社 樹脂層付き支持基板の製造方法、ガラス積層体の製造方法、電子デバイスの製造方法
JP6770432B2 (ja) 2014-01-27 2020-10-14 コーニング インコーポレイテッド 薄いシートの担体との制御された結合のための物品および方法
TWI654088B (zh) 2014-01-27 2019-03-21 美商康寧公司 用於聚合物表面與載具之受控接合之物件及方法
WO2015113023A1 (en) 2014-01-27 2015-07-30 Corning Incorporated Treatment of a surface modification layer for controlled bonding of thin sheets with carriers
CN105980150B (zh) 2014-02-07 2018-01-30 旭硝子株式会社 玻璃层叠体
SG11201608442TA (en) 2014-04-09 2016-11-29 Corning Inc Device modified substrate article and methods for making
CN106163798B (zh) 2014-04-10 2019-05-10 Agc株式会社 玻璃层叠体及其制造方法、电子器件的制造方法
WO2015163134A1 (ja) 2014-04-25 2015-10-29 旭硝子株式会社 ガラス積層体および電子デバイスの製造方法
CN106573443B (zh) 2014-08-01 2018-09-25 Agc株式会社 带无机膜的支撑基板及玻璃层叠体、以及它们的制造方法及电子器件的制造方法
CN117534339A (zh) 2015-06-26 2024-02-09 康宁股份有限公司 包含板材和载体的方法和制品
JP2019524510A (ja) 2016-08-22 2019-09-05 コーニング インコーポレイテッド 制御可能に結合されたシートの物品およびその製造方法

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006508540A (ja) * 2002-11-29 2006-03-09 フラウンホファー ゲゼルシャフト ツール フェルドルンク デル アンゲヴァントテン フォルシュンク エー ファウ ウェーハ処理プロセス及び装置並びに中間層及びキャリヤー層を有するウェーハ
JP2005300972A (ja) * 2004-04-13 2005-10-27 Seiko Epson Corp 表示装置の製造方法及び基板貼り合わせ装置
JP2006255926A (ja) * 2005-03-15 2006-09-28 Teijin Ltd 積層フィルム
WO2006112523A1 (ja) * 2005-04-19 2006-10-26 Ube Industries, Ltd. ポリイミドフィルム積層体
JP2013503366A (ja) * 2009-08-27 2013-01-31 コーニング インコーポレイテッド 超音波を利用した担体からのガラス基板の剥離
JP2012004522A (ja) * 2010-06-21 2012-01-05 Brewer Science Inc 逆に装着されたデバイスウェーハーをキャリヤー基板から分離する方法および装置
JP2012209545A (ja) * 2011-03-17 2012-10-25 Sekisui Chem Co Ltd 半導体積層体の製造方法
JP2013010340A (ja) * 2011-05-30 2013-01-17 Toyobo Co Ltd 積層体の作成方法および、この積層体を利用したフィルムデバイスの作成方法
US20120329249A1 (en) * 2011-06-22 2012-12-27 Ahn Jung-Seok Methods of processing substrates
US20130037960A1 (en) * 2011-08-09 2013-02-14 S.O.I.Tec Silicon On Insulator Technologies Methods of forming bonded semiconductor structures in 3d integration processes using recoverable substrates, and bonded semiconductor structures formed by such methods
US20130192754A1 (en) * 2012-01-26 2013-08-01 Sumitomo Bakelite Co., Ltd. Room Temperature Debonding Composition, Method and Stack
US20130203265A1 (en) * 2012-02-08 2013-08-08 Advanced Semiconductor Engineering, Inc. Carrier bonding and detaching processes for a semiconductor wafer
JP2013207084A (ja) * 2012-03-28 2013-10-07 Sumitomo Electric Ind Ltd 放熱板付基板モジュールおよび放熱板付基板モジュールの製造方法

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11123954B2 (en) 2014-01-27 2021-09-21 Corning Incorporated Articles and methods for controlled bonding of thin sheets with carriers
US11192340B2 (en) 2014-04-09 2021-12-07 Corning Incorporated Device modified substrate article and methods for making
US11167532B2 (en) 2015-05-19 2021-11-09 Corning Incorporated Articles and methods for bonding sheets with carriers
US11660841B2 (en) 2015-05-19 2023-05-30 Corning Incorporated Articles and methods for bonding sheets with carriers
US11905201B2 (en) 2015-06-26 2024-02-20 Corning Incorporated Methods and articles including a sheet and a carrier
US11097509B2 (en) 2016-08-30 2021-08-24 Corning Incorporated Siloxane plasma polymers for sheet bonding
US11535553B2 (en) 2016-08-31 2022-12-27 Corning Incorporated Articles of controllably bonded sheets and methods for making same
US11331692B2 (en) 2017-12-15 2022-05-17 Corning Incorporated Methods for treating a substrate and method for making articles comprising bonded sheets

Also Published As

Publication number Publication date
EP3058587A1 (en) 2016-08-24
TW201517175A (zh) 2015-05-01
JP6873702B2 (ja) 2021-05-19
SG11201602919PA (en) 2016-05-30
KR20160070179A (ko) 2016-06-17
TWI632612B (zh) 2018-08-11
JP2019208054A (ja) 2019-12-05
WO2015057605A1 (en) 2015-04-23
CN106133899A (zh) 2016-11-16
KR102355656B1 (ko) 2022-01-26
US20150102498A1 (en) 2015-04-16
EP3058587B1 (en) 2022-09-14
US10510576B2 (en) 2019-12-17
CN106133899B (zh) 2019-11-12
JP6874068B2 (ja) 2021-05-19

Similar Documents

Publication Publication Date Title
JP6874068B2 (ja) 半導体及びインターポーザ加工のためのキャリア結合方法及び物品
JP6770432B2 (ja) 薄いシートの担体との制御された結合のための物品および方法
KR102132637B1 (ko) Oled 장치의 가공 방법
KR102237812B1 (ko) 캐리어와 유리 시트의 결합을 제어하기 위한 유리 물품 및 방법
JP6310479B2 (ja) シートとキャリアとの間の結合を制御するための促進された加工
JP2017500259A (ja) ガラスシートとキャリアとの制御された結合のためのガラス物品及び方法
US20150329415A1 (en) Glass and methods of making glass articles
TW201601211A (zh) 裝置修飾基板物件及其製造方法

Legal Events

Date Code Title Description
A524 Written submission of copy of amendment under article 19 pct

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20171016

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20171016

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180517

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180529

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20180829

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20181029

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20181129

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20190327

C60 Trial request (containing other claim documents, opposition documents)

Free format text: JAPANESE INTERMEDIATE CODE: C60

Effective date: 20190729

C116 Written invitation by the chief administrative judge to file amendments

Free format text: JAPANESE INTERMEDIATE CODE: C116

Effective date: 20190807

C22 Notice of designation (change) of administrative judge

Free format text: JAPANESE INTERMEDIATE CODE: C22

Effective date: 20190807

C22 Notice of designation (change) of administrative judge

Free format text: JAPANESE INTERMEDIATE CODE: C22

Effective date: 20200325

C22 Notice of designation (change) of administrative judge

Free format text: JAPANESE INTERMEDIATE CODE: C22

Effective date: 20200415

C13 Notice of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: C13

Effective date: 20200715

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20201015

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20201116

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20201215

C22 Notice of designation (change) of administrative judge

Free format text: JAPANESE INTERMEDIATE CODE: C22

Effective date: 20210113

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210115

C23 Notice of termination of proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C23

Effective date: 20210217

C03 Trial/appeal decision taken

Free format text: JAPANESE INTERMEDIATE CODE: C03

Effective date: 20210331

C30A Notification sent

Free format text: JAPANESE INTERMEDIATE CODE: C3012

Effective date: 20210331

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210421

R150 Certificate of patent or registration of utility model

Ref document number: 6873702

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150