CN117534339A - 包含板材和载体的方法和制品 - Google Patents

包含板材和载体的方法和制品 Download PDF

Info

Publication number
CN117534339A
CN117534339A CN202311495612.8A CN202311495612A CN117534339A CN 117534339 A CN117534339 A CN 117534339A CN 202311495612 A CN202311495612 A CN 202311495612A CN 117534339 A CN117534339 A CN 117534339A
Authority
CN
China
Prior art keywords
carrier
sheet
bonding surface
modification layer
bonding
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202311495612.8A
Other languages
English (en)
Inventor
R·A·贝尔曼
R·G·曼利
P·马宗达
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Corning Inc
Original Assignee
Corning Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Corning Inc filed Critical Corning Inc
Publication of CN117534339A publication Critical patent/CN117534339A/zh
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/28Surface treatment of glass, not in the form of fibres or filaments, by coating with organic material
    • C03C17/32Surface treatment of glass, not in the form of fibres or filaments, by coating with organic material with synthetic or natural resins
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C15/00Surface treatment of glass, not in the form of fibres or filaments, by etching
    • C03C15/02Surface treatment of glass, not in the form of fibres or filaments, by etching for making a smooth surface
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B17/00Layered products essentially comprising sheet glass, or glass, slag, or like fibres
    • B32B17/06Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material
    • B32B17/10Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material of synthetic resin
    • B32B17/10005Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material of synthetic resin laminated safety glass or glazing
    • B32B17/1055Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material of synthetic resin laminated safety glass or glazing characterized by the resin layer, i.e. interlayer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B17/00Layered products essentially comprising sheet glass, or glass, slag, or like fibres
    • B32B17/06Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material
    • B32B17/10Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material of synthetic resin
    • B32B17/10005Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material of synthetic resin laminated safety glass or glazing
    • B32B17/10009Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material of synthetic resin laminated safety glass or glazing characterized by the number, the constitution or treatment of glass sheets
    • B32B17/10036Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material of synthetic resin laminated safety glass or glazing characterized by the number, the constitution or treatment of glass sheets comprising two outer glass sheets
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B17/00Layered products essentially comprising sheet glass, or glass, slag, or like fibres
    • B32B17/06Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material
    • B32B17/10Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material of synthetic resin
    • B32B17/10005Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material of synthetic resin laminated safety glass or glazing
    • B32B17/10009Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material of synthetic resin laminated safety glass or glazing characterized by the number, the constitution or treatment of glass sheets
    • B32B17/10128Treatment of at least one glass sheet
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B17/00Layered products essentially comprising sheet glass, or glass, slag, or like fibres
    • B32B17/06Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material
    • B32B17/10Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material of synthetic resin
    • B32B17/10005Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material of synthetic resin laminated safety glass or glazing
    • B32B17/1055Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material of synthetic resin laminated safety glass or glazing characterized by the resin layer, i.e. interlayer
    • B32B17/10559Shape of the cross-section
    • B32B17/10577Surface roughness
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C15/00Surface treatment of glass, not in the form of fibres or filaments, by etching
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C27/00Joining pieces of glass to pieces of other inorganic material; Joining glass to glass other than by fusing
    • C03C27/06Joining glass to glass by processes other than fusing
    • C03C27/10Joining glass to glass by processes other than fusing with the aid of adhesive specially adapted for that purpose
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2315/00Other materials containing non-metallic inorganic compounds not provided for in groups B32B2311/00 - B32B2313/04
    • B32B2315/08Glass
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2457/00Electrical equipment
    • B32B2457/20Displays, e.g. liquid crystal displays, plasma displays
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2218/00Methods for coating glass
    • C03C2218/10Deposition methods
    • C03C2218/15Deposition methods from the vapour phase
    • C03C2218/152Deposition methods from the vapour phase by cvd
    • C03C2218/153Deposition methods from the vapour phase by cvd by plasma-enhanced cvd
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2218/00Methods for coating glass
    • C03C2218/30Aspects of methods for coating glass not covered above
    • C03C2218/32After-treatment
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2218/00Methods for coating glass
    • C03C2218/30Aspects of methods for coating glass not covered above
    • C03C2218/355Temporary coating

Abstract

一种制品,其包含载体、板材和表面改性层,所述载体包含载体结合表面,所述板材包含板材结合表面,且所述表面改性层设置在载体结合表面和板材结合表面中的至少一种上。表面改性层包含等离子体聚合材料。等离子体聚合材料使载体结合表面和板材结合表面中的至少一种平面化。载体结合表面和板材结合表面与表面改性层结合,以使载体与板材暂时结合。一种制造制品的方法,所述方法包括在载体结合表面和板材结合表面的至少一种上沉积表面改性层。所述方法还包括使载体结合表面和板材结合表面与表面改性层结合,以使载体与板材暂时结合。

Description

包含板材和载体的方法和制品
本申请是申请人为康宁股份有限公司、发明名称为“包含板材和载体的方法和制品”、申请号为201680037632.2的中国发明专利申请的分案。该母案是国际申请号为PCT/US2016/038663、国际申请日为2016年6月22日的国际申请的中国国家阶段,要求美国专利申请62/185,095的优先权,优先权日为2015年6月26日。
相关申请的交叉引用
本申请依据35U.S.C.§119要求2015年6月26日提交的序列号为62/185095的美国临时申请的优先权,本申请以其内容为基础,并通过参考将其全文纳入本文。
技术领域
本公开总体上涉及包含板材和载体的方法和制品,更具体而言,涉及提供暂时结合至载体的板材的方法和制品。
背景
希望提供一种挠性玻璃板材,其能够为制造更薄、更轻、更有挠性且更耐久的显示结构提供可能性。然而,玻璃板挠性的增加对利用通常设计用于处理相对较厚且相对较硬的玻璃板的设备来加工玻璃板造成了障碍。为了解决这一问题,可使挠性玻璃板暂时结合至载体。所得到的制品相比于挠性玻璃板本身相对更厚且相对更硬。随后,在玻璃板与载体保持结合的同时,利用加工玻璃板的设备来处理该制品。经过加工后,可将挠性玻璃板从载体上移除,然后用于显示器或其它装置中。
希望通过使用足以在利用加工设备处理制品的同时使板材与载体保持结合的载体范德华结合来将挠性板材(例如挠性玻璃板)暂时结合至载体。此外,希望按照该方式使挠性板材暂时结合至载体,从而抑制(例如防止或减弱)板材和载体之间共价键的形成,所述共价键的形成可使得后续在不损伤或损毁板材和/或载体的条件下从载体上除去板材变得复杂或不可行。增加载体结合表面和板材结合表面中的一种的表面粗糙度可抑制(例如防止或减弱)后续高温加工过程中或之后的板材和载体之间共价键的形成。然而,增加了的表面粗糙度可显著降低在低温加工过程中用于使板材和载体结合在一起的范德华结合力,以至于表面的自发结合不能发生,或者所产生的表面结合会很弱,使得该结合在利用设备进行加工的过程中无法维持。
发明概述
下文对本公开进行了简单的小结,以提供对于详述中所描述的一些示例性的方面的基本理解。本公开提供了一种包含等离子体聚合材料的表面改性层。等离子体聚合材料使载体结合表面和板材结合表面中的至少一种平面化,所述载体结合表面和板材结合表面中的至少一种在平面化之前具有中等表面粗糙度(本文所述的表面粗糙度是指均方根表面粗糙度,Rq)。通过利用等离子体聚合材料降低表面粗糙度,范德华结合力可足够高,以允许板材对载体产生初始结合,该初始结合将会在利用设备进行加工的过程中得到保持。同时,等离子体聚合材料能够抑制(例如防止或减弱)板材与载体之间共价键的形成,所述共价键的形成会阻止加工后板材与载体分离。
在本公开的第一方面中,一种制品包含载体、板材和表面改性层,所述载体包含载体结合表面,所述板材包含板材结合表面,且所述表面改性层设置在载体结合表面和板材结合表面中的至少一种上。表面改性层包含等离子体聚合材料。等离子体聚合材料使载体结合表面和板材结合表面中的至少一种平面化。载体结合表面和板材结合表面与表面改性层结合,以使载体与板材暂时结合。
在第一方面的一个例子中,平面化的载体结合表面和平面化的板材结合表面中的至少一种具有小于约0.8nm的表面粗糙度Rq。
在第一方面的另一个例子中,载体结合表面和板材结合表面中的至少一种具有在约0.8nm至约5.0nm范围内的表面粗糙度Rq。
在第一方面的另一个例子中,载体结合表面和板材结合表面中的至少一种具有大于约2.0nm的表面粗糙度Rq。
在第一方面的另一个例子中,表面改性层具有弹性模量和塑性中的至少一种,以使载体结合表面的一部分和板材结合表面的一部分中的至少一种可侵入表面改性层。例如,弹性模量在约0.6GPa至约20GPa的范围内。
在第一方面的另一个例子中,载体和板材中的至少一种包含玻璃。
在第一方面的另一个例子中,载体具有在约200微米至约3mm范围内的厚度。
在第一方面的另一个例子中,板材具有小于或等于约300微米的厚度。
在第一方面的另一个例子中,表面改性层具有在约0.1nm至约100nm范围内的厚度。
第一方面可单独提供,或者以与上述第一方面的一个例子或多个例子的任意组合相结合的形式提供。
在本公开的第二方面中,一种制品包含载体、板材以及载体表面改性层和板材表面改性层中的至少一种,所述载体包含载体结合表面,所述板材包含板材结合表面,所述载体表面改性层设置在载体结合表面上,且所述板材表面改性层设置在板材结合表面上。载体表面改性层和板材表面改性层中的至少一种包含等离子体聚合材料。等离子体聚合材料使载体结合表面和板材结合表面中的至少一种平面化。载体结合表面和板材结合表面与载体表面改性层和板材表面改性层中的至少一种结合,以使载体与板材暂时结合。
在第二方面的一个例子中,对于载体表面改性层和板材表面改性层中的至少一种,制品只包含载体表面改性层。板材结合表面的至少一部分侵入载体表面改性层。
在第二方面的另一个例子中,对于载体表面改性层和板材表面改性层中的至少一种,制品只包含板材表面改性层。载体结合表面的至少一部分侵入板材表面改性层。
在第二方面的另一个例子中,对于载体表面改性层和板材表面改性层中的至少一种,制品包含载体表面改性层和板材表面改性层。满足以下中的至少一项:(i)板材结合表面的一部分侵入载体表面改性层;以及(ii)载体结合表面的一部分侵入板材表面改性层。
在第二方面的另一个例子中,平面化的载体结合表面和平面化的板材结合表面中的至少一种具有小于约0.8nm的表面粗糙度Rq。
在第二方面的另一个例子中,载体结合表面和板材结合表面中的至少一种具有在约0.8nm至约5.0nm范围内的表面粗糙度Rq。
第二方面可单独提供,或者以与上述第二方面的一个例子或多个例子的任意组合相结合组合的形式提供。
在本公开的第三方面中,一种制造制品的方法,所述方法包括在载体的载体结合表面和板材的板材结合表面的至少一种上沉积表面改性层。表面改性层包含等离子体聚合材料。等离子体聚合材料使载体结合表面和板材结合表面中的至少一种平面化。所述方法包括使载体结合表面和板材结合表面中的至少一种与表面改性层结合,以使载体与板材暂时结合。
在第三方面的一个例子中,平面化的载体结合表面和平面化的板材结合表面中的至少一种具有小于约0.8nm的表面粗糙度Rq。
在第三方面的另一个例子中,载体结合表面和板材结合表面中的至少一种具有在约0.8nm至约5.0nm范围内的表面粗糙度Rq。
在第三方面的另一个例子中,所述方法还包括表面改性层的弹性模量和塑性中的至少一种,所述弹性模量和塑性允许载体结合表面的一部分和板材结合表面的一部分中的至少一种侵入表面改性层。
第三方面可单独提供,或者以与上述第三方面的一个例子或多个例子的任意组合相结合的形式提供。
附图的简要说明
参照附图阅读本发明的以下详细描述,可以更好地理解本发明的上述和其它特征、方面和优点,其中:
图1例示了一种处于未组装(例如未结合)状态下的示例性制品;
图2例示了一种处于组装(例如暂时结合)状态下的示例性制品;以及
图3~14例示了各种实施方式,利用这些实施方式,载体结合表面和板材结合表面中的至少一种与表面改性层结合,以使载体与板材暂时结合。
发明详述
在此将参照附图更完整地描述各个例子。只要有可能,在所有附图中使用相同的附图标记来表示相同或类似的部分。。
参考图1和图2,提供了一种具有各种可单独或组合使用的示例性特征的示例性制品100。图1所示的示例性制品100处于未组装(例如未结合)状态,而图2所示的示例性制品100处于组装(例如结合)状态。如图所示,制品100可包含载体110、板材120和表面改性层130。载体可包含载体结合表面115。板材可包含板材结合表面125。如图2所示,载体结合表面115、板材结合表面125和表面改性层130可结合在一起以形成制品100,以使载体110与板材120暂时结合。
载体110可由以下物质制成或包含以下物质:玻璃(例如铝硅酸盐、硼硅酸盐、铝硼硅酸盐、钠钙硅酸盐且可含有碱金属或不含碱金属)、陶瓷、玻璃-陶瓷、金属、硅、多晶硅、单晶硅、蓝宝石、石英或单独或与其它材料组合的包括未在本文中明确列出的任意其它材料。另外,载体110可包含一个层(如图所示),或者包含彼此结合的多个层(包括相同或不同材料的多个层)。另外,载体110可为1代(Gen 1)尺寸或更大,例如2代、3代、4代、5代、8代或更大(例如,板材尺寸为100mm×100mm~3米×3米或更大)。板材120可由以下物质制成或包含以下物质:玻璃(例如铝硅酸盐、硼硅酸盐、铝硼硅酸盐、钠钙硅酸盐且可含有碱金属或不含碱金属)、陶瓷、玻璃-陶瓷、金属、一种聚合物或多种聚合物、一种聚合物或多种聚合物与玻璃的复合材料、硅、多晶硅、单晶硅、蓝宝石、石英或单独或与其它材料组合的包括未在本文中明确列出的任意其它材料。另外,板材120可为1代(Gen 1)尺寸或更大,例如2代、3代、4代、5代、8代或更大(例如,板材尺寸为100mm×100mm~3米×3米或更大)。此外,板材120可以是包含通孔的插入件(例如插入板或插入晶片)。
制品100可具有制品厚度101,载体110可具有载体厚度111,且板材120可具有板材厚度121,表面改性层130可具有表面改性层厚度131。制品厚度101包含载体厚度111、板材厚度121和表面改性层厚度131。在一个例子中,制品100具有在约0.4mm至约3.0mm范围内的制品厚度101,包括但不限于大于或等于0.4mm、0.5mm、0.6mm、0.7mm、0.8mm、0.9mm、1.0mm、2.0mm或3.0mm。应当理解的是,制品100的制品厚度101可以是任意厚度,包括未在本公开中明确公开的任意厚度(例如小于0.4mm的厚度、大于3.0mm的厚度或任意其它厚度)。在另一个例子中,载体110可具有在约0.2mm至约3mm范围内的载体厚度111,包括但不限于0.2mm、0.3mm、0.4mm、0.5mm、0.6mm、0.65mm、0.7mm、1.0mm、2.0mm或3.0mm。应当理解的是,载体的载体厚度111可以是任意厚度,包括未在本公开中明确公开的任意厚度(例如小于0.2mm的厚度、大于3mm的厚度或任意其它厚度)。在另一个例子中,板材120可具有小于或等于约300微米的板材厚度121,包括但不限于10~50微米、50~100微米、100~150微米、150~300微米或小于或基本上等于300、250、200、190、180、170、160、150、140、130、120、110、100、90、80、70、60、50、40、30、20或10微米。应当理解的是,板材120的板材厚度121可以是任意厚度,包括未在本公开中明确公开的任意厚度(例如大于300微米的厚度或任意其它厚度)。在另一种例子中,表面改性层130可具有在约0.1nm至约100nm范围内的表面改性层厚度131。应当理解的是,表面改性层130的表面改性层厚度131可以是任意厚度,包括未在本公开中明确公开的任意厚度(例如小于0.1nm的厚度、大于100nm的厚度或任意其它厚度)。
在一些例子中,制品厚度101可配置成提供可在加工设备(包括现有的加工设备)中加工的具有制品厚度101的制品100。例如,载体结合表面115、板材结合表面125和表面改性层130可被结合在一起,随后经历各种加工。在经过加工后,可分离板材120和载体110,包括可在加工过程中制造在板材上的任意装置,且例如可重复使用载体110。一些加工类型包括真空加工、湿法加工、超声清洁加工、旋转-清洁-干燥(SRD)加工和高温加工,例如FPD加工、p-Si和氧化物TFT制造、IGZO背板加工和LTPS、非晶硅(aSi)底栅TFT、多晶硅(pSi)顶栅TFT、非晶氧化物(IGZO)底栅TFT、触摸屏制造和插入件制造。
对表面改性层130进行配置以控制载体结合表面115与板材结合表面125之间的结合强度(例如粘合能或结合能)。具体而言,表面改性层130以一种方式与载体结合表面115和板材结合表面125结合,该方式的结合足以在制品100的加工过程中使板材120与载体110结合在一起,同时允许在加工后分离板材120和载体110而不产生损伤(例如毁灭性的损伤,例如使载体110或板材120破裂成两片或更多片碎片),也就是说,使板材120与载体110暂时连接。表面改性层130可包含例如通过等离子体沉积形成的等离子体聚合材料。将会在下文中更详细讨论,等离子体聚合材料可使载体结合表面115和板材结合表面125中的至少一种平面化。
通常,最早由Dupre(1869)定义的两个表面之间的粘合能(W)由下式给出:
W=Y1+Y2-Y12 (1)
(《用于预估表面和界面能的理论:I.推导和应用于界面张力》(A theory for theestimation of surface and interfacial energies.I.derivation and applicationto interfacial tension)L.A.Girifalco和R.J.Good。物理化学期刊(J.Phys.Chem.),第61卷,第904页),其中,(Y1)、(Y2)和(Y12)、Y1、Y2和Y12分别是表面1、表面2的表面能以及表面1和表面2的界面能。单独的表面能(Y)通常是两个项的组合:色散部分Yd和极性部分(YP),其中:
Y=Yd+Yp (2)
当粘合主要是由于伦敦(London)色散力(Yd)和极性力(Yp)(例如氢键)产生时,界面能(Y12)可由下式给出:
(Girifalco和R.J.Good,如上所述)。
将(3)代入(1)后,粘合能(W)可近似为:
在上式(4)中,只考虑了粘合能的范德华力(和/或氢键)部分。这包括极性-极性相互作用[基桑(Keesom)力]、极性-非极性相互作用[德拜(Debye)力]和非极性-非极性相互作用(伦敦力)。然而,也可存在其它吸引能,例如共价键合和静电结合。因此,以更普遍的形式,上式(4)可写成:
其中,(Wc)和(We)是共价和静电粘合能。
结合能是指将板材与载体分离所需要的能量。结合能可通过各种方式测量。例如,如本文所用,结合能按照以下方式测量。
可使用双悬臂梁法(也称为滑楔法)测量结合能。在这种方法中,在结合的板材和载体的边缘处放置厚度(t)已知的滑楔。滑楔能够产生特有的脱层距离(L)。测量该脱层距离,并将其用于计算结合能(YBE)。
其中,(E1)是载体的弹性模量,(t1)是载体的厚度,(E2)是板材的弹性模量,(t2)是板材的厚度。
共价粘合能(例如在硅晶片结合中)包括一种情况,在该情况中,将一对通过氢键初始结合的晶片加热至较高的温度以使大量或全部的硅醇-硅醇氢键转化成Si-O-Si共价键。最初的室温氢键(或范德华力)能够产生约100~200mJ/m2的粘合能,其足以在某一加工过程中使晶片保持在一起,同时仍然允许结合的表面分离。然而,在高温加工过程中(例如,包含在约400至约800℃范围内的温度的加工),形成了完全共价键合的晶片对,且无法分离结合表面。在高温加工过程中产生的键具有约1000~3000mJ/m2的粘合能,且两个晶片作为一个整体件发挥作用(例如板材结合表面125永久地结合至载体结合表面115)。
在另一个例子中,如果将要结合的两个表面都完全地涂覆有低表面能材料(例如烃聚合物或氟聚合物),且该低表面能材料具有足够厚的厚度以屏蔽下方基材的效应,则粘合能就是该低表面能涂覆材料的粘合能。该结合可能很弱,导致结合表面之间的粘合很弱或不粘合,以使结合的制品无法在例如板材120不与载体110分离的条件下经历加工。
考虑以下两种情况:(a)在室温下通过氢键结合将硅烷醇基团饱和的两个经过标准清洁1(在本领域中被称为SC1)清洁的玻璃表面结合在一起(从而粘合能约为100~200mJ/m2),然后将其加热至能够将硅烷醇基团转化为共价Si-O-Si键的高温(从而粘合能变成约1000~3000mJ/m2);(b)在室温下使完全涂覆有具有低表面粘合能(例如每个表面约为12mJ/m2)的两个玻璃表面结合,并将其加热至高温。在情况(a)中,加热至高温后的粘合能过强而使得这对玻璃表面不可分离。在情况(b)中,不但在室温下表面没有结合(因为当将表面放在一起时,约为24mJ/m2总粘合能过低),而且它们在高温下也不结合,因为不存在(或存在过少的)极性反应基团。因此,在这两种极端情况之间存在一个粘合能范围,例如在约50~1000mJ/m2之间,该范围内的粘合能可产生所需程度的受控或暂时结合。
本申请公开了用于提供制品100的方法,所述制品100包含表面改性层130,所述表面改性层130配置成例如在加工过程中使载体结合表面115与板材结合表面125暂时结合,同时还允许在加工后使载体110与板材120分离。式(5)阐述了粘合能是四种表面能参数加上了共价和静电能(如果有)的函数。因此,可通过控制表面改性材料(例如表面改性层130)来实现合适的粘合能。在一个例子中,载体110和板材120中的至少一种包含玻璃。
此外,本申请公开了出人意料的结果。具体而言,曾预期在载体结合表面115和板材结合表面125的至少一种上沉积涂层或层(例如表面改性层130)不会对载体结合表面115和板材结合表面125中的至少一种的表面粗糙度(例如表面粗糙度Rq)产生影响(例如保持不变)或加强(例如增大)载体结合表面115和板材结合表面125中的至少一种的表面粗糙度(例如表面粗糙度Rq)。然而,本申请公开了设置在载体结合表面115和板材结合表面125中的至少一种上的表面改性层130,其中,表面改性层130包含等离体聚合材料,且其中,出人意料的是,等离子体聚合材料能够使载体结合表面115和板材结合表面125中的至少一种平面化(例如降低了载体结合表面115和板材结合表面125中的至少一种的表面粗糙度)。
基于该令人吃惊且出人意料的与等离体体聚合材料相关联的平面化,载体结合表面115、板材结合表面125和表面改性层130被结合在一起,从而制品100能够经历加工,且在经过加工后,载体110和板材120可彼此分离,即,载体110与板材120临时结合。应当理解的是,关于表面改性层130使载体结合表面115和板材结合表面125中的至少一种的“平面化”,表面改性层130应当被理解为设置在载体结合表面115和板材结合表面125中的至少一种上。即,表面改性层在载体结合表面115和板材结合表面125中至少一种上形成了层或涂层,且所述层或涂层一旦形成于这些表面上,单独或者与下方载体结合表面115和板材表面125中的至少一种的表面粗糙度(例如表面粗糙度Rq)相结合,所述层或涂层的表面粗糙度(例如表面粗糙度Rq)小于下方载体结合表面115和板材结合表面125中的至少一种的表面粗糙度(例如表面粗糙度Rq)。
例如,平面化的载体结合表面和平面化的板材结合表面中的至少一种可具有小于约0.8nm的表面粗糙度Rq。在另一个例子中,未平面化的载体结合表面115和板材结合表面125中的至少一种可具有在约0.8nm至约5.0nm范围内的表面粗糙度Rq。在另一个例子中,未平面化的载体结合表面115和板材结合表面125中的至少一种可具有大于约2.0nm的表面粗糙度Rq。在另一个例子中,表面改性层130具有弹性模量和塑性。弹性模量和塑性中的至少一种可使得载体结合表面115和板材结合表面125中的至少一种的至少一部分可侵入表面改性层130。例如,弹性模量可在约0.6GPa至约20GPa的范围内。应当理解的是,表面改性层130可具有弹性模量和塑性中的至少一种,以使载体结合表面115和板材结合表面125中的至少一种的至少一部分可侵入表面改性层130,包括未在本文中明确公开的任意弹性模量或塑性(例如小于0.6GPa的弹性模量、大于20GPa的弹性模量或任意其它弹性模量或塑性)。
一种示例性的制造制品的方法,所述方法包括在载体110的载体结合表面115和板材120的板材结合表面115的至少一种上沉积表面改性层130。表面改性层130包含等离子体聚合材料。等离子体聚合材料使载体结合表面115和板材结合表面125中的至少一种平面化。所述方法还包括使载体结合表面115和板材结合表面125中的至少一种与表面改性层130结合。在一个例子中,所述方法还包括对表面改性层130的弹性模量和塑性中的至少一种进行选择,以允许载体结合表面115和板材结合表面125中的至少一种的至少一部分侵入表面改性层130。
图3~14例示了各种不同的实施方式,利用这些实施方式,载体结合表面115和板材结合表面125可与表面改性层130结合,以使载体110与板材120暂时结合。出于例示目的,载体结合表面115、板材结合表面125以及表面改性层130的相应表面粗糙度(例如表面粗糙度Rq)以相对表面粗糙度显示。此外,可在载体结合表面115和板材结合表面125中的至少一者的整体(例如100%覆盖率)上设置表面改性层130。在另一些例子中,可在载体结合表面115和板材结合表面125中的至少一者的小于整体(例如小于100%覆盖率)上设置表面改性层130。
此外,应当理解的是,所例示的表面粗糙度是锯齿状或三角形图案,这只是出于例示目的,不应当被解读为限制本公开的范围。本文中可考虑载体结合表面115、板材结合表面125和表面改性层130中的任一种或更多种上具有任意形状、尺寸或突起物分布。此外,载体结合表面115和板材结合表面125中的至少一种可侵入表面改性层130中任意量。应当理解的是,载体结合表面115和板材结合表面125中的至少一种侵入表面改性层130中越深,载体结合表面115和板材结合表面125中的至少一种与表面改性层130相接触的相应表面积就越大,且载体结合表面115和板材结合表面125中的至少一种与表面改性层130之间的结合强度(例如粘合能)也越大。可通过以下中的至少一种来控制侵入量:例如,调整表面改性层130的弹性模量和塑性中的至少一种;(例如通过层压或在高压锅中)在载体110和板材120中的至少一种上施加变化量的压力;以及改变表面改性层130的厚度,以使载体结合表面115和板材结合表面125中的至少一种侵入表面改性层130的量更大或更小。
如图3所示,载体结合表面115和板材结合表面125可具有图示为相对较平滑(例如小于0.8nm)的相同或相似的表面粗糙度(例如表面粗糙度Rq),且载体结合表面115与板材结合表面125可通过表面改性层130结合,以使载体110与板材120暂时结合。如图4所示,载体结合表面115可具有图示为相对平滑(例如小于0.8nm)的表面粗糙度(例如表面粗糙度Rq),而板材结合表面125可具有图示为中等粗糙(例如在约0.8nm至约5.0nm范围内)的表面粗糙度(例如表面粗糙度Rq)。如图所示,表面改性层130使中等粗糙的板材结合表面125平面化,从而载体结合表面115和板材结合表面125可与表面改性层130结合,以使载体110与板材120暂时结合。如图5所示,载体结合表面115可具有图示为中等粗糙(例如在约0.8nm至约5.0nm范围内)的表面粗糙度(例如表面粗糙度Rq),而板材结合表面125可具有图示为相对平滑(例如小于0.8nm)的表面粗糙度(例如表面粗糙度Rq)。如图所示,表面改性层130使中等粗糙的载体结合表面115平面化,从而载体结合表面115和板材结合表面125可与表面改性层130结合,以使载体110与板材120暂时结合。如图6所示,载体结合表面115和板材结合表面125可具有图示为中等粗糙(例如在约0.8nm至约5.0nm)的相同或相似的表面粗糙度(例如表面粗糙度Rq)。如图所示,表面改性层130可使中等粗糙的载体结合表面115和中等粗糙的板材结合表面125平面化,从而载体结合表面115和板材结合表面125可与表面改性层130结合,以使载体110与板材120暂时结合。在另一些例子中,载体结合表面115和板材结合表面125中的至少一种可具有任意表面粗糙度(例如大于5.0nm的表面粗糙度Rq),包括未在本文中明确公开的表面粗糙度Rq,且表面改性层130可使载体结合表面115和板材结合表面125平面化,以使载体结合表面115和板材结合表面125可与表面改性层130结合,从而载体110与板材120暂时结合。
如图3~6所示,载体结合表面115和板材结合表面125可通过位于它们之间的表面改性层130而彼此隔开(例如不触碰)。在这种配置中,即使在高温下进行加工之后,也几乎观察不到至观察不到在载体结合表面115与板材结合表面125之间存在共价键合。取而代之的是,在这种配置中,将载体结合表面115、板材结合表面125和表面改性层130结合在一起的主要作用力是载体结合表面115与表面改性层130之间的范德华力和板材结合表面125与表面改性层130之间的范德华力。板材结合表面125与表面改性层130之间的共价键合以及载体结合表面115与表面改性层130之间的共价键合也可发生,只要上述共价键合对于加工后将载体110与板材120彼此分离的能力的影响最小或没有影响。例如,可通过粘合失效(例如,在粘合失效中,表面改性层130与载体结合表面115和板材结合表面125中的至少一者分离)和内聚失效(例如,在内聚失效中,表面改性层130与其自身分离)中的至少一种来使载体110与板材120彼此分离。
如图7~9所示,载体结合表面115和板材结合表面125可通过位于它们之间一些位置处的表面改性层130彼此隔开(例如不触碰),并且在其它位置处彼此接触,且在它们之间没有表面改性层130。在这种配置中,即使在高温下加工后,在载体结合表面115和板材结合表面125通过位于它们之间的表面改性层130而彼此隔开(例如不触碰)的位置处也几乎观察不到或观察不到在载体结合表面115与板材结合表面125之间存在共价键合。可在载体结合表面115与板材结合表面125彼此接触且在它们之间没有表面改性层130的区域中观察到载体结合表面115与板材结合表面125之间的共价键合。在这种配置中,将载体结合表面115、板材结合表面125和表面改性层130结合在一起的主要作用力仍然是载体结合表面115与表面改性层130之间的范德华力和板材结合表面125与表面改性层130之间的范德华力。如同上述图3~6中所例示的示例性实施方式那样,图7~9中所例示的示例性实施方式的板材结合表面125与表面改性层130之间的共价键合以及载体结合表面115与表面改性层130之间的共价键合也可发生,只要上述共价键合对于加工后将载体110与板材120彼此分离的能力的影响最小或没有影响。例如,可通过粘合失效(例如,在粘合失效中,表面改性层130与载体结合表面115和板材结合表面125中的至少一者分离)和内聚失效(例如,在内聚失效中,表面改性层130与其自身分离)中的至少一种来使载体110与板材120彼此分离。而且,因为使载体110与板材120结合的主要作用力是表面改性层130与载体结合表面115和板材结合表面125中的至少一种之间的范德华力,在载体结合表面115与板材结合表面125之间(例如在载体结合表面115与板材结合表面125接触的区域中)形成的任意共价键可(例如在加工后)在不损伤载体110和板材120中的一种或两种(例如破碎成两片或更多片)的条件下被打破,以允许载体110与板材120分离。
如图7所示,载体结合表面115可具有图示为相对平滑(例如小于0.8nm)的表面粗糙度(例如表面粗糙度Rq),而板材结合表面125可具有图示为中等粗糙(例如在约0.8nm至约5.0nm范围内)的表面粗糙度(例如表面粗糙度Rq),且中等粗糙的板材结合表面125在一些位置处与相对平滑的载体结合表面115接触,且在它们之间没有表面改性层130,而中等粗糙的板材结合表面125在另一些位置处与相对平滑的载体结合表面115通过它们之间的表面改性层130而隔开。如图所示,表面改性层130使中等粗糙的板材结合表面125平面化,以使载体结合表面115、板材结合表面125以及表面改性层130可结合在一起,以在载体110与板材120之间形成临时结合。
如图8所示,载体结合表面115可具有图示为中等粗糙(例如在约0.8nm至约5.0nm范围内)的表面粗糙度(例如表面粗糙度Rq),而板材结合表面125可具有图示为相对平滑(例如小于0.8nm)的表面粗糙度(例如表面粗糙度Rq),且中等粗糙的载体结合表面115在一些位置处与相对平滑的板材结合表面125接触,且在它们之间没有表面改性层130,而中等粗糙的载体结合表面115在另一些位置处与相对平滑的板材结合表面125通过它们之间的表面改性层130而隔开。如图所示,表面改性层130使中等粗糙的载体结合表面115平面化,以使载体结合表面115、板材结合表面125以及表面改性层130可结合在一起,以在载体110与板材120之间形成临时结合。
如图9所示,载体结合表面115和板材结合表面125可具有图示为中等粗糙(例如在约0.8nm至约5.0nm范围内)的相同或相似的表面粗糙度(例如表面粗糙度Rq),且中等粗糙的板材结合表面125在一些位置处与中等粗糙的载体结合表面115接触,且在它们之间没有表面改性层130,而中等粗糙的板材结合表面125在另一些位置处与中等粗糙的载体结合表面115通过它们之间的表面改性层130而隔开。如图所示,表面改性层130可使中等粗糙的载体结合表面115和中等粗糙的板材结合表面125平面化,以使载体结合表面115、板材结合表面125以及表面改性层130可结合在一起,以在载体110与板材120之间形成临时结合。
图10~14显示了包含载体结合表面115的载体110、包含板材结合表面125的板材120以及设置在载体结合表面115上的载体表面改性层130a和设置在板材结合表面125上的板材结合表面改性层130b中的至少一种。载体表面改性层130a和板材表面改性层130b中的至少一种包含等离子体聚合材料。等离子体聚合材料使载体结合表面115和板材结合表面125中的至少一种平面化。使载体结合表面115、板材结合表面125以及载体表面改性层130a和板材表面改性层130b中的至少一种结合在一起,以在载体110与板材120之间形成临时结合。
关于图10~14中所例示的示例性实施方式,即使在高温下进行加工之后,也几乎观察不到至观察不到在载体结合表面115与板材结合表面125之间存在共价键合。取而代之的是,在这种配置中,使载体结合表面115、板材结合表面125以及载体表面改性层130a和板材表面改性层130b中的至少一种结合在一起的主要作用力是:(i)载体结合表面115与载体表面改性层130a和板材表面改性层130b中的至少一种之间的范德华力;(ii)板材结合表面125与板材表面改性层130b和载体表面改性层130a中的至少一种之间的范德华力;以及(iii)在表面改性层130a和130b都存在的情况下,载体表面改性层130a与板材表面改性层130b之间的范德华力。另外,即使在高温下加工后,在载体结合表面115和板材结合表面125通过位于它们之间的载体表面改性层130a和板材表面改性层130b中的至少一种而彼此隔开(例如不触碰)的位置处也几乎观察不到或观察不到在载体结合表面115与板材结合表面125之间存在共价键合。可在载体结合表面115与板材结合表面125彼此接触且在它们之间没有载体表面改性层130a和板材表面改性层130b中的至少一种的区域中观察到载体结合表面115与板材结合表面125之间的共价键合。在这种配置中,使载体结合表面115、板材结合表面125以及载体表面改性层130a和板材表面改性层130b中的至少一种结合在一起的主要作用力仍然是:(i)载体结合表面115与载体表面改性层130a和板材表面改性层130b中的至少一种之间的范德华力;(ii)板材结合表面125与板材表面改性层130b和载体表面改性层130a中的至少一种之间的范德华力;以及(iii)在表面改性层130a和130b都存在的情况下,载体表面改性层130a与板材表面改性层130b之间的范德华力。如同上述图3~9中所例示的示例性实施方式那样,图10~14中所例示的示例性实施方式的载体结合表面115与载体表面改性层130a和板材表面改性层130b中的至少一种之间的共价键合、板材结合表面125与板材表面改性层130b和载体表面改性层130a中的至少一种之间的共价键合以及当表面改性层130a和130b都存在时表面改性层130a与130b之间的共价键合也可发生,只要上述共价键合对于加工后将载体110与板材120彼此分离的能力的影响最小或没有影响。例如,可通过粘合失效(例如,在粘合失效中,载体表面改性层130a和板材表面改性层130b中的至少一种与载体结合表面115和板材结合表面125中的至少一种分离)和内聚失效(例如,在内聚失效中,载体表面改性层130a和板材表面改性层130b中的至少一种与它们自身和彼此中的至少一种分离)中的至少一种来使载体110与板材120彼此分离。而且,因为使载体110和板材120结合的主要作用力是:(i)载体结合表面115与载体表面改性层130a和板材表面改性层130b中的至少一种之间的范德华力;(ii)板材结合表面125与板材表面改性层130b和载体表面改性层130a中的至少一种之间的范德华力;以及(iii)在表面改性层130a和130b都存在的情况下,载体表面改性层130a与板材表面改性层130b之间的范德华力,所以载体结合表面115与板材结合表面125之间(例如在载体结合表面115与板材结合表面125接触的区域中)形成的任意共价键可(例如在加工后)在不损伤载体110和板材120中的一种或两种(例如破碎成两片或更多片)的条件下被打破,以允许载体110与板材120分离。
图10显示了对于载体表面改性层130a和板材表面改性层130b中的至少一种,制品包含设置在载体结合表面115上的载体表面改性层130a和设置在板材结合表面125上的板材表面改性层130b。在一个例子中,板材结合表面125中的至少一种的至少一部分侵入载体表面改性层130a,且载体结合表面115侵入板材表面改性层130b。在另一些例子中,板材结合表面125可不延伸超出板材表面改性层130b,且载体结合表面115可不延伸超出载体表面改性层130a。在另一些例子中,板材结合表面125的至少一部分可侵入载体表面改性层130a,而载体结合表面115可不延伸超出载体表面改性层130a。而在另一些例子中,载体结合表面115的至少一部分可侵入板材表面改性层130b,而板材结合表面125可不延伸超出板材表面改性层130b。
图11显示了对于载体表面改性层130a和板材表面改性层130b中的至少一种,制品只包含设置在板材结合表面125上的板材表面改性层130b。如图13所示,载体结合表面115的至少一部分侵入板材表面改性层130b。如图所示,板材表面改性层130b使板材结合表面125平面化,以使载体结合表面115、板材结合表面125以及板材表面改性层130b结合在一起(且载体结合表面115的至少一部分侵入板材表面改性层130b中),以在载体110与板材120之间形成临时结合。
图12显示了对于载体表面改性层130a和板材表面改性层130b中的至少一种,制品只包含设置在载体结合表面115上的载体表面改性层130a。如图14所示,板材结合表面125的至少一部分侵入载体表面改性层130a。如图所示,载体表面改性层130a使载体结合表面115平面化,以使载体结合表面115、板材结合表面125以及载体表面改性层130a结合在一起(且板材结合表面125的至少一部分侵入载体表面改性层130a中),以在载体110与板材120之间形成临时结合。
如图13和图14所示,可在以下各项之间形成间隙(例如本领域已知的空气间隙或“气泡”):(i)载体结合表面115与载体表面改性层130a和板材表面改性层130b中的至少一种之间;和/或(ii)板材结合表面125与板材表面改性层130b和载体表面改性层130a中的至少一种之间。在另一些例子(例如图10)中,可对(i)载体结合表面115进入载体表面改性层130a和板材表面改性层130b中的至少一种的侵入量;和/或(ii)板材结合表面125进入板材表面改性层130b和载体表面改性层130a中的至少一种的侵入量进行控制,以使得在(i)载体结合表面115与载体表面改性层130a和板材表面改性层130b中的至少一种之间;以及/或者在板材结合表面125与板材表面改性层130b和载体表面改性层130a中的至少一种之间不形成气泡。例如,可通过以下各项中的至少一种措施使载体结合表面115和板材结合表面125中的至少一种侵入载体表面改性层130a和板材表面改性层130b中的至少一种的量更大或更小:调整载体表面改性层130a和板材表面改性层130b中的至少一种的弹性模量和塑性中的至少一种;(例如通过层压或在高压釜中)在载体110和板材120中的至少一种上施加变化量的压力;以及改变载体表面改性层130a和板材表面改性层130b中的至少一种的厚度。在另一些例子中,以下两种侵入中的至少一种可提供小于、等于或大于其间例如不具有表面改性层(例如贴合的表面层)的表面之间的(例如基于范德华力和共价键合中的至少一种的)粘合能的(例如基于范德华结合的)粘合能:(i)载体结合表面115侵入载体表面改性层130a和板材表面改性层130b中的至少一种;以及(ii)板材结合表面125侵入板材表面改性层130b和载体表面改性层130a中的至少一种,这与上述表面中的一个或两个的相对表面粗糙度无关。
应当理解的是,可对图3~14中所例示的实施方式中的任一种或更多种组合进行结合,以使载体110与板材120暂时结合。此外,在一个例子中,平面化的载体结合表面和平面化的板材结合表面中的至少一种可具有小于约0.8nm的表面粗糙度Rq。在另一个例子中,在平面化以前,载体结合表面115和板材结合表面125中的至少一种可具有在约0.8nm至约5.0nm范围内的表面粗糙度Rq。如上所述,本文中也考虑载体结合表面115、板材结合表面125以及载体表面改性层130a和板材表面改性层130b中的至少一种的其它表面粗糙度。
如上所述,表面改性层130可包含等离子体聚合材料。在一个例子中,等离子体聚合材料可包括利用化学气相沉积法形成的等离子体聚合物膜,在化学气相沉积法中,利用等离子体活化和沉积前体,以形成聚合材料。在另一个例子中,等离子体聚合材料(例如等离子体聚合物膜)可包含脂族烃或芳族烃、聚酯或聚酰亚胺。在另一些例子中,可在大气压力或降低了的压力下沉积等离子体聚合物。此外,可通过等离子体沉积来形成等离子体聚合离子聚合材料,所述等离子体沉积包括由源气体的等离子体激发(例如DC或RF平行板、电感耦合等离子体(ICP)、电子回旋共振(ECR)、下游微波或RF等离子体)。在一些例子中,源气体可包括碳氟化合物源(例如CF4、CHF3、C2F6、C3F6、C2F2、CH3F、C4F8、含氯氟烃或氟氯烃化合物);烃(例如烷烃,包括甲烷、乙烷、丙烷或丁烷);烯烃(包括例如乙烯或丙烯);炔烃(包括例如乙炔);或者芳族化合物(包括例如苯或甲苯)。在另一些例子中,源气体可包括氢气或其它源气体,包括SF6。另外,等离子体聚合形成了一层高度交联的材料。在一些例子中,可利用对反应条件和源气体的控制来控制膜的厚度、密度和化学性质以例如使官能团适合所需的应用。应当理解的是,本文所描述的特定的等离子体聚合物膜是非限定性的具有代表性的例子,本领域技术人员将会意识到,相似的优势可通过不同的等离子体几何构型和原材料来实现,包括未在本文中明确公开的等离子体聚合物。具体而言,本申请预期在本公开范围内的任意配置成使表面平面化的等离子体聚合材料。
而且,以下特定实施例是关于上文所述的示例性实施方式,应理解为非限制性的具有代表性的实施例。
在第一个实施例中,在Oxford PlasmaLab 100蚀刻工具中使用甲烷和氢气沉积脂族等离子体聚合物膜。处理条件为2标准立方厘米每分钟(sccm)的甲烷、38sccm的氢气、25毫托(mT)压力、275瓦(W)、13.56兆赫兹(MHz)无线电频率(RF)。改变处理时间以将等离子体聚合物的厚度从11纳米(nm)调节至60纳米。在n&k分析仪上使用无定形碳模型来测量厚度。在相同的Oxford工具中以25sccm的氮气、25sccm的氧气、O2 10mT、300W RF以及5秒(sec.)的处理时间来活化表面。此外,在433毫升(ml)去离子(DI)水、106ml的盐酸以及11ml的49%氢氟酸的水溶液中在室温下蚀刻200微米(um或微米)厚度的玻璃基材1分钟(min.)。这产生了1.0nm均方根(RMS,Rq)表面粗糙度,其由原子力显微镜(AFM)测量。使粗糙化的薄玻璃结合至等离子体聚合物表面,随后,通过将基材施加至载体来使其与载体结合在一起。因为等离子体聚合物具有高表面能,表面结合自发蔓延。使用特氟龙(Teflon)橡胶辊除去结合正面中由颗粒和弯部形成的截留气泡。在MPT快速热处理系统(RTP)中在碳化硅基座上在流动氮气中于300℃下对结合的试样进行10分钟的退火。利用滑楔测试来测量结合能。如以下表1所示,观察到粗糙度(Rq)随着等离子体聚合物厚度的增加而减小。结合能随着聚合物厚度的增大而增大,随着表面粗糙度减小至0.8nm RMS(Rq)以下而到达一个值,在该值附近,预期为薄玻璃和经过处理的等离子体聚合物涂覆载体之间的范德华相互作用。
表1
在第二个实施例中,通过激光损伤工艺来利用由EAGLE/>玻璃制成的200um厚玻璃基材形成一组薄玻璃插入件,以形成通孔,并且在如上所述的HF-HNO3溶液中进行蚀刻。通孔的蚀刻将基材的厚度减小至180um,生成了50um直径的通孔,且AFM显示蚀刻后的表面粗糙度为1nm Rq。利用针对第一实施例所述的各种厚度的等离子体聚合物涂覆这些插入件试样,并使它们结合至由/>玻璃制成的0.7mm厚的玻璃载体。在RTP系统中在N2中于300℃下对试样进行10分钟的退火。结合能(BE,单位为毫焦每平方米(mJ/m2))对等离子体聚合物厚度(单位为nm)的变化情况示于以下的表2中。对于厚度大于40nm的等离子体聚合物厚度,可实现大于200mJ/m2的合适的结合能。
表2
在第三个实施例中,通过激光损伤工艺来利用由EAGLE/>玻璃制成的200um厚玻璃基材形成一组薄玻璃插入件,以形成通孔,并且在如上所述的HF-HNO3溶液中进行蚀刻。通孔的蚀刻将基材的厚度减小至180um,并且生成了30um直径的通孔。如同第一个实施例中所述的那样在Oxford工具中利用各种厚度的等离子体聚合物涂覆这些插入件试样,不同之处是,等离子体聚合物沉积条件为:10sccm的乙烯、50sccm的氢气、5mT的压力、1500W的RF施加至线圈50W RF偏压,随后在35sccm的氮气、5sccm的氧气、15mT的压力、800W的RF施加至线圈50W RF偏压下处理时间为5秒。使插入件结合至由/>EAGLE玻璃制成的0.7mm厚的玻璃。在RTP系统中在N2中于300℃下对试样进行10分钟的退火。发现对于13.7nm的聚合物厚度,结合能为312mJ/m2
对本领域技术人员显而易见的是,可以在不偏离要求保护的主体的精神和范围的情况下对本公开进行各种修改和变动。

Claims (20)

1.一种制品,其包含:
载体,所述载体包含载体结合表面;
板材,所述板材包含板材结合表面;以及
表面改性层,所述表面改性层设置在所述载体结合表面和所述板材结合表面中的至少一种上,其中,所述表面改性层包含等离子体聚合材料,其中,所述等离子体聚合材料使所述载体结合表面和所述板材结合表面中的至少一种平面化,且其中,所述载体结合表面和所述板材结合表面与所述表面改性层结合,以使所述载体暂时结合至所述板材。
2.如权利要求1所述的制品,其特征在于,平面化的载体结合表面和平面化的板材结合表面中的至少一种具有小于约0.8nm的表面粗糙度Rq。
3.如权利要求1或2所述的制品,其特征在于,所述载体结合表面和所述板材结合表面中的至少一种具有在约0.8nm至约5.0nm范围内的表面粗糙度Rq。
4.如权利要求1或2所述的制品,其特征在于,所述载体结合表面和所述板材结合表面中的至少一种具有大于约2.0nm的表面粗糙度Rq。
5.如权利要求1~4中任一项所述的制品,其特征在于,所述表面改性层具有弹性模量和塑性中的至少一种,所述弹性模量和塑性中的至少一种配置成允许所述载体结合表面和所述板材结合表面中的至少一种的至少一部分侵入所述表面改性层。
6.如权利要求5所述的制品,其特征在于,所述弹性模量在约0.6GPa至约20GPa的范围内。
7.如权利要求1~6中任一项所述的制品,其特征在于,所述载体和所述板材中的至少一种包含玻璃。
8.如权利要求1~7中任一项所述的制品,其特征在于,所述载体具有在约200微米至约3mm范围内的厚度。
9.如权利要求1~8中任一项所述的制品,其特征在于,所述板材具有小于或等于约300微米的厚度。
10.如权利要求1~9中任一项所述的制品,其特征在于,所述表面改性层具有在约0.1nm至约100nm范围内的厚度。
11.一种制品,其包含:
载体,所述载体包含载体结合表面;
板材,所述板材包含板材结合表面;以及
载体表面改性层和板材表面改性层中的至少一种,所述载体表面改性层设置在所述载体结合表面上,所述板材表面改性层设置在所述板材结合表面上,其中,所述载体表面改性层和所述板材表面改性层中的至少一种包含等离子体聚合材料,其中,所述等离子体聚合材料使所述载体结合表面和所述板材结合表面中的至少一种平面化,且其中,所述载体结合表面和所述板材结合表面与所述载体表面改性层和所述板材表面改性层中的至少一种结合,以使所述载体与所述板材暂时结合。
12.如权利要求11所述的制品,其特征在于,对于所述载体表面改性层和所述板材表面改性层中的至少一种,所述制品只包含所述载体表面改性层,且其中,所述板材结合表面的至少一部分侵入所述载体表面改性层。
13.如权利要求11所述的制品,其特征在于,对于所述载体表面改性层和所述板材表面改性层中的至少一种,所述制品只包含所述板材表面改性层,且其中,所述载体结合表面的至少一部分侵入所述板材表面改性层。
14.如权利要求11所述的制品,其特征在于,对于所述载体表面改性层和所述板材表面改性层中的至少一种,所述制品包含所述载体表面改性层和所述板材表面改性层,且其中,满足以下中的至少一项:(i)所述板材结合表面的至少一部分侵入所述载体表面改性层;以及(ii)所述载体结合表面的至少一部分侵入所述板材表面改性层。
15.如权利要求11~14中任一项所述的制品,其特征在于,平面化的载体结合表面和平面化的板材结合表面中的至少一种具有小于约0.8nm的表面粗糙度Rq。
16.如权利要求11~15中任一项所述的制品,其特征在于,所述载体结合表面和所述板材结合表面中的至少一种具有在约0.8nm至约5.0nm范围内的表面粗糙度Rq。
17.一种制造制品的方法,所述方法包括:
在载体的载体结合表面和板材的板材结合表面中的至少一种上沉积表面改性层,其中,所述表面改性层包含等离子体聚合材料,且其中,所述等离子体聚合材料的沉积使所述载体结合表面和所述板材结合表面中的至少一种平面化;以及
使所述载体结合表面和所述板材结合表面与所述表面改性层结合,以使所述载体与所述板材暂时结合。
18.如权利要求17所述的方法,其特征在于,平面化的载体结合表面和平面化的板材结合表面中的至少一种具有小于约0.8nm的表面粗糙度Rq。
19.如权利要求17或18所述的方法,其特征在于,所述载体结合表面和所述板材结合表面中的至少一种具有在约0.8nm至约5.0nm范围内的表面粗糙度Rq。
20.如权利要求17~19中任一项所述的方法,其特征在于,所述表面改性层的弹性模量和塑性中的至少一种允许所述载体结合表面和所述板材结合表面中的至少一种的至少一部分侵入所述表面改性层。
CN202311495612.8A 2015-06-26 2016-06-22 包含板材和载体的方法和制品 Pending CN117534339A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562185095P 2015-06-26 2015-06-26
US62/185,095 2015-06-26
CN201680037632.2A CN107810168A (zh) 2015-06-26 2016-06-22 包含板材和载体的方法和制品
PCT/US2016/038663 WO2016209897A1 (en) 2015-06-26 2016-06-22 Methods and articles including a sheet and a carrier

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201680037632.2A Division CN107810168A (zh) 2015-06-26 2016-06-22 包含板材和载体的方法和制品

Publications (1)

Publication Number Publication Date
CN117534339A true CN117534339A (zh) 2024-02-09

Family

ID=56360509

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201680037632.2A Pending CN107810168A (zh) 2015-06-26 2016-06-22 包含板材和载体的方法和制品
CN202311495612.8A Pending CN117534339A (zh) 2015-06-26 2016-06-22 包含板材和载体的方法和制品

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201680037632.2A Pending CN107810168A (zh) 2015-06-26 2016-06-22 包含板材和载体的方法和制品

Country Status (7)

Country Link
US (1) US11905201B2 (zh)
EP (1) EP3313799B1 (zh)
JP (1) JP7106276B2 (zh)
KR (1) KR102524620B1 (zh)
CN (2) CN107810168A (zh)
TW (1) TWI723025B (zh)
WO (1) WO2016209897A1 (zh)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10543662B2 (en) 2012-02-08 2020-01-28 Corning Incorporated Device modified substrate article and methods for making
WO2015157202A1 (en) 2014-04-09 2015-10-15 Corning Incorporated Device modified substrate article and methods for making
US9340443B2 (en) 2012-12-13 2016-05-17 Corning Incorporated Bulk annealing of glass sheets
TWI617437B (zh) 2012-12-13 2018-03-11 康寧公司 促進控制薄片與載體間接合之處理
US10086584B2 (en) 2012-12-13 2018-10-02 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
US10014177B2 (en) 2012-12-13 2018-07-03 Corning Incorporated Methods for processing electronic devices
US10510576B2 (en) 2013-10-14 2019-12-17 Corning Incorporated Carrier-bonding methods and articles for semiconductor and interposer processing
JP6770432B2 (ja) 2014-01-27 2020-10-14 コーニング インコーポレイテッド 薄いシートの担体との制御された結合のための物品および方法
WO2016187186A1 (en) 2015-05-19 2016-11-24 Corning Incorporated Articles and methods for bonding sheets with carriers
CN107810168A (zh) 2015-06-26 2018-03-16 康宁股份有限公司 包含板材和载体的方法和制品
JP6873986B2 (ja) 2015-10-30 2021-05-19 コーニング インコーポレイテッド 第2基板に接合された第1基板を加工する方法
TW201825623A (zh) 2016-08-30 2018-07-16 美商康寧公司 用於片材接合的矽氧烷電漿聚合物
TWI821867B (zh) 2016-08-31 2023-11-11 美商康寧公司 具以可控制式黏結的薄片之製品及製作其之方法
US11629096B2 (en) 2017-11-20 2023-04-18 Corning Incorporated Temporary bonding of glass pairs using cationic surfactants and/or organic salts
CN111615567B (zh) 2017-12-15 2023-04-14 康宁股份有限公司 用于处理基板的方法和用于制备包括粘合片材的制品的方法
KR102603870B1 (ko) * 2018-02-01 2023-11-21 삼성디스플레이 주식회사 봉지 구조, 상기 봉지 구조를 갖는 유기발광표시장치 및 이의 제조방법
CN114920468B (zh) * 2022-06-01 2023-12-05 北方夜视技术股份有限公司 一种硼硅玻璃亲水性键合方法

Family Cites Families (381)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1082116A (en) 1964-09-03 1967-09-06 Owens Illinois Inc Organopolysiloxane resins and their production
US3722181A (en) 1970-05-22 1973-03-27 Du Pont Chromatographic packing with chemically bonded organic stationary phases
FR2110495A5 (zh) 1970-10-19 1972-06-02 Michelin & Cie
IE35508B1 (en) 1971-08-11 1976-03-03 Intercontinental Chem Co Ltd Method of forming adhesive bonds between surfaces
US4096315A (en) 1976-12-15 1978-06-20 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Process for producing a well-adhered durable optical coating on an optical plastic substrate
GB1583544A (en) 1977-07-25 1981-01-28 Uop Inc Metal-clad laminates
US4179324A (en) 1977-11-28 1979-12-18 Spire Corporation Process for fabricating thin film and glass sheet laminate
US4397722A (en) 1981-12-31 1983-08-09 International Business Machines Corporation Polymers from aromatic silanes and process for their preparation
US4599243A (en) 1982-12-23 1986-07-08 International Business Machines Corporation Use of plasma polymerized organosilicon films in fabrication of lift-off masks
US4849284A (en) 1987-02-17 1989-07-18 Rogers Corporation Electrical substrate material
US4822466A (en) 1987-06-25 1989-04-18 University Of Houston - University Park Chemically bonded diamond films and method for producing same
US4810326A (en) 1987-08-31 1989-03-07 International Business Machines Corporation Interlaminate adhesion between polymeric materials and electrolytic copper surfaces
US5357726A (en) 1989-02-02 1994-10-25 Chemfab Corporation Composite materials for structural end uses
US5141800A (en) 1989-02-02 1992-08-25 Chemical Fabrics Corporation Method of making laminated PTFE-containing composites and products thereof
US4990462A (en) 1989-04-12 1991-02-05 Advanced Micro Devices, Inc. Method for coplanar integration of semiconductor ic devices
US5073181A (en) 1990-08-09 1991-12-17 Corning Incorporated Method of protecting glass surfaces using submicron refractory particles
US5143747A (en) 1991-02-12 1992-09-01 Hughes Aircraft Company Die improved tooling for metal working
CA2065918A1 (en) 1991-04-22 1992-10-23 Bradley W. Reed Liquid membrane modules with minimal effective membrane thickness and methods of making the same
CA2069038C (en) 1991-05-22 1997-08-12 Kiyofumi Sakaguchi Method for preparing semiconductor member
CA2110499C (en) 1991-06-14 1998-06-23 Stanislaw L. Zukowski Surface modified porous expanded polytetrafluoroethylene and process for making
US5462781A (en) 1991-06-14 1995-10-31 W. L. Gore & Associates, Inc. Surface modified porous expanded polytetrafluoroethylene and process for making
US5222494A (en) 1991-07-31 1993-06-29 Cyberonics, Inc. Implantable tissue stimulator output stabilization system
JP3039070B2 (ja) 1991-10-09 2000-05-08 住友電気工業株式会社 弗素樹脂被覆物
FR2690279B1 (fr) 1992-04-15 1997-10-03 Picogiga Sa Composant photovoltauique multispectral.
US5491571A (en) 1993-01-19 1996-02-13 Hughes Aircraft Company Liquid crystal display including electrodes and driver devices integrally formed in monocrystalline semiconductor layer
US5482896A (en) 1993-11-18 1996-01-09 Eastman Kodak Company Light emitting device comprising an organic LED array on an ultra thin substrate and process for forming same
US5616179A (en) 1993-12-21 1997-04-01 Commonwealth Scientific Corporation Process for deposition of diamondlike, electrically conductive and electron-emissive carbon-based films
US5554680A (en) 1994-02-16 1996-09-10 E. I. Du Pont De Nemours And Company Heat-resistant perfluoroelastomer composition
JP3081122B2 (ja) 1994-07-18 2000-08-28 シャープ株式会社 基板搬送用治具及びそれを用いた液晶表示素子の製造方法
US5760100B1 (en) 1994-09-06 2000-11-14 Ciba Vision Corp Extended wear ophthalmic lens
US5413940A (en) 1994-10-11 1995-05-09 Taiwan Semiconductor Manufacturing Company Process of treating SOG layer using end-point detector for outgassing
DE69606942T2 (de) 1995-09-25 2000-10-05 Dow Corning Verwendung von präkeramischen Polymeren als Klebstoffe für Elektronik
AU7435896A (en) 1995-10-13 1997-04-30 Dow Chemical Company, The Coated plastic substrate
US5661618A (en) 1995-12-11 1997-08-26 International Business Machines Corporation Magnetic recording device having a improved slider
JP3930591B2 (ja) 1995-12-22 2007-06-13 東陶機器株式会社 光触媒性親水性コーティング組成物、親水性被膜の形成方法および被覆物品
US5888591A (en) 1996-05-06 1999-03-30 Massachusetts Institute Of Technology Chemical vapor deposition of fluorocarbon polymer thin films
US5989998A (en) 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
US6124154A (en) 1996-10-22 2000-09-26 Seiko Epson Corporation Fabrication process for thin film transistors in a display or electronic device
US5820991A (en) 1997-02-24 1998-10-13 Cabo; Ana M. Fused glass sheets having ceramic paint and metal foil and method of making same
DE19711459A1 (de) 1997-03-19 1998-09-24 Flachglas Automotive Gmbh Verfahren zur Herstellung einer gebogenen Verbundsicherheitsglasscheibe
JP3551702B2 (ja) 1997-05-08 2004-08-11 カシオ計算機株式会社 液晶表示素子とその駆動方法
US5972152A (en) 1997-05-16 1999-10-26 Micron Communications, Inc. Methods of fixturing flexible circuit substrates and a processing carrier, processing a flexible circuit and processing a flexible circuit substrate relative to a processing carrier
US6687969B1 (en) 1997-05-16 2004-02-10 Micron Technology, Inc. Methods of fixturing flexible substrates and methods of processing flexible substrates
JPH1126733A (ja) 1997-07-03 1999-01-29 Seiko Epson Corp 薄膜デバイスの転写方法、薄膜デバイス、薄膜集積回路装置,アクティブマトリクス基板、液晶表示装置および電子機器
US6180496B1 (en) 1997-08-29 2001-01-30 Silicon Genesis Corporation In situ plasma wafer bonding method
US5966622A (en) 1997-10-08 1999-10-12 Lucent Technologies Inc. Process for bonding crystalline substrates with different crystal lattices
US20010045351A1 (en) 1997-11-21 2001-11-29 Korea Institute Of Science And Technology Plasma polymerization on surface of material
US6852650B2 (en) 1998-02-05 2005-02-08 Asm Japan K.K. Insulation film on semiconductor substrate and method for forming same
US6159385A (en) 1998-05-08 2000-12-12 Rockwell Technologies, Llc Process for manufacture of micro electromechanical devices having high electrical isolation
NL1009703C2 (nl) * 1998-07-21 2000-01-24 Instituut Voor Dierhouderij En Werkwijze voor het vervaardigen van een preparaathouder voor chemische of biochemische tests.
EP1048628A1 (de) 1999-04-30 2000-11-02 Schott Glas Polymerbeschichtete Dünnglasfoliensubstrate
US6379746B1 (en) 1999-02-02 2002-04-30 Corning Incorporated Method for temporarily protecting glass articles
JP3202718B2 (ja) 1999-02-23 2001-08-27 鹿児島日本電気株式会社 表示装置製造用治具及びそれを用いた表示装置の製造方法
US6387736B1 (en) 1999-04-26 2002-05-14 Agilent Technologies, Inc. Method and structure for bonding layers in a semiconductor device
US6338901B1 (en) 1999-05-03 2002-01-15 Guardian Industries Corporation Hydrophobic coating including DLC on substrate
EP1054454A3 (en) 1999-05-18 2004-04-21 Nippon Sheet Glass Co., Ltd. Glass sheet with conductive film, method of manufacturing the same, and photoelectric conversion device using the same
US8853696B1 (en) 1999-06-04 2014-10-07 Semiconductor Energy Laboratory Co., Ltd. Electro-optical device and electronic device
US6824879B2 (en) 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
SG93210A1 (en) 1999-06-29 2002-12-17 Univ Singapore Method for lamination of fluoropolymer to metal and printed circuit board (pcb) substrate
TW544743B (en) 1999-08-13 2003-08-01 Semiconductor Energy Lab Method of manufacturing a semiconductor device
CN1314086C (zh) 2000-02-01 2007-05-02 模拟装置公司 具有抗静摩擦特性的芯片、微机电装置及其制造方法
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
US6528145B1 (en) 2000-06-29 2003-03-04 International Business Machines Corporation Polymer and ceramic composite electronic substrates
DE10034737C2 (de) 2000-07-17 2002-07-11 Fraunhofer Ges Forschung Verfahren zur Herstellung einer permanenten Entformungsschicht durch Plasmapolymerisation auf der Oberfläche eines Formteilwerkzeugs, ein nach dem Verfahren herstellbares Formteilwerkzeug und dessen Verwendung
US6649540B2 (en) 2000-11-09 2003-11-18 The Boc Group, Inc. Organosilane CVD precursors and their use for making organosilane polymer low-k dielectric film
FR2823599B1 (fr) 2001-04-13 2004-12-17 Commissariat Energie Atomique Substrat demomtable a tenue mecanique controlee et procede de realisation
FR2823596B1 (fr) 2001-04-13 2004-08-20 Commissariat Energie Atomique Substrat ou structure demontable et procede de realisation
FR2824821B1 (fr) 2001-05-17 2003-08-29 Essilor Int Procede de preparation d'un verre apte au debordage, verre ainsi obtenu et procede de debordage d'un tel verre
US6956268B2 (en) 2001-05-18 2005-10-18 Reveo, Inc. MEMS and method of manufacturing MEMS
US7045878B2 (en) 2001-05-18 2006-05-16 Reveo, Inc. Selectively bonded thin film layer and substrate layer for processing of useful devices
JP5415658B2 (ja) 2001-05-28 2014-02-12 三菱樹脂株式会社 中間膜用粘着シート及び透明積層体
DE60232415D1 (de) 2001-06-20 2009-07-02 Showa Denko Kk Licht emittierendes material und organische leuchtdiode
JP4036018B2 (ja) 2001-06-20 2008-01-23 昭和電工株式会社 有機発光素子および発光材料
EP1275624B1 (en) 2001-06-29 2007-08-15 Crystal Systems Inc. Antifogging product, inorganic hydrophilic hard layer forming material and process for producing antifogging lens
US6735982B2 (en) 2001-07-12 2004-05-18 Intel Corporation Processing relatively thin glass sheets
US6649212B2 (en) 2001-07-30 2003-11-18 Guardian Industries Corporation Modified silicon-based UV absorbers useful in crosslinkable polysiloxane coatings via sol-gel polymerization
US7351300B2 (en) 2001-08-22 2008-04-01 Semiconductor Energy Laboratory Co., Ltd. Peeling method and method of manufacturing semiconductor device
JP2003077187A (ja) 2001-08-30 2003-03-14 Toshiba Corp 光ディスクの製造方法
JP2003071937A (ja) 2001-09-05 2003-03-12 Toppan Printing Co Ltd 積層体及びその製造方法、並びに多層回路基板
US7033910B2 (en) 2001-09-12 2006-04-25 Reveo, Inc. Method of fabricating multi layer MEMS and microfluidic devices
US7056751B2 (en) 2002-11-20 2006-06-06 Reveo, Inc. Method and system for increasing yield of vertically integrated devices
US6528351B1 (en) 2001-09-24 2003-03-04 Jigsaw Tek, Inc. Integrated package and methods for making same
US6814833B2 (en) 2001-10-26 2004-11-09 Corning Incorporated Direct bonding of articles containing silicon
US6521857B1 (en) 2001-11-19 2003-02-18 Geomat Insights, Llc Plasma enhanced bonding method and device
DE10162435A1 (de) 2001-12-19 2003-07-17 Joerg Lahann Verfahren zur Erzeugung von Oberflächenbeschichtungen, die die Adsorption von Proteinen bzw. die Adhäsion von Bakterien und/oder Zellen vermindern
US6824872B2 (en) 2002-04-23 2004-11-30 Laurel Products Llc Surface-treating fluoropolymer powders using atmospheric plasma
US6699798B2 (en) 2002-04-24 2004-03-02 Intel Corporation Promoting adhesion of fluoropolymer films to semiconductor substrates
FR2874455B1 (fr) 2004-08-19 2008-02-08 Soitec Silicon On Insulator Traitement thermique avant collage de deux plaquettes
JP3639978B2 (ja) 2002-05-10 2005-04-20 日本航空電子工業株式会社 光スイッチ
DE60325669D1 (de) 2002-05-17 2009-02-26 Semiconductor Energy Lab Verfahren zum Transferieren eines Objekts und Verfahren zur Herstellung eines Halbleiterbauelements
KR101005989B1 (ko) 2002-06-11 2011-01-05 코니카 미놀타 홀딩스 가부시키가이샤 표면 처리 방법 및 광학 부품
US7101947B2 (en) 2002-06-14 2006-09-05 Florida State University Research Foundation, Inc. Polyelectrolyte complex films for analytical and membrane separation of chiral compounds
US7279239B2 (en) 2002-08-07 2007-10-09 Kabushiki Kaisha Toyota Chuo Kenkyusho Laminating product including adhesion layer and laminate product including protective film
JP3941627B2 (ja) 2002-08-07 2007-07-04 株式会社豊田中央研究所 密着層を備える積層体
JP2006516302A (ja) 2002-09-18 2006-06-29 フジフィルム・エレクトロニック・マテリアルズ・ユーエスエイ・インコーポレイテッド アルキル−水素シロキサンの分解を防止する添加剤
DE20215401U1 (de) 2002-10-07 2004-02-19 Schott Glas Verbund aus einem Dünnstsubsrat und einem Trägersubstrat mit lösbarem Verbindungsmittel
TW578439B (en) 2002-10-25 2004-03-01 Ritdisplay Corp Organic light emitting diode and material applied in the organic light emitting diode
JP2004178891A (ja) 2002-11-26 2004-06-24 Mitsubishi Electric Corp 電子放出型発光素子の製造方法
DE10353530A1 (de) 2003-11-14 2005-06-23 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Wafer mit Deckschicht und Trennschicht, Verfahren zur Herstellung eines solchen Wafers sowie Verfahren zum Dünnen bzw. Rückseitenmetallisieren eines Wafers
AU2003299296A1 (en) 2002-11-29 2004-06-23 Fraunhofer-Gesellschaft Zur Forderung Der Angewandten Forschung E.V. Method and device for machining a wafer, in addition to a wafer comprising a separation layer and a support layer
AU2003303016A1 (en) 2002-12-17 2004-07-09 Wipf Ag Substrate comprising a polar plasma-polymerised coating
US6762074B1 (en) 2003-01-21 2004-07-13 Micron Technology, Inc. Method and apparatus for forming thin microelectronic dies
US6964201B2 (en) 2003-02-25 2005-11-15 Palo Alto Research Center Incorporated Large dimension, flexible piezoelectric ceramic tapes
US7089635B2 (en) 2003-02-25 2006-08-15 Palo Alto Research Center, Incorporated Methods to make piezoelectric ceramic thick film arrays and elements
TWI361814B (en) 2003-03-07 2012-04-11 Kuraray Co Plastic bonding method
US7220656B2 (en) 2003-04-29 2007-05-22 Micron Technology, Inc. Strained semiconductor by wafer bonding with misorientation
US6969166B2 (en) 2003-05-29 2005-11-29 3M Innovative Properties Company Method for modifying the surface of a substrate
JP2005014599A (ja) 2003-05-30 2005-01-20 Toyota Central Res & Dev Lab Inc 樹脂シート及びエレクトロルミネッセンス表示装置
FR2855909B1 (fr) 2003-06-06 2005-08-26 Soitec Silicon On Insulator Procede d'obtention concomitante d'au moins une paire de structures comprenant au moins une couche utile reportee sur un substrat
US20040258850A1 (en) 2003-06-18 2004-12-23 Ann Straccia Environmentally friendly reactive fixture to allow localized surface engineering for improved adhesion to coated and non-coated substrates
US20050001201A1 (en) 2003-07-03 2005-01-06 Bocko Peter L. Glass product for use in ultra-thin glass display applications
FR2859312B1 (fr) 2003-09-02 2006-02-17 Soitec Silicon On Insulator Scellement metallique multifonction
US7242041B2 (en) 2003-09-22 2007-07-10 Lucent Technologies Inc. Field-effect transistors with weakly coupled layered inorganic semiconductors
US20050069713A1 (en) 2003-09-30 2005-03-31 Rahul Gupta Capillary coating method
US6992371B2 (en) 2003-10-09 2006-01-31 Freescale Semiconductor, Inc. Device including an amorphous carbon layer for improved adhesion of organic layers and method of fabrication
WO2005036604A2 (en) 2003-10-09 2005-04-21 E.I. Dupont De Nemours And Company Apparatus and method for supporting a flexible substrate during processing
US20050081993A1 (en) 2003-10-16 2005-04-21 Ilkka Steven J. Method of bonding glass
GB0326537D0 (en) 2003-11-14 2003-12-17 Koninkl Philips Electronics Nv Flexible devices
US20050118742A1 (en) 2003-11-17 2005-06-02 Frank Henning Method for reducing the adhesive properties of MEMS and anti-adhesion-coated device
GB0327093D0 (en) 2003-11-21 2003-12-24 Koninkl Philips Electronics Nv Active matrix displays and other electronic devices having plastic substrates
KR101002936B1 (ko) 2003-12-17 2010-12-21 삼성전자주식회사 캐리어 기판, 이를 이용한 플라스틱 기판의 적층 방법 및유연한 디스플레이 장치의 제조 방법
US7220497B2 (en) 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
KR101073032B1 (ko) 2003-12-19 2011-10-12 삼성전자주식회사 플라스틱 기판의 적층 방법 및 이를 이용한 유연한디스플레이 장치의 제조방법
US7041608B2 (en) 2004-02-06 2006-05-09 Eastman Kodak Company Providing fluorocarbon layers on conductive electrodes in making electronic devices such as OLED devices
US7147891B2 (en) 2004-02-11 2006-12-12 Dionex Corporation Polymer substrate coated with weak cationic-exchange functional layer
CN102867855B (zh) 2004-03-12 2015-07-15 独立行政法人科学技术振兴机构 薄膜晶体管及其制造方法
US7087134B2 (en) 2004-03-31 2006-08-08 Hewlett-Packard Development Company, L.P. System and method for direct-bonding of substrates
JP2005300972A (ja) 2004-04-13 2005-10-27 Seiko Epson Corp 表示装置の製造方法及び基板貼り合わせ装置
JP2006003684A (ja) 2004-06-18 2006-01-05 Nikon Corp 基板レスフィルタの製造方法
ITMI20041252A1 (it) 2004-06-22 2004-09-22 Solvay Solexis Spa Composizioni perfluoroelastomeriche
US7261793B2 (en) 2004-08-13 2007-08-28 Hewlett-Packard Development Company, L.P. System and method for low temperature plasma-enhanced bonding
US7226869B2 (en) 2004-10-29 2007-06-05 Lam Research Corporation Methods for protecting silicon or silicon carbide electrode surfaces from morphological modification during plasma etch processing
US20060134362A1 (en) 2004-12-17 2006-06-22 3M Innovative Properties Company Optically clear pressure sensitive adhesive
EP1854136A1 (en) 2005-03-01 2007-11-14 Dow Corning Corporation Temporary wafer bonding method for semiconductor processing
US8043697B2 (en) 2005-04-19 2011-10-25 Ube Industries, Ltd. Polyimide film-laminated body
US20060246218A1 (en) 2005-04-29 2006-11-02 Guardian Industries Corp. Hydrophilic DLC on substrate with barrier discharge pyrolysis treatment
TWI402935B (zh) 2005-05-17 2013-07-21 Koninkl Philips Electronics Nv 彩色主動矩陣顯示器
US7462552B2 (en) 2005-05-23 2008-12-09 Ziptronix, Inc. Method of detachable direct bonding at low temperatures
US20070020451A1 (en) 2005-07-20 2007-01-25 3M Innovative Properties Company Moisture barrier coatings
US7166520B1 (en) 2005-08-08 2007-01-23 Silicon Genesis Corporation Thin handle substrate method and structure for fabricating devices using one or more films provided by a layer transfer process
KR101285442B1 (ko) 2005-08-09 2013-07-12 아사히 가라스 가부시키가이샤 박판 유리 적층체 및 박판 유리 적층체를 이용한 표시장치의 제조 방법
US20070048530A1 (en) 2005-08-29 2007-03-01 Wen-Kuang Tsao Anti-static substrate
TWI288493B (en) 2005-09-13 2007-10-11 Ind Tech Res Inst Method for fabricating a device with flexible substrate and method for stripping flexible-substrate
US7462551B2 (en) 2005-09-30 2008-12-09 Intel Corporation Adhesive system for supporting thin silicon wafer
US8039049B2 (en) 2005-09-30 2011-10-18 Tokyo Electron Limited Treatment of low dielectric constant films using a batch processing system
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
JP2007138144A (ja) 2005-10-18 2007-06-07 Hitachi Chem Co Ltd シリカ系被膜形成用組成物
KR20070047114A (ko) 2005-11-01 2007-05-04 주식회사 엘지화학 플렉서블 기판을 구비한 소자의 제조방법 및 이에 의해제조된 플렉서블 기판을 구비한 소자
CN101305315B (zh) 2005-11-11 2010-05-19 株式会社半导体能源研究所 形成具有功能性的层的方法及半导体器件的制造方法
FR2893750B1 (fr) 2005-11-22 2008-03-14 Commissariat Energie Atomique Procede de fabrication d'un dispositif electronique flexible du type ecran comportant une pluralite de composants en couches minces.
US20070134784A1 (en) 2005-12-09 2007-06-14 Halverson Kurt J Microreplicated microarrays
EP2259213B1 (en) 2006-02-08 2015-12-23 Semiconductor Energy Laboratory Co., Ltd. RFID device
KR100831562B1 (ko) 2006-03-23 2008-05-21 주식회사 엘지화학 유연성 기판 반송용 점착제 조성물
US7909928B2 (en) 2006-03-24 2011-03-22 The Regents Of The University Of Michigan Reactive coatings for regioselective surface modification
US7737035B1 (en) 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US20070248809A1 (en) 2006-04-19 2007-10-25 Steven Vincent Haldeman Interlayers Comprising Stable Infrared Absorbing Agents
WO2007121524A1 (en) 2006-04-20 2007-11-01 Epitactix Pty Ltd. Method of manufacture and resulting structures for semiconductor devices
EP2025650A1 (en) 2006-05-08 2009-02-18 Asahi Glass Company, Limited Thin-sheet glass laminate, process for manufacturing display apparatus using the laminate, and supporting glass substrate
KR101358255B1 (ko) 2006-06-27 2014-02-05 엘지디스플레이 주식회사 광경화 타입 소수성 몰드 및 그 제조방법
JPWO2008007622A1 (ja) 2006-07-12 2009-12-10 旭硝子株式会社 保護ガラス付ガラス基板、保護ガラス付ガラス基板を用いた表示装置の製造方法及び剥離紙用シリコーン
US20080044588A1 (en) 2006-08-15 2008-02-21 Sakhrani Vinay G Method for Treating a Hydrophilic Surface
US8084103B2 (en) 2006-08-15 2011-12-27 Sakhrani Vinay G Method for treating a hydrophilic surface
JP2008072087A (ja) 2006-08-16 2008-03-27 Kyoto Univ 半導体装置および半導体装置の製造方法、ならびに表示装置
WO2008044884A1 (en) 2006-10-13 2008-04-17 Sunwoo Amc Co., Ltd. Laminating film of plastic/teflon-silicon and method for preparing the same
GB0620955D0 (en) 2006-10-20 2006-11-29 Speakman Stuart P Methods and apparatus for the manufacture of microstructures
US7903083B2 (en) 2006-11-13 2011-03-08 Motorola Mobility, Inc. Mixed-mode encapsulated electrophoretic display for electronic device
JP5008381B2 (ja) 2006-11-15 2012-08-22 富士フイルム株式会社 可撓性基板を用いた有機エレクトロルミネッセンス発光パネルの製造方法、それにより製造された有機エレクトロルミネッセンス発光パネル、及びその製造に使用する支持基板
US8069229B2 (en) 2006-12-28 2011-11-29 Computer Associates Think, Inc. Topology static zones
KR100890250B1 (ko) 2007-01-08 2009-03-24 포항공과대학교 산학협력단 플렉서블 소자의 제조 방법 및 플렉서블 표시 장치의 제조방법
US8110906B2 (en) 2007-01-23 2012-02-07 Infineon Technologies Ag Semiconductor device including isolation layer
CN101626991B (zh) 2007-03-12 2012-08-22 旭硝子株式会社 带保护用玻璃的玻璃基板及采用带保护用玻璃的玻璃基板的显示装置的制造方法
JP5277552B2 (ja) 2007-03-19 2013-08-28 富士通セミコンダクター株式会社 半導体装置の製造方法
US8399047B2 (en) 2007-03-22 2013-03-19 The Regents Of The Univeristy Of Michigan Multifunctional CVD coatings
DE502008002309D1 (de) 2007-04-26 2011-02-24 Basf Se Silane enthaltend phenothiazin-s-oxid oder phenothiazin-s,s-dioxid-gruppen und deren verwendung in oleds
US7635617B2 (en) 2007-04-27 2009-12-22 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor substrate and manufacturing method of semiconductor device
US7960916B2 (en) 2007-05-16 2011-06-14 Advanced Lcd Technologies Development Center Co., Ltd. Display device and electronic device using thin-film transistors formed on semiconductor thin films which are crystallized on insulating substrates
JP5359871B2 (ja) 2007-06-20 2013-12-04 旭硝子株式会社 フッ素化剤による酸化物ガラスの表面処理方法
KR20080113576A (ko) 2007-06-25 2008-12-31 엘지디스플레이 주식회사 액정표시장치 및 그 제조방법
US7935780B2 (en) 2007-06-25 2011-05-03 Brewer Science Inc. High-temperature spin-on temporary bonding compositions
TW200907003A (en) 2007-07-03 2009-02-16 Hitachi Chemical Co Ltd Adhesive composition and method of manufacturing thereof, adhesive member using the adhesive composition and method of manufacturing thereof, supporting member for mounting semiconductor and method of manufacturing thereof, and semiconductor apparatus an
JP2009035720A (ja) 2007-07-11 2009-02-19 Seiko Epson Corp 接合膜付き基材、接合方法および接合体
JP2009028923A (ja) 2007-07-24 2009-02-12 Seiko Epson Corp 接合方法、接合体および配線基板
JP2009028922A (ja) 2007-07-24 2009-02-12 Seiko Epson Corp 接合方法、接合体、液滴吐出ヘッドおよび液滴吐出装置
JP2009074002A (ja) 2007-09-21 2009-04-09 Seiko Epson Corp 接着シート、接合方法および接合体
US20090091025A1 (en) 2007-10-04 2009-04-09 Agency For Science, Technology And Research Method for forming and releasing interconnects
US9056951B2 (en) 2007-10-05 2015-06-16 The Regents Of The University Of Michigan Ultrastrong and stiff layered polymer nanocomposites and hierarchical laminate materials thereof
JP4710897B2 (ja) 2007-11-28 2011-06-29 セイコーエプソン株式会社 接合体の剥離方法
KR101445734B1 (ko) 2007-12-07 2014-10-01 삼성전자 주식회사 액정표시장치
KR101096142B1 (ko) 2008-01-24 2011-12-19 브레우어 사이언스 인코포레이션 캐리어 기판에 디바이스 웨이퍼를 가역적으로 장착하는 방법
KR20140106647A (ko) 2008-02-05 2014-09-03 세인트-고베인 퍼포먼스 플라스틱스 코포레이션 다층 물품
JP5024087B2 (ja) 2008-02-05 2012-09-12 旭硝子株式会社 ガラス積層体、支持体付き表示装置用パネル、およびそれらの製造方法
GB0802912D0 (en) 2008-02-15 2008-03-26 Carben Semicon Ltd Thin-film transistor, carbon-based layer and method of production thereof
KR20090095026A (ko) 2008-03-04 2009-09-09 삼성전자주식회사 표시 장치 제조 방법
JP5555226B2 (ja) 2008-04-08 2014-07-23 アリゾナ ボード オブ リージェンツ ア ボディー コーポレート アクティング オン ビハーフ オブ アリゾナ ステイト ユニバーシティ 半導体加工中のフレキシブル基板のwarpおよびbowを減少させるアセンブリおよび方法
CN102007524B (zh) 2008-04-17 2013-07-31 旭硝子株式会社 玻璃层叠体、带支撑体的显示装置用面板及它们的制造方法
KR101500684B1 (ko) 2008-04-17 2015-03-10 삼성디스플레이 주식회사 캐리어 기판 및 이를 이용한 가요성 표시 장치의 제조 방법
US7842548B2 (en) 2008-04-22 2010-11-30 Taiwan Semconductor Manufacturing Co., Ltd. Fixture for P-through silicon via assembly
KR101458901B1 (ko) 2008-04-29 2014-11-10 삼성디스플레이 주식회사 가요성 표시 장치의 제조 방법
US7960840B2 (en) 2008-05-12 2011-06-14 Texas Instruments Incorporated Double wafer carrier process for creating integrated circuit die with through-silicon vias and micro-electro-mechanical systems protected by a hermetic cavity created at the wafer level
JP2009283155A (ja) 2008-05-19 2009-12-03 Seiko Epson Corp 表示装置の製造方法、表示装置および電子機器
JP5029523B2 (ja) 2008-07-14 2012-09-19 旭硝子株式会社 ガラス積層体、支持体付き表示装置用パネル、表示装置用パネル、表示装置およびこれらの製造方法
US7690344B2 (en) 2008-07-24 2010-04-06 Gm Global Technology Operations, Inc. Method and apparatus for supporting stop-and-go engine functionality
GB2462615A (en) 2008-08-12 2010-02-17 Nec Corp Optional Access Stratum security activation depending on purpose of request or message parameter in an evolved UTRAN communication network.
DE102008045370B4 (de) 2008-09-02 2010-07-08 Grenzebach Maschinenbau Gmbh Verfahren und Vorrichtung zum Transport großflächiger, dünner Glasplatten
WO2010051106A2 (en) 2008-09-12 2010-05-06 Arizona Board of Regents, a body corporate acting for and on behalf of Arizona State University Methods for attaching flexible substrates to rigid carriers and resulting devices
TWI354854B (en) 2008-09-15 2011-12-21 Ind Tech Res Inst Substrate structures applied in flexible electrica
JP2010107597A (ja) 2008-10-28 2010-05-13 Seiko Epson Corp 光学素子および光学素子の製造方法
KR101555551B1 (ko) 2008-11-07 2015-09-24 엘지디스플레이 주식회사 플렉시블 표시장치 제조방법
CN102272231B (zh) 2008-11-19 2013-10-30 陶氏康宁公司 硅氧烷组合物和制备该硅氧烷组合物的方法
US8211270B2 (en) 2008-11-21 2012-07-03 Nitto Denko Corporation Method of detaching attached boards from each other
DE102009022628A1 (de) 2008-12-05 2010-06-10 Evonik Goldschmidt Gmbh Verfahren zur Modifizierung von Oberflächen
WO2010064185A2 (en) 2008-12-05 2010-06-10 Koninklijke Philips Electronics N.V. Electronic devices having plastic substrates
TW201033000A (en) 2009-01-09 2010-09-16 Asahi Glass Co Ltd Glass laminate and manufacturing method therefor
US9063605B2 (en) 2009-01-09 2015-06-23 Apple Inc. Thin glass processing using a carrier
JP5207306B2 (ja) 2009-01-26 2013-06-12 武井電機工業株式会社 薄膜積層ガラス基板の薄膜除去方法及び装置
WO2010098762A1 (en) 2009-02-27 2010-09-02 Exxonmobil Oil Corporation Plasma treated evoh multilayer film
TWI410329B (zh) 2009-03-09 2013-10-01 Ind Tech Res Inst 可撓式裝置的取下設備及其取下方法
JP5402184B2 (ja) 2009-04-13 2014-01-29 日本電気硝子株式会社 ガラスフィルムおよびその製造方法
CN101873532B (zh) 2009-04-22 2013-01-02 电信科学技术研究院 广播多播系统中发送和获取控制信息的方法、基站和ue
WO2010129459A2 (en) 2009-05-06 2010-11-11 Corning Incorporated Carrier for glass substrates
WO2010128611A1 (ja) 2009-05-08 2010-11-11 日立化成工業株式会社 半導体封止用フィルム状接着剤、半導体装置及びその製造方法
GB0908193D0 (en) 2009-05-13 2009-06-24 Albright Patents Treatment of disease state
KR101360876B1 (ko) 2009-06-03 2014-02-11 어플라이드 머티어리얼스, 인코포레이티드 식각을 위한 방법 및 장치
CN101924067B (zh) 2009-06-09 2013-05-08 财团法人工业技术研究院 挠性膜自载板上脱离的方法及可挠式电子装置的制造方法
JP2010284869A (ja) 2009-06-11 2010-12-24 Shin-Etsu Chemical Co Ltd 接合部材
JP5594522B2 (ja) 2009-07-03 2014-09-24 日本電気硝子株式会社 電子デバイス製造用ガラスフィルム積層体
US7987566B2 (en) 2009-07-15 2011-08-02 Sturzebecher Richard J Capacitor forming method
US8568184B2 (en) 2009-07-15 2013-10-29 Apple Inc. Display modules
CN101989469A (zh) 2009-07-31 2011-03-23 群康科技(深圳)有限公司 导电板
US8048794B2 (en) 2009-08-18 2011-11-01 International Business Machines Corporation 3D silicon-silicon die stack structure and method for fine pitch interconnection and vertical heat transport
DE102009028640A1 (de) 2009-08-19 2011-02-24 Evonik Goldschmidt Gmbh Härtbare Masse enthaltend Urethangruppen aufweisende silylierte Polymere und deren Verwendung in Dicht- und Klebstoffen, Binde- und/oder Oberflächenmodifizierungsmitteln
JP2011048979A (ja) 2009-08-26 2011-03-10 Canon Inc 画像表示装置
US9847243B2 (en) 2009-08-27 2017-12-19 Corning Incorporated Debonding a glass substrate from carrier using ultrasonic wave
WO2011024690A1 (ja) 2009-08-27 2011-03-03 旭硝子株式会社 フレキシブル基材-支持体の積層構造体、支持体付き電子デバイス用パネル、および電子デバイス用パネルの製造方法
JP5562597B2 (ja) 2009-08-28 2014-07-30 荒川化学工業株式会社 支持体、ガラス基板積層体、支持体付き表示装置用パネル、および表示装置用パネルの製造方法
WO2011030716A1 (ja) 2009-09-08 2011-03-17 旭硝子株式会社 ガラス/樹脂積層体、及びそれを用いた電子デバイス
JP2011058579A (ja) 2009-09-10 2011-03-24 Tokyo Institute Of Technology エネルギ吸収構造体
KR101583394B1 (ko) 2009-09-11 2016-01-07 헨켈 아이피 앤드 홀딩 게엠베하 중합체 결합을 위한 조성물
JP5510901B2 (ja) 2009-09-18 2014-06-04 日本電気硝子株式会社 ガラスフィルムの製造方法及びガラスフィルムの処理方法並びにガラスフィルム積層体
KR20120098640A (ko) 2009-10-20 2012-09-05 아사히 가라스 가부시키가이샤 유리 적층체 및 그의 제조 방법, 및 표시 패널의 제조 방법 및 그 제조 방법에 의해 얻어지는 표시 패널
JP5637140B2 (ja) 2009-10-20 2014-12-10 旭硝子株式会社 ガラス積層体、支持体付き表示装置用パネル、表示装置用パネル、表示装置、およびこれらの製造方法
KR20110043376A (ko) 2009-10-21 2011-04-27 엘지디스플레이 주식회사 전기영동 표시장치 및 그 제조 방법
KR101617280B1 (ko) 2009-10-21 2016-05-03 엘지디스플레이 주식회사 플라스틱 기판을 이용한 표시장치 제조 방법
KR20110045136A (ko) 2009-10-26 2011-05-04 주식회사 삼영테크놀로지 윈도우와 디스플레이 패널을 분리하기 위한 코팅방법
US9019211B2 (en) 2009-10-30 2015-04-28 Corning Incorporated Methods and apparatus for providing touch sensitive displays
KR101635914B1 (ko) 2009-12-16 2016-07-05 엘지디스플레이 주식회사 플렉서블 표시장치의 제조 방법
DE102010063301A1 (de) 2009-12-17 2011-06-22 CeramTec GmbH, 73207 Oberflächenkonditionierung zur Verbesserung der Knochenzementadhäsion an keramischen Substraten
KR101899412B1 (ko) 2010-01-12 2018-09-17 니폰 덴키 가라스 가부시키가이샤 유리 필름 적층체와 그 제조 방법 및 유리 필름의 제조 방법
JP2011159697A (ja) 2010-01-29 2011-08-18 Dainippon Printing Co Ltd 薄膜トランジスタ搭載基板、その製造方法及び画像表示装置
GB201003067D0 (en) 2010-02-23 2010-04-07 Semblant Ltd Plasma-polymerized polymer coating
US8995146B2 (en) 2010-02-23 2015-03-31 Semblant Limited Electrical assembly and method
JP2011201977A (ja) 2010-03-24 2011-10-13 Seiko Epson Corp 接合方法
JP2011201976A (ja) 2010-03-24 2011-10-13 Seiko Epson Corp 接合方法
US8349727B2 (en) 2010-04-08 2013-01-08 Liang Guo Integrated method for high-density interconnection of electronic components through stretchable interconnects
US20110256385A1 (en) 2010-04-15 2011-10-20 Seiko Epson Corporation Bonding film-attached substrate and bonding film-attached substrate manufacturing method
JP5625470B2 (ja) 2010-05-10 2014-11-19 セイコーエプソン株式会社 接合方法
JP5516046B2 (ja) 2010-05-11 2014-06-11 セイコーエプソン株式会社 接合膜転写シートおよび接合方法
JP2011235556A (ja) 2010-05-11 2011-11-24 Seiko Epson Corp 励起エネルギー特定方法、励起エネルギー特定装置、接合方法および接合装置
JP5835214B2 (ja) 2010-05-11 2015-12-24 旭硝子株式会社 積層体の製造方法、および積層体
JP2011248011A (ja) 2010-05-25 2011-12-08 Bridgestone Corp 表示媒体用粒子およびこれを用いた情報表示用パネル
GB2481187B (en) 2010-06-04 2014-10-29 Plastic Logic Ltd Processing substrates
KR101721414B1 (ko) 2010-06-09 2017-03-31 삼성디스플레이 주식회사 백라이트 어셈블리 및 이를 포함하는 액정표시장치
US8852391B2 (en) 2010-06-21 2014-10-07 Brewer Science Inc. Method and apparatus for removing a reversibly mounted device wafer from a carrier substrate
TWI432835B (zh) 2010-06-24 2014-04-01 Au Optronics Corp 可撓性顯示面板及其製造方法
DE102010025967B4 (de) 2010-07-02 2015-12-10 Schott Ag Verfahren zur Erzeugung einer Vielzahl von Löchern, Vorrichtung hierzu und Glas-Interposer
CN101916022B (zh) 2010-07-06 2012-10-10 友达光电股份有限公司 可挠性显示面板及其制造方法
EP2511092B1 (en) 2010-07-28 2015-01-28 Nippon Electric Glass Co., Ltd. Glass film laminate
JP5602529B2 (ja) 2010-07-29 2014-10-08 日本合成化学工業株式会社 積層体の製法、ガラス基板付き偏光板の製法、およびそれにより得られたガラス基板付き偏光板
JP5774006B2 (ja) 2010-07-29 2015-09-02 三井化学株式会社 組成物、この組成物からなる表示デバイス端面シール剤用組成物、表示デバイス、およびその製造方法
US9263314B2 (en) 2010-08-06 2016-02-16 Brewer Science Inc. Multiple bonding layers for thin-wafer handling
US20120035309A1 (en) 2010-08-06 2012-02-09 Baker Hughes Incorporated Method to disperse nanoparticles into elastomer and articles produced therefrom
JP5462107B2 (ja) 2010-08-09 2014-04-02 株式会社日本製鋼所 中空成形品の製造方法および製造装置
US8846499B2 (en) 2010-08-17 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Composite carrier structure
TWI446420B (zh) 2010-08-27 2014-07-21 Advanced Semiconductor Eng 用於半導體製程之載體分離方法
US20120063952A1 (en) 2010-09-10 2012-03-15 Hong Keith C Uv resistant clear laminates
KR101164945B1 (ko) 2010-09-13 2012-07-12 한국과학기술원 플렉시블 소자의 제작 방법
KR101779586B1 (ko) 2010-09-27 2017-10-10 엘지디스플레이 주식회사 플라스틱 기판을 이용한 표시장치 제조 방법
US20130188324A1 (en) 2010-09-29 2013-07-25 Posco Method for Manufacturing a Flexible Electronic Device Using a Roll-Shaped Motherboard, Flexible Electronic Device, and Flexible Substrate
US8822306B2 (en) 2010-09-30 2014-09-02 Infineon Technologies Ag Method for manufacturing a composite wafer having a graphite core, and composite wafer having a graphite core
KR101267529B1 (ko) 2010-10-30 2013-05-24 엘지디스플레이 주식회사 플렉서블한 유기전계 발광소자 제조 방법
US8859103B2 (en) 2010-11-05 2014-10-14 Joseph Eugene Canale Glass wafers for semiconductor fabrication processes and methods of making same
CN102034746B (zh) 2010-11-08 2013-06-05 昆山工研院新型平板显示技术中心有限公司 一种有源矩阵有机发光显示器阵列基板的制造方法
KR101295532B1 (ko) 2010-11-11 2013-08-12 엘지디스플레이 주식회사 플렉시블 평판소자의 제조방법
KR101271838B1 (ko) 2010-11-24 2013-06-07 주식회사 포스코 보강기판을 이용한 플렉서블 전자소자의 제조방법, 플렉서블 전자소자 및 플렉서블 기판
KR101842134B1 (ko) 2010-11-26 2018-03-26 엘지디스플레이 주식회사 전기영동 표시장치 및 그 제조 방법
EP2458620B1 (en) 2010-11-29 2021-12-01 IHP GmbH-Innovations for High Performance Microelectronics / Leibniz-Institut für innovative Mikroelektronik Fabrication of graphene electronic devices using step surface contour
TW201238014A (en) 2010-11-30 2012-09-16 Corning Inc Methods of forming a glass wiring board substrate
JP2012119611A (ja) 2010-12-03 2012-06-21 Asahi Glass Co Ltd 貫通電極基板の製造方法
KR101049380B1 (ko) 2010-12-21 2011-07-15 한국기계연구원 전해도금을 이용한 반도체 소자 3차원 패키지용 관통 전극 및 그 제조 방법
CN102070120B (zh) 2010-12-31 2012-09-05 东南大学 用于微电子系统级封装的高密度转接板的制备方法
US8398873B2 (en) 2011-02-01 2013-03-19 Micro Technology Co., Ltd. Thin-sheet glass substrate laminate and method of manufacturing the same
JP2012166999A (ja) 2011-02-16 2012-09-06 Asahi Glass Co Ltd インターポーザ用ガラス基板の製造方法、インターポーザの製造方法、インターポーザ用ガラス基板、およびインターポーザ
KR101918284B1 (ko) 2011-03-03 2019-01-30 엘지디스플레이 주식회사 플렉시블 표시장치의 제조 방법
JP5355618B2 (ja) 2011-03-10 2013-11-27 三星ディスプレイ株式會社 可撓性表示装置及びこの製造方法
JP2012209545A (ja) 2011-03-17 2012-10-25 Sekisui Chem Co Ltd 半導体積層体の製造方法
TWI445626B (zh) 2011-03-18 2014-07-21 Eternal Chemical Co Ltd 製造軟性元件的方法
WO2012141293A2 (ja) 2011-04-15 2012-10-18 東洋紡績株式会社 積層体とその製造方法および、この積層体を用いたデバイス構造体の作成方法
JP2012227310A (ja) 2011-04-19 2012-11-15 Panasonic Corp セラミックス多層基板とその製造方法
US10155361B2 (en) 2011-11-09 2018-12-18 Corning Incorporated Method of binding nanoparticles to glass
CN103492173B (zh) 2011-04-22 2015-05-20 旭硝子株式会社 层叠体、其制造方法和用途
JP5862238B2 (ja) 2011-05-27 2016-02-16 東洋紡株式会社 積層体とその製造方法及びそれを用いたデバイス構造体の製造方法
JP5760696B2 (ja) 2011-05-27 2015-08-12 株式会社デンソー 画像認識装置
JP5862866B2 (ja) 2011-05-30 2016-02-16 東洋紡株式会社 積層体の作成方法および、この積層体を利用したフィルムデバイスの作成方法
JP5883236B2 (ja) 2011-06-10 2016-03-09 日東電工株式会社 薄層基材用キャリア材
KR20130000211A (ko) 2011-06-22 2013-01-02 삼성전자주식회사 기판 가공 방법
KR20130003997A (ko) 2011-07-01 2013-01-09 엘지디스플레이 주식회사 캐리어 기판과 박형 글라스의 탈부착 방법
WO2013006865A2 (en) 2011-07-07 2013-01-10 Brewer Science Inc. Methods of transferring device wafers or layers between carrier substrates and other surfaces
US8617925B2 (en) 2011-08-09 2013-12-31 Soitec Methods of forming bonded semiconductor structures in 3D integration processes using recoverable substrates, and bonded semiconductor structures formed by such methods
US8383460B1 (en) 2011-09-23 2013-02-26 GlobalFoundries, Inc. Method for fabricating through substrate vias in semiconductor substrate
EP2761051B1 (en) 2011-09-27 2018-11-07 Applied Materials, Inc. Carrier for thin glass substrates and use thereof
JP5796449B2 (ja) 2011-10-12 2015-10-21 旭硝子株式会社 電子デバイスの製造方法、樹脂層付きキャリア基板の製造方法
JP5790392B2 (ja) 2011-10-12 2015-10-07 旭硝子株式会社 電子デバイスの製造方法
CN103889712B (zh) 2011-10-18 2015-07-08 旭硝子株式会社 层叠体、层叠体的制造方法及带有电子器件用构件的玻璃基板的制造方法
KR101820171B1 (ko) 2011-10-24 2018-01-19 엘지디스플레이 주식회사 경량 박형의 액정표시장치 제조방법
WO2013109607A1 (en) 2012-01-16 2013-07-25 Dow Corning Corporation Optical article and method of forming
US8696864B2 (en) 2012-01-26 2014-04-15 Promerus, Llc Room temperature debonding composition, method and stack
US8550061B2 (en) 2012-01-26 2013-10-08 Maruzen Company Limited Toy gun
KR20140129153A (ko) 2012-02-08 2014-11-06 코닝 인코포레이티드 캐리어로 플렉시블 유리를 가공하는 방법
US8975157B2 (en) 2012-02-08 2015-03-10 Advanced Semiconductor Engineering, Inc. Carrier bonding and detaching processes for a semiconductor wafer
WO2015157202A1 (en) 2014-04-09 2015-10-15 Corning Incorporated Device modified substrate article and methods for making
US9725357B2 (en) 2012-10-12 2017-08-08 Corning Incorporated Glass articles having films with moderate adhesion and retained strength
US10543662B2 (en) 2012-02-08 2020-01-28 Corning Incorporated Device modified substrate article and methods for making
US8696212B2 (en) 2012-03-01 2014-04-15 Amsted Rail Company, Inc. Roller bearing backing ring assembly
JP2013184346A (ja) 2012-03-07 2013-09-19 Asahi Glass Co Ltd ガラス積層体、電子デバイスの製造方法
JP2013184872A (ja) 2012-03-09 2013-09-19 Nippon Electric Glass Co Ltd ガラス基板の端部処理方法、ガラス基板の端部処理装置及びガラス基板
KR101390212B1 (ko) 2012-03-14 2014-05-14 한양대학교 에리카산학협력단 전기분무건조를 이용한 글리아딘 나노입자의 제조방법
JP2013207084A (ja) 2012-03-28 2013-10-07 Sumitomo Electric Ind Ltd 放熱板付基板モジュールおよび放熱板付基板モジュールの製造方法
JP2013216513A (ja) 2012-04-05 2013-10-24 Nippon Electric Glass Co Ltd ガラスフィルムの切断方法及びガラスフィルム積層体
EP2650938A1 (en) 2012-04-13 2013-10-16 Acreo Swedish ICT AB Organic Field-Effect Transistor Device
JP2013224475A (ja) 2012-04-23 2013-10-31 Shimazu Kogyo Kk 溶射用粒子、溶射皮膜の形成方法及び溶射部材
DE102012207149A1 (de) 2012-04-27 2013-10-31 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verbund mit temporärer Schutzfolie
CN105965990B (zh) 2012-05-29 2018-06-01 旭硝子株式会社 玻璃层叠体和电子器件的制造方法
KR20130095605A (ko) 2012-06-22 2013-08-28 코스텍시스템(주) 디바이스 웨이퍼와 캐리어 웨이퍼의 본딩과 디본딩 장치
CN102815052B (zh) 2012-06-29 2016-08-24 法国圣戈班玻璃公司 超疏水减反基板及其制作方法
JP2014019597A (ja) 2012-07-17 2014-02-03 Nippon Electric Glass Co Ltd ガラスフィルムの製造方法及びガラスフィルム積層体
US9139469B2 (en) 2012-07-17 2015-09-22 Corning Incorporated Ion exchangeable Li-containing glass compositions for 3-D forming
CN102789125B (zh) 2012-07-27 2013-11-13 京东方科技集团股份有限公司 隔垫物制作方法
WO2014028284A1 (en) 2012-08-17 2014-02-20 Corning Incorporated Ultra-thin strengthened glasses
CN102820262A (zh) 2012-09-05 2012-12-12 江苏物联网研究发展中心 一种玻璃通孔的制作及互连的方法
WO2014050798A1 (ja) 2012-09-28 2014-04-03 Hoya株式会社 電子機器用カバーガラス及びその製造方法
WO2014059263A1 (en) * 2012-10-12 2014-04-17 Corning Incorporated Articles having retained strength
US20140150244A1 (en) 2012-11-30 2014-06-05 General Electric Company Adhesive-free carrier assemblies for glass substrates
CN103035490A (zh) 2012-12-11 2013-04-10 京东方科技集团股份有限公司 柔性显示器件的制备方法
US10086584B2 (en) 2012-12-13 2018-10-02 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
JP2016507448A (ja) * 2012-12-13 2016-03-10 コーニング インコーポレイテッド ガラスおよびガラス物品の製造方法
TWI617437B (zh) 2012-12-13 2018-03-11 康寧公司 促進控制薄片與載體間接合之處理
US10014177B2 (en) 2012-12-13 2018-07-03 Corning Incorporated Methods for processing electronic devices
US9340443B2 (en) 2012-12-13 2016-05-17 Corning Incorporated Bulk annealing of glass sheets
KR102046534B1 (ko) 2013-01-25 2019-11-19 삼성전자주식회사 기판 가공 방법
WO2014137801A1 (en) 2013-03-03 2014-09-12 John Moore Temporary adhesive with tunable adhesion force sufficient for processing thin solid materials
WO2014164206A1 (en) 2013-03-09 2014-10-09 John Moore Aqueous detergent soluble coating and adhesive and methods of temporary bonding for manufacturing
JP6070822B2 (ja) 2013-03-15 2017-02-01 日産自動車株式会社 非水電解質二次電池
TWI679175B (zh) 2013-03-15 2019-12-11 美商康寧公司 玻璃片之大量退火
KR101432575B1 (ko) 2013-03-29 2014-08-21 엘지디스플레이 주식회사 경량 박형의 액정표시장치 제조방법
WO2014163035A1 (ja) 2013-04-02 2014-10-09 旭硝子株式会社 被膜付きガラス基板およびその製造方法
KR102070617B1 (ko) 2013-08-21 2020-01-30 엘지이노텍 주식회사 멤스 진폭 변조기 및 이를 포함하는 멤스 자계 센서
KR101580015B1 (ko) 2013-08-30 2015-12-24 주식회사 엔씰텍 임시 점착/탈착층을 사용하는 플렉시블 정보 표시 소자 제조용 지지 기판, 이의 제조 방법, 그를 이용한 플렉시블 정보 표시 소자 및 그의 제조 방법
KR20150034829A (ko) 2013-08-30 2015-04-06 주식회사 엔씰텍 플렉시블 정보 표시 소자 제조용 지지 기판, 이의 제조 방법, 이를 이용하여 제조된 플렉시블 정보 표시 소자 및 이의 제조방법
US20150099110A1 (en) 2013-10-07 2015-04-09 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
US10510576B2 (en) 2013-10-14 2019-12-17 Corning Incorporated Carrier-bonding methods and articles for semiconductor and interposer processing
JP6176067B2 (ja) 2013-11-11 2017-08-09 旭硝子株式会社 ガラス積層体および電子デバイスの製造方法
JP6119567B2 (ja) 2013-11-11 2017-04-26 旭硝子株式会社 ガラス積層体の製造方法および電子デバイスの製造方法
JP6136909B2 (ja) 2013-12-17 2017-05-31 旭硝子株式会社 樹脂層付き支持基板の製造方法、ガラス積層体の製造方法、電子デバイスの製造方法
SG11201605964QA (en) 2014-01-27 2016-08-30 Corning Inc Treatment of a surface modification layer for controlled bonding of thin sheets with carriers
JP6770432B2 (ja) 2014-01-27 2020-10-14 コーニング インコーポレイテッド 薄いシートの担体との制御された結合のための物品および方法
WO2015113020A1 (en) 2014-01-27 2015-07-30 Corning Incorporated Articles and methods for controlled bonding of polymer surfaces with carriers
KR101522941B1 (ko) 2014-02-05 2015-05-26 도레이첨단소재 주식회사 실리콘 이형필름 및 그 제조방법
CN105980150B (zh) 2014-02-07 2018-01-30 旭硝子株式会社 玻璃层叠体
US9406746B2 (en) 2014-02-19 2016-08-02 International Business Machines Corporation Work function metal fill for replacement gate fin field effect transistor process
JP2017087417A (ja) 2014-03-26 2017-05-25 旭硝子株式会社 ガラス積層体
CN106163798B (zh) 2014-04-10 2019-05-10 Agc株式会社 玻璃层叠体及其制造方法、电子器件的制造方法
JP6322469B2 (ja) 2014-04-25 2018-05-09 ニッタ株式会社 基板加工方法
CN106232351A (zh) 2014-04-25 2016-12-14 旭硝子株式会社 玻璃层叠体及电子器件的制造方法
JPWO2016017645A1 (ja) 2014-08-01 2017-07-06 旭硝子株式会社 無機膜付き支持基板およびガラス積層体、ならびに、それらの製造方法および電子デバイスの製造方法
US9790593B2 (en) 2014-08-01 2017-10-17 Corning Incorporated Scratch-resistant materials and articles including the same
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
WO2016187186A1 (en) 2015-05-19 2016-11-24 Corning Incorporated Articles and methods for bonding sheets with carriers
CN107810168A (zh) 2015-06-26 2018-03-16 康宁股份有限公司 包含板材和载体的方法和制品
JP6123919B2 (ja) 2016-01-07 2017-05-10 住友ベークライト株式会社 化粧板
US20190184686A1 (en) 2016-08-22 2019-06-20 Corning Incorporated Articles of controllably bonded sheets and methods for making same
US10079154B1 (en) 2017-03-20 2018-09-18 Lam Research Corporation Atomic layer etching of silicon nitride

Also Published As

Publication number Publication date
KR102524620B1 (ko) 2023-04-21
CN107810168A (zh) 2018-03-16
EP3313799B1 (en) 2022-09-07
EP3313799A1 (en) 2018-05-02
US11905201B2 (en) 2024-02-20
WO2016209897A1 (en) 2016-12-29
KR20180048589A (ko) 2018-05-10
TW201708152A (zh) 2017-03-01
US20200039872A1 (en) 2020-02-06
JP2018526244A (ja) 2018-09-13
JP7106276B2 (ja) 2022-07-26
TWI723025B (zh) 2021-04-01

Similar Documents

Publication Publication Date Title
CN117534339A (zh) 包含板材和载体的方法和制品
TWI654088B (zh) 用於聚合物表面與載具之受控接合之物件及方法
CN106132688B (zh) 用于薄片与载体的受控粘结的制品和方法
KR20150095822A (ko) 유리 및 유리 물품의 제조 방법
US9889635B2 (en) Facilitated processing for controlling bonding between sheet and carrier
KR100769327B1 (ko) 두 웨이퍼 결합에 선행되는 열처리
EP3099484A1 (en) Treatment of a surface modification layer for controlled bonding of thin sheets with carriers
KR20150094742A (ko) 캐리어와 유리 시트의 결합을 제어하기 위한 유리 물품 및 방법
TW201249643A (en) Laminate, manufacturing method of the same, display panel with supporting plate, display panel, and display device
KR20150097606A (ko) Oled 장치의 가공 방법
KR20160066039A (ko) 유리 물품 및 유리 시트와 캐리어의 제어된 결합 방법
TW201736292A (zh) 玻璃片之大量退火
TW201627247A (zh) 轉移單層石墨烯至撓性玻璃基板上
JP5272663B2 (ja) 平坦化物の製造方法、平坦化物、被処理面の平坦化方法
JP2017118113A (ja) 2つの構造体間の直接接合を実行する方法
Kondo et al. Reactive ion etching of carbon nanowalls
KR102185957B1 (ko) 고밀착 그라파이트 코팅방법으로 코팅된 dlc코팅층을 포함하는 dlc코팅제품
US9324566B1 (en) Controlled spalling using a reactive material stack
KR20230099454A (ko) 그래핀의 전사 방법
JP2019536723A (ja) コーティングのために、ガラス、ガラスセラミック及びセラミック基板を位置決め及び固定するための方法及び装置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination