TWI617437B - 促進控制薄片與載體間接合之處理 - Google Patents

促進控制薄片與載體間接合之處理 Download PDF

Info

Publication number
TWI617437B
TWI617437B TW102145396A TW102145396A TWI617437B TW I617437 B TWI617437 B TW I617437B TW 102145396 A TW102145396 A TW 102145396A TW 102145396 A TW102145396 A TW 102145396A TW I617437 B TWI617437 B TW I617437B
Authority
TW
Taiwan
Prior art keywords
carrier
sheet
glass
bonding
glass sheet
Prior art date
Application number
TW102145396A
Other languages
English (en)
Other versions
TW201438892A (zh
Inventor
貝爾曼羅伯特艾倫
布可白德丹娜克瑞格
曼利羅伯喬治
瑪贊德普蘭汀
Original Assignee
康寧公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 康寧公司 filed Critical 康寧公司
Publication of TW201438892A publication Critical patent/TW201438892A/zh
Application granted granted Critical
Publication of TWI617437B publication Critical patent/TWI617437B/zh

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B17/00Layered products essentially comprising sheet glass, or glass, slag, or like fibres
    • B32B17/06Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B3/00Layered products comprising a layer with external or internal discontinuities or unevennesses, or a layer of non-planar shape; Layered products comprising a layer having particular features of form
    • B32B3/02Layered products comprising a layer with external or internal discontinuities or unevennesses, or a layer of non-planar shape; Layered products comprising a layer having particular features of form characterised by features of form at particular places, e.g. in edge regions
    • B32B3/04Layered products comprising a layer with external or internal discontinuities or unevennesses, or a layer of non-planar shape; Layered products comprising a layer having particular features of form characterised by features of form at particular places, e.g. in edge regions characterised by at least one layer folded at the edge, e.g. over another layer ; characterised by at least one layer enveloping or enclosing a material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B7/00Layered products characterised by the relation between layers; Layered products characterised by the relative orientation of features between layers, or by the relative values of a measurable parameter between layers, i.e. products comprising layers having different physical, chemical or physicochemical properties; Layered products characterised by the interconnection of layers
    • B32B7/04Interconnection of layers
    • B32B7/12Interconnection of layers using interposed adhesives or interposed materials with bonding properties
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C27/00Joining pieces of glass to pieces of other inorganic material; Joining glass to glass other than by fusing
    • C03C27/06Joining glass to glass by processes other than fusing
    • C03C27/10Joining glass to glass by processes other than fusing with the aid of adhesive specially adapted for that purpose
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K77/00Constructional details of devices covered by this subclass and not covered by groups H10K10/80, H10K30/80, H10K50/80 or H10K59/80
    • H10K77/10Substrates, e.g. flexible substrates
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2255/00Coating on the layer surface
    • B32B2255/26Polymeric coating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2457/00Electrical equipment
    • B32B2457/20Displays, e.g. liquid crystal displays, plasma displays
    • B32B2457/206Organic displays, e.g. OLED
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2457/00Electrical equipment
    • B32B2457/20Displays, e.g. liquid crystal displays, plasma displays
    • B32B2457/208Touch screens
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2218/00Methods for coating glass
    • C03C2218/30Aspects of methods for coating glass not covered above
    • C03C2218/32After-treatment
    • C03C2218/328Partly or completely removing a coating
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/549Organic PV cells

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Geochemistry & Mineralogy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Laminated Bodies (AREA)
  • Joining Of Glass To Other Materials (AREA)
  • Liquid Crystal (AREA)
  • Cleaning In General (AREA)
  • Surface Treatment Of Glass (AREA)

Abstract

一種從具有玻璃片接合表面(24)的玻璃片(20)和具有載體接合表面(14)的玻璃載體(10)形成物件的方法。使用表面修飾層(30)塗覆該玻璃片和載體接合表面中之至少一者,然後經由該表面修飾層將該玻璃片與該載體連接。從連接的該玻璃片和該載體之周邊移除一部分的該表面修飾層,以便曝露出每個該玻璃片和該載體上的該接合表面之一部分(19、29)。然後在≧400℃的溫度下加熱該玻璃片和該載體,以便將該玻璃片的周邊(26)與該載體的周邊(16)接合。

Description

促進控制薄片與載體間接合之處理 【相關申請案的交叉引用】
本專利申請案根據專利法主張於2012年12月13日提出申請的美國臨時專利申請案序號第61/736880號的優先權權益,該申請案之內容為本案所依據且該申請案之內容以引用方式全部併入本文中。
本發明係針對在載體上具有撓性片材的物件,而且更具體言之,本發明係針對能夠便利地將撓性玻璃片組裝於玻璃載體上的物件和方法。
撓性基板提供了使用捲對捲處理的更便宜裝置的指望,以及做出更薄、更輕、更容易彎曲及耐用的顯示器的潛力。然而,捲對捲處理高品質顯示器所需的技術、設備及製程尚未被完全開發。由於面板製造商已經投入巨資的工具集來處理大的玻璃片,將撓性基板層壓到載體以及藉由片對片處理來製作顯示裝置對於開發更薄、更輕及更容易彎曲的顯示器的價值主張提供了短期的解決方案。顯示器已被展示於 聚合物片材(例如聚2,6萘二甲酸乙二酯(PEN))上,其中裝置製造是片對片將PEN層壓到玻璃載體。PEN的溫度上限限制了裝置的品質及可以使用的製程。此外,聚合物基板的高滲透性導致OLED元件的環境退化,其中OLED元件必須要有接近密封的封裝。薄膜封裝提供了克服這種限制的希望,但尚未被證實在大量生產時可提供可接受的產率。
以相似的方式,可以使用層壓於一或更多個薄玻璃基板的玻璃載體來製造顯示裝置。可以預期的是,薄玻璃的低滲透性及改良的耐溫和耐化學性將能夠產生更高性能、更長壽命的撓性顯示器。
然而,由於熱、真空、溶劑和酸及超音波,對於被接合到載體的薄玻璃來說,平板顯示器(FPD)製程需要強固的接合。FPD製程通常涉及真空沉積(濺射金屬、透明導電氧化物及氧化物半導體,沉積非晶矽、氮化矽及二氧化矽的化學氣相沉積(CVD),以及金屬和絕緣體的乾蝕刻)、熱處理(包括~300-400℃的CVD沉積、高達600℃的p型Si結晶、350-450℃的氧化物半導體退火、高達650℃的摻雜劑退火及~200-350℃的接觸退火)、酸性蝕刻(金屬蝕刻、氧化物半導體蝕刻)、溶劑曝露(剝除光阻、聚合物封裝的沉積)以及超音波曝露(在溶劑中的光阻剝除及通常在鹼性溶液中的水清洗)。
黏性晶圓接合已被廣泛使用於微機械系統(MEMS)和用於後段步驟的半導體處理,在後段步驟的製程較不苛刻。由Brewer Science和Henkel供應的商業附著劑通常是厚 的聚合物黏結層,有5-200微米厚。這些層的大厚度產生了大量的揮發物、補陷溶劑及吸附物種污染FPD製程的可能性。這些材料在約250℃以上會熱分解和脫氣。該等材料還可能藉由作為可在後續製程脫氣的氣體、溶劑和酸的匯點而導致下游步驟污染。
於2012年2月8日提出申請、標題為以載體處理撓性玻璃(以下為US‘727)的美國臨時專利申請案序號第61/596,727號揭示其中的概念涉及最初藉由凡得瓦力將薄片(例如撓性玻璃片)接合於載體,然後提高某些區域的接合強度,同時保持在處理薄片/載體之後去除部分薄片的能力,以在薄片/載體上形成裝置(例如電子或顯示裝置、電子或顯示裝置的組件、有機發光元件(OLED)材料、光電(PV)結構或薄膜電晶體)。至少一部分的薄玻璃被接合於載體,使得可防止裝置製程流體進入薄片和載體之間,藉以減少污染下游製程的機會,即薄片和載體之間的接合密封部分是密封的,而且在一些較佳的實施例中,此密封包含物件的外部,從而防止液體或氣體侵入密封物件或從密封物件的任何區域流出。
US‘727接著揭示的是在低溫多晶矽(LTPS)(與固相結晶處理相比的低溫,可以高達約750℃)的元件製造製程中,可以使用接近600℃或更高的溫度、真空及濕蝕刻環境。這些條件限制了可使用的材料,並對載體/薄片放下了高的要求。因此,所需要的是利用製造商的現有資本基礎設施、能夠處理薄玻璃(即具有厚度≦0.3mm厚的玻璃)而不會 在較高的處理溫度下污染薄片和載體或損失薄片和載體之間的接合強度、以及其中薄玻璃可在製程的末段輕易地從載體解除接合的載體方法。
US‘727中揭示的方法的一個商業優勢在於(如US‘727中指出的)製造商將能夠利用他們在處理設備的現有資本投資,同時獲得薄玻璃片用於例如PV、OLED、LCD及圖案化薄膜電晶體(TFT)電子元件的優點。此外,該方法能夠有製程靈活性,包括:對於薄玻璃片和載體的清洗和表面準備的靈活性,以便利接合;在接合區域增強薄片和載體之間的接合的靈活性;在非接合(或降低/低強度接合)區域保持薄片可從載體釋放的靈活性;以及對於切割薄片的靈活性,以便利從載體拔出薄片。
在玻璃對玻璃的接合製程中,清洗玻璃表面以去除所有的金屬、有機物和顆粒殘餘物,並留下大部分為矽烷醇端基的表面。首先使玻璃表面緊密接觸,其中凡得瓦力及/或氫鍵的力量將玻璃表面拉在一起。使用熱和選擇性的壓力,表面的矽烷醇基團縮合以形成穿過界面的強共價Si-O-Si鍵,而永久地熔合玻璃件。金屬、有機物和顆粒殘餘物將藉由模糊表面、防止接合所需的緊密接觸來防止接合。還需要高的矽烷醇表面濃度來形成強鍵結,因為每單位面積的鍵結數量將由相對表面上的兩個矽烷醇物種反應而縮合出水的機率來決定。Zhuravlel已經報告了良好水合的二氧化矽的每平方奈米平均羥基數量為4.6至4.9。Zhuravlel,L.T.,The Surface Chemistry of Amorphous Silika, Zhuravlev Model, Colloids and Surfaces A:Physiochemical Engineering Aspects 173(2000)1-38。在US‘727中,非接合區域是被形成在接合周邊內,而且被描述用於形成這樣的非接合區域的主要方式是增加表面粗糙度。大於2nm Ra的平均表面粗糙度可以防止在高溫的接合製程過程中形成玻璃對玻璃的接合。
需要有組裝可以承受FPD處理(包括高溫處理)的苛刻條件的薄片-載體物件的便利方法(沒有會與使用該方法的半導體或顯示器製作製程不相容的脫氣),還容許薄片的區段被從載體移除。本說明書描述控制載體和薄片之間的附著的方法,以產生足夠強以安然渡過FPD處理(包括LTPS處理)但又足夠弱以甚至在高溫處理之後還允許部分薄片從載體脫離的暫時性接合。這樣的受控制接合可被用來產生在載體和薄片之間具有受控制接合和共價接合的圖案化區域的物件。更具體言之,本揭示提供表面修飾層(包括各種材料和相關的表面熱處理),該表面修飾層可被設置在薄片、載體或薄片和載體兩者上,以控制薄片和載體部分之間的室溫凡得瓦及/或氫鍵結和高溫共價鍵結。甚至更具體言之,可以控制室溫鍵結,以便足以在真空處理、濕處理及/或超音波清洗處理的過程中將薄片和載體保持在一起。而且同時,可以控制高溫的共價鍵結,以便防止薄片和載體部分在高溫處理的過程中形成永久性接合,以及保持充足的接合來防止在高溫處理的過程發生分層。在替代的實施例中,表面修飾層可被用來產生各種受控制的接合區域(其中載體和薄片保持充分接 合來通過各種處理,包括真空處理、濕處理及/或超音波清洗處理)及共價接合區域,以提供進一步的處理選擇,例如保持載體和薄片之間的密封,甚至是在將物件切割成較小的片而用於另外的裝置處理。仍進一步地,一些表面修飾層對載體和薄片之間的接合提供控制,同時在FDP(例如LTPS)處理環境中的惡劣條件(包括例如高溫及/或真空處理)期間減少脫氣散發。
將在以下的實施方式中提出其他的特徵和優點,並且從該些描述或藉由實施書面說明和所附申請專利範圍中例示的各種態樣,本技術領域中具有通常知識者將可輕易理解到,部分的特徵和優點是顯而易見的。可以瞭解的是,前面的一般性描述和以下的實施方式皆僅為示例性的各種態樣,並且意圖提供用以瞭解所主張的發明之本質和特性的概述或框架。
附圖被涵括,以提供對本發明原理的進一步瞭解,並且附圖被併入本說明書以及構成此說明書的一部分。圖式說明了一或更多個實施例,並與說明一起藉由舉例的方式來解釋本發明的原理和操作。可以瞭解的是,本說明書中和圖式中揭示的各種特徵可被用於任何的和所有的組合。藉由非限制性實例的方式,可將各種特徵互相組合,如同申請專利範圍中所提出的。
2‧‧‧物件
5‧‧‧線
8‧‧‧厚度
10‧‧‧載體
12‧‧‧第一表面
14‧‧‧接合表面
16‧‧‧周邊
18‧‧‧厚度
19‧‧‧曝露部分
20‧‧‧薄片
22‧‧‧第一表面
24‧‧‧接合表面
26‧‧‧周邊
28‧‧‧厚度
29‧‧‧曝露部分
30‧‧‧表面修飾層
38‧‧‧厚度
40‧‧‧接合區域
42‧‧‧輪廓線
50‧‧‧受控制接合區域
52‧‧‧周邊
56‧‧‧所需的部分
57‧‧‧周邊排氣口
402‧‧‧線
404‧‧‧線
406‧‧‧線
502‧‧‧線
504‧‧‧線
506‧‧‧線
第1圖為具有中間以表面修飾層接合於薄片的載體的物件之示意性側視圖。
第2圖為第1圖的物件之分解和部分切開視圖。
第3圖為二氧化矽上的表面羥基濃度為溫度的函數之圖。
第4圖為SC1清洗過的玻璃片之表面能為退火溫度的函數之圖。
第5圖為沉積在玻璃片上的薄氟聚合物膜之表面能為製作該膜的其中一個組成材料之百分比的函數之圖。
第6圖為藉由接合區域接合於載體的薄片之示意性頂視圖。
第7圖為薄片和載體之示意性頂視圖。
第8圖為在薄片和載體已經經由表面修飾層連接之後沿著第7圖的線8-8取得的剖面圖。
第9圖為在已經部分去除表面修飾層之後沿著第7圖的線8-8取得的剖面圖。
第10圖為在已經在接合區域中接合載體和薄片之後沿著第7圖的線8-8取得的剖面圖。
第11圖為具有圖案化接合區域的薄片和載體之示意性頂視圖。
在以下的實施方式中,為了解釋而非限制的目的,提出揭示具體細節的示例性實施例,以提供對本發明之各種原理的透徹瞭解。然而,在擁有本揭示之效益後,對於本技術領域中具有通常技藝者而言,顯而易見的可以在其他偏離本文揭示之具體細節的實施例中實施本發明。此外,可以省 略眾所周知的裝置、方法及材料之描述,以免混淆本發明之各種原理的描述。最後,在適用之處,相同的參照符號係指相同的元件。
本文中可以將範圍表達為從「約」一個特定值及/或至「約」另一個特定值。當表達這樣的範圍時,另一個實施例還包括從該一個特定值及/或至該另一個特定值。同樣地,當值被表達為近似值時,藉由使用先行詞「約」將可瞭解到,該特定值形成另一個實施例。將進一步瞭解到,每一範圍的端點既明顯關係到另一個端點,亦明顯獨立於另一個端點。
本文中使用的方向性術語-例如上、下、右、左、前、後、頂、底-僅參照所繪圖式而述,並非意圖暗示絕對的方位。
除非內文以其他方式清楚指明,否則本文中使用的單數型「一」及「該」亦包括複數的指示對象。因此,舉例來說,提及一「成分」亦包括具有二個或更多個這種成分的態樣,除非內文以其他方式清楚指明。
在US‘727中提供有允許在載體上處理薄玻璃片的解決方案,從而使至少部分的薄玻璃片保持「非接合」,使得在薄玻璃片上進行處理的裝置可以被從載體移除。然而,薄玻璃的周邊是經由形成共價Si-O-Si鍵而被永久地(或共價地,或密封地)接合於載體玻璃。在此永久接合區中這個共價鍵結的周邊氣密地密封載體與薄玻璃,使得製程流體無法進入薄片和載體之間。
本揭示提出便利在薄片和載體之間形成氣密接合的 物件和方法,以便薄片能夠通過FPD生產線的惡劣環境進行處理,包括高溫處理-其中高溫處理是在溫度≧400℃的處理,而且可以視正被製作的裝置之類型而改變,例如當在非晶矽或非晶形銦鎵鋅氧化物(IGZO)背板處理中高達約450℃的溫度、當在結晶IGZO處理中高達約500-550℃的溫度、或在LTPS製程中典型的高達約600-650℃的溫度。
如第1圖和第2圖中所圖示,玻璃物件2具有厚度8,並且包括具有厚度18的載體10、具有厚度28的薄片20(即具有厚度≦300微米者,包括但不限於例如10-50微米、50-100微米、100-150微米、150-300微米、300微米、250微米、200微米、190微米、180微米、170微米、160微米、150微米、140微米、130微米、120微米、110微米、100微米、90微米、80微米、70微米、60微米、50微米、40微米、30微米、20微米或10微米的厚度)以及具有厚度38的表面修飾層30。玻璃物件2被設計成允許薄片20在設計用於較厚片材(即那些在≧0.4mm的等級者,例如0.4mm、0.5mm、0.6mm、0.7mm、0.8mm、0.9mm或1.0mm)的設備中進行處理,儘管薄片20本身是≦300微米。亦即,厚度8(其為厚度18、28及38的總和)被設計成相當於較厚片材的厚度,其中一台設備-例如設計來將電子裝置組件放置到基板片上的設備-被設計來處理該較厚片材。例如,假使處理設備被設計為用於700微米的片材,並且薄片具有300微米的厚度28,則厚度18將被選擇為400微米,假設厚度38是可以忽略的。亦即,並未依比例圖示表面修飾層30;反而只是 為了說明的緣故而將表面修飾層30大為誇大。此外,將表面修飾層圖示為切開。實際上,當提供可重複使用的載體時,表面修飾層會被一致地放在接合表面14上方。通常情況下,厚度38將在奈米的等級上,例如0.1nm至2.0nm或多達10nm,而且在某些情況下可能高達100nm。厚度38可以藉由橢圓偏光儀進行量測。此外,表面修飾層的存在可以藉由表面化學分析來偵測,例如藉由飛行時間二次離子質譜儀(ToF Sims)質譜法。因此,厚度38對物件厚度8的貢獻是可以忽略的,並且可以在決定用於處理具有厚度28的給定薄片20的載體10之適當厚度18的計算中被忽略。然而,到了表面修飾層30具有任何明顯厚度38的程度,則在為薄片20的給定厚度28以及處理設備被設計將應用的給定厚度決定載體10的厚度18時,可以算入這樣的厚度38。
載體10具有第一表面12、接合表面14、周邊16以及厚度18。另外,載體10可以是任何適當的材料,包括例如玻璃。載體不需要是玻璃,但反而可以是陶瓷、玻璃陶瓷或金屬(因為可以用類似於以下關於玻璃載體所描述的方式控制表面能及/或接合)。假使由玻璃所製成,則載體10可以是任何適當的組合物,包括鋁矽酸鹽、硼矽酸鹽、鋁硼矽酸鹽、鈉鈣矽酸鹽,而且可以是含鹼金屬或不含鹼金屬的,取決於載體10的最終應用。厚度18可以從約0.2mm至3mm或更厚,例如0.2mm、0.3mm、0.4mm、0.5mm、0.6mm、0.65mm、0.7mm、1.0mm、2.0或3mm或更厚,而且將取決於厚度28及厚度38(當厚度38為不可忽略時,如上所述)。另外,載 體10可以由一個層所製成,如圖示,或由接合在一起的多個層(包括多個薄片)所製成。另外,載體可以是第1代的尺寸或更大,例如第2代、第3代、第4代、第5代、第8代或更大(例如從100mm×100mm至3米×3米或更大的片尺寸)。
薄片20具有第一表面22、接合表面24、周邊26及厚度28。周邊16和26可以是任何適當的形狀、可以彼此相同或是可以彼此不同的。另外,薄片20可以是任何適當的材料,包括例如玻璃、陶瓷或玻璃陶瓷。當由玻璃製成時,薄片20可以是任何適當的組合物,包括鋁矽酸鹽、硼矽酸鹽、鋁硼矽酸鹽、鈉鈣矽酸鹽,而且可以是含鹼金屬或不含鹼金屬的,取決於薄片20的最終應用。薄片的熱膨脹係數可以與載體的熱膨脹係數相對緊密地匹配,以防止物件在高溫的處理過程中翹曲。薄片20的厚度28為300微米或更薄,如以上所指出的。另外,薄片可以是第1代的尺寸或更大,例如第2代、第3代、第4代、第5代、第8代或更大(例如從100mm×100mm至3米×3米或更大的片尺寸)。
不僅物件2需要具有在現存設備中進行處理的正確厚度,而且物件2還將需要能夠安然渡過處理發生的惡劣環境。例如,平板顯示器(FPD)處理可能包括濕超音波、真空及高溫(例如≧400℃)的處理。對於某些製程,如以上所指出的,溫度可能為≧500℃或≧600℃以及高達650℃。
為了安然渡過物件2進行處理的苛刻環境,例如在 FPD製造的過程中,應該以足夠的強度將接合表面14接合到接合表面24,以使薄片20不會從載體10分離。而且在整個處理期間應保持此強度,以使薄片20在處理過程中不會從載體10分離。另外,為了容許部分的薄片20被從載體10移除,接合表面14不應該被過於強力地接合到接合表面24,不管是藉由最初設計的結合力及/或藉由從最初設計的接合力改變所產生的接合力,該接合力改變例如可能會在物件進行高溫(例如≧400℃的溫度)處理時發生。可以使用表面修飾層30來控制接合表面14和接合表面24之間的接合強度,以便達成此目的。藉由控制凡得瓦(及/或氫鍵)和共價吸引的能量對總附著能的貢獻來實現受控的接合力,該總附著能量是藉由調整薄片20和載體10的極性和非極性表面能組分來控制的。這個受控制的接合是足夠強的,以安然渡過FPD處理(包括濕、超音波、真空及包括溫度≧400℃的熱製程,而且在一些情況下,處理溫度≧500℃或≧600℃,並且高達650℃),而且仍可藉由施加足夠的分離力而且還藉由不會對薄片20及/或載體10引起災難性破壞(例如斷裂或裂解成兩片或多個片)的力來保持可去接合。這樣的去接合允許去除部分的薄片20和製造在薄片20上的裝置。
雖然表面修飾層30被圖示為薄片20和載體10之間的固體層,但並不需要一定是這種情況。例如,層30可以在0.1nm至2nm厚的等級,而且可能無法完全覆蓋接合表面14的每一小區。例如,覆蓋率可能是≦100%、從1%至100%、從10%至100%、從20%至90%或從50%至90%。在 其他的實施例中,層30可以高達10nm厚,或是在其他的實施例中甚至高達100nm厚。表面修飾層30可以被認為是被放在載體10和薄片20之間,即使表面修飾層30可能不與載體10和薄板20中之一者或另一者接觸。在任何情況下,表面修飾層30的一種重要態樣在於表面修飾層30改變了接合表面14與接合表面24接合的能力,從而控制載體10和薄片20之間的接合強度。表面修飾層30的材料和厚度、以及接合表面14、24在接合之前的處理可以被用來控制載體10和薄片20之間的接合強度(附著能)。
一般來說,兩個表面之間的附著能係由下式所給出(“A theory for the estimation of surface and interfacial energies.I.derivation and application to interfacial tension”,L.A.Girifalco and R.J.Good,J.Phys.Chem.,V 61,p904):W=γ 1÷γ 2-γ 12 (1)其中γ1、γ2及γ3分別為表面1、表面2的表面能及表面1和表面2的界面能。各個表面能通常是兩項的組合;分散組分γd及極性組分γp γ=γ d+γ p (2)
當附著主要是由於倫敦分散力(London dispersion forces,γd)和例如氫鍵(γp)的極性力時,則界面能可以由下式給出(Girifalco和R.J.Good,如上所述):
將方程式(3)帶入方程式(1)之後,附著能可被近似計算為:
在上面的方程式(4)中,只有考慮附著能的凡得瓦(及/或氫鍵)組分。這些包括極性-極性交互作用(Keesom)、極性-非極性交互作用(Debye)以及非極性-非極性交互作用(London)。然而,也可能存在其他的吸引能量,例如共價鍵結及靜電接合。所以,在更廣義的形式中,將以上方程式寫為: 其中wc和we為共價和靜電附著能。共價附著能是相當常見的,例如在矽晶圓接合中,最初氫鍵結的晶圓對被加熱到更高的溫度,以將許多或所有的矽烷醇-矽烷醇氫鍵轉化成Si-O-Si共價鍵。雖然最初的室溫氫鍵產生了約在100-200mJ/m2等級、允許接合表面分離的附著能,但在高溫處理(在400至800℃的等級)過程中所實現的完全共價接合晶圓對具有約1000-3000mJ/m2、不允許接合表面分離的附著能;取而代之的是兩個晶圓的行為就像一個整體。另一方面,假使兩個表面都被完美地塗覆低表面能材料,例如氟聚合物,並且低表面能材料具有足夠厚的厚度來屏蔽底層基板的作用,則附著能將會是塗覆材料的附著能,而且將會非常的低,導致接合面14、24之間為低度附著或無附著,從而使薄片20將無法在載體10上進行處理。考慮兩個極端的情況:(a)兩個標準清洗1(SC1,如本技術領域中習知的)清洗過的、飽和充滿矽烷醇基團的玻璃表面在室溫下經由氫鍵接合在一起(藉此附著能為約100-200mJ/m2),之後被加熱到將矽烷醇 基團轉化為共價Si-O-Si鍵結的高溫(藉此附著能變成1000-3000mJ/m2)。這個後面的附著能太高而無法讓玻璃表面對成為可拆卸的;以及(b)兩個被完美地塗覆具有低表面附著能(每個表面約12mJ/m2)的氟聚合物的玻璃表面在室溫下接合並被加熱到高溫。在這個後面的情況(b)中,不僅表面確實未接合(因為當表面被放在一起時,約24mJ/m2的總附著能太低),而且在高溫下該兩個表面也未接合,因為沒有極性反應基團(或極性反應基團太少)。在這兩個極端之間存在一個範圍的附著能,例如在50-1000mJ/m2之間,該範圍的附著能可以產生所需程度的控制接合。因此,本發明人已經發現提供表面修飾層30來導致附著能介於這兩個極值之間的各種方式,並使得可以產生控制接合,該控制接合足以將一對玻璃基板(例如玻璃載體10和薄玻璃片20)保持互相接合通過FPD處理的苛刻條件,但該控制接合的程度(即使在例如≧400℃的高溫處理之後)還允許部分的薄片20在處理完成之後從載體10分離。此外,從載體10分離部分的薄片20可以藉由機械力來進行,而且在這樣的方式中,至少對該部分的薄片20沒有災難性的損壞,較佳地還使得對載體10沒有災難性的損壞。
方程式(5)描述附著能為四個表面能參數加上共價和靜電能(若有的話)的函數。
適當的附著能可以藉由明智地選擇表面修飾劑(即表面修飾層30的表面修飾劑)及/或在接合之前對表面進行熱處理來實現。適當的附著能可以藉由選擇接合表面14和接合 表面24中之任一者或兩者的化學修飾劑來達成,這接著控制凡得瓦(及/或氫鍵,在整個說明書中可互換使用這些術語)附著能以及從高溫處理(例如在≧400℃的等級)產生的可能的共價鍵結附著能。例如,採用SC1清洗過的玻璃的接合表面(即最初飽和充滿矽烷醇基團並具有表面能之高極性組分)並將該表面塗覆低能量的氟聚合物提供了由極性和非極性基團控制的表面覆蓋分率。這不僅提供了對最初在室溫下的凡得瓦(及/或氫)鍵結的控制,而且還提供了對在較高溫度下的共價鍵結程度/等級的控制。進行對最初在室溫下的凡得瓦(及/或氫)鍵結的控制,以便提供一個表面對另一個表面的接合,以允許真空及/或旋轉沖洗乾燥(SRD)類型的處理,而且在一些情況下還允許一個表面對另一個表面輕易地形成接合-其中該輕易形成的接合可以在室溫下進行,而不需在薄片20的整個區域上應用外部施加的力,如同使用刮板或使用減壓的環境將薄片20壓到載體10所做的。也就是說,初始的凡得瓦鍵結至少提供了將薄片和載體保持在一起的最小程度接合,使得假使一個被固持而允許另一個處於重力下時,薄片和載體不會分離。在大部分的情況下,最初的凡得瓦(及/或氫)鍵結將是屬於物件也可以通過真空、SRD及超音波處理而且薄片不會從載體分層的這種程度。這種以適當的程度經由表面修飾層30(包括製作表面修飾層30的材料及/或被施加表面修飾層30的表面之表面處理)對凡得瓦(及/或氫鍵)和共價相互作用的精確控制及/或藉由在將接合表面接合在一起之前熱處理接合表面實現了所需的附著能,該所 需的附著能允許薄片20在FPD類型的處理自始至終與載體10接合,同時允許部分的薄片20在FPD類型的處理之後從載體10分離(藉由適當的力,並避免損壞薄片20及/或載體)。此外,在適當的情況下,可以將靜電電荷施加到一或兩個玻璃表面,以對附著能提供另一種程度的控制。
FPD處理,例如p型矽和氧化物TFT的製造通常涉及溫度高於400℃、高於500℃、而且在某些情況下等於或高於600℃的熱製程,高達650℃會導致薄玻璃片20與玻璃載體10在沒有表面修飾層30下玻璃接合。因此,控制Si-O-Si鍵結的形成產生了可重複使用的載體。在升溫下控制Si-O-Si鍵結形成的一種方法是減少在待接合表面上的表面羥基之濃度。
如第3圖所圖示,第3圖為Iler之圖(R.K.Iller:The Chemistry of Silica(Wiley-Interscience,New York,1979)),在二氧化矽上的表面羥基濃度為溫度的函數,每平方奈米的羥基(OH基團)數量隨著表面的溫度升高而減少。因此,加熱二氧化矽表面(及藉由類推的玻璃表面,例如接合表面14及/或接合表面24)可降低表面羥基的濃度,減少兩個玻璃表面上的羥基將交互作用的機率。此表面羥基濃度的降低接著又減少了每單位面積上形成的Si-O-Si鍵結,從而降低了附著力。然而,消除表面羥基需要在高溫下長的退火時間(高於750℃,以完全消除表面羥基)。這種長的退火時間和高的退火溫度會產生昂貴的製程,並且其中之一是不實際的,因為退火溫度很可能高於典型的顯示器玻璃之應變點。
從以上的分析,本發明人發現,包括薄片和載體且適用於FPD處理(包括低溫多晶矽(LTPS)處理)的物件可以藉由平衡以下三個概念來製作:(1)藉由控制最初的室溫接合來修飾載體及/或薄片接合表面,這可以藉由控制凡得瓦(及/或氫)鍵結來完成,以產生適中的附著能(例如每個表面在表面被接合之前具有>40mJ/m2的表面能),以促進最初的室溫接合,並足以安然渡過非高溫FPD製程,例如真空處理、SRD處理及/或超音波處理;(2)以熱穩定的方式表面修飾載體及/或薄片,以安然渡過FPD製程而不會脫氣,脫氣會導致脫層及/或裝置製造中無法接受的污染,例如可能使用該物件的半導體及/或顯示器製作製程無法接受的污染;以及(3)控制在高溫下的接合,這可以藉由控制載體的表面羥基濃度以及其他能夠在高溫下(例如溫度≧400℃)形成強共價鍵結的物種之濃度來完成,從而可以控制載體和薄片的接合表面之間的接合能量,使得即使在高溫處理之後(特別是通過在500-650℃範圍中的熱製程,如在FPD製程),載體和薄片之間的附著力仍在允許部分的薄片以至少不損壞薄片(而且較佳為不損壞或薄片或載體)但仍足以保持載體和薄片之間的接合的分離力從載體脫離的範圍內,使得薄片和載體在處理過程中不會分層。
另外,本發明人已經發現的是,適當地與接合表面準備一起使用表面修飾層30可以平衡以上的概念,以便輕易 地實現控制的接合區域,亦即該接合區域提供薄片20和載體10之間足夠的室溫接合以允許物件2在FPD類型的製程(包括真空和濕製程)中進行處理、但又控制薄片20和載體10之間的共價鍵結(甚至在≧400℃的高溫下),以便在物件2已經完成高溫處理(例如FPD類型的處理或LTPS處理)之後允許部分的薄片20被從載體10移除(至少對薄片不造成損壞,而且較佳還不損壞載體)。為了評估潛在的接合表面準備以及將提供適用於FPD處理的可重複使用載體的表面修飾層,使用一系列的測試來評估每個的適用性。不同的FPD應用具有不同的要求,但LTPS和氧化物TFT製程顯得是此時最嚴格的,因此,選擇代表這些製程中的步驟的測試,因為這些是物件2所需的應用。真空製程、濕清洗(包括SRD和超音波類型的製程)以及濕蝕刻是許多FPD應用中常見的。典型的aSi TFT製造需要高達320℃的處理。在400℃的退火被使用於氧化物TFT製程,而超過600℃的結晶和摻雜劑活化步驟被使用於LTPS處理。因此,使用以下五個測試來評估特定的接合表面準備和表面修飾層30將允許薄片20在FPD處理自始至終保持接合於載體10同時允許薄片20在這樣的處理(包括溫度≧400℃的處理)之後被從載體10移除(而不損壞薄片20及/或載體10)的可能性。該等測試係依序進行,並且樣品從一個測試進行到下一個測試,除非有將不允許後續測試進行的類型的故障。
(1)真空測試。真空相容性測試是在STS多重PECVD負載鎖定室(可向英國紐波特的SPTS(SPTS,Newport, UK)取得)中進行-該負載鎖定室係由Ebara A10S乾式泵以軟泵閥(可向美國加州沙加緬度的Ebara科技公司(Ebara Technologies Inc.,Sacramento,CA)取得)抽空。將樣品放在負載鎖定室中,然後在45秒中將負載鎖定室從大氣壓力抽空至70毫托。若有以下情況,則在下表的「真空」欄中以符號「F」表示的故障被視為已發生:(a)載體和薄片之間的附著喪失(藉由肉眼視覺檢查,其中若薄片已從載體剝落或從載體部分脫離,則視為已發生故障);(b)載體和薄片之間有氣泡(如藉由肉眼視覺檢查來決定-在處理之前和之後對樣品拍照,然後進行比較,若缺陷大小增加到肉眼可見的尺寸則確定故障已經發生);或(c)薄片相對於載體移動(如藉由肉眼視覺觀察來決定-在測試之前和之後對樣品拍照,其中若接合缺陷有所移動(例如氣泡),或假使邊緣脫離,或假使薄片在載體上有移動,則視為故障已經發生)。在下表中,「真空」欄中的符號「P」表示按照前述的標準樣品未發生故障。
(2)濕製程測試。使用Semitool型號SRD-470S(可向美國加州聖克拉拉的應用材料公司(Applied Materials,Santa Clara,CA)取得)進行濕製程相容性測試。該測試是由500rpm沖洗60秒、在500rpm Q-沖洗至15MOhm-cm、在500rpm淨化10秒、在1800rpm乾燥90秒以及在溫暖流動的氮氣下以2400rpm乾燥180秒所組成。若有以下情況,則在下表的「SRD」欄中以符號「F」指示的故障被視為已發生:(a)載體和薄片之間的附著喪失(藉由肉眼視覺檢查,其中若薄片已從載體剝落或從載體部分脫離,則視為已發生故障); (b)載體和薄片之間有氣泡(如藉由肉眼視覺檢查來決定-在處理之前和之後對樣品拍照,然後進行比較,若缺陷大小增加到肉眼可見的尺寸,則確定故障已經發生);或(c)薄片相對於載體移動(如藉由肉眼視覺觀察來決定-在測試之前和之後對樣品拍照,其中若接合缺陷有所移動(例如氣泡),或假使邊緣脫離,或假使薄片在載體上有移動,則視為故障已經發生);或(d)水在薄片下方滲透(如藉由50倍的光學顯微鏡視覺檢查來決定,其中若可觀察到液體或殘餘物,則視為故障已經發生)。在下表中,「SRD」欄中的符號「P」表示按照前述的標準樣品未發生故障。
(3)溫度至400℃的測試。使用Alwin21 Accuthermo610 RTP(可向美國加州聖克拉拉的Alwin21(Alwin21,Santa Clara CA)取得)進行400℃製程相容性測試。將與薄片接合的載體在腔室中從室溫以6.2℃/分鐘循環加熱至400℃、在400℃下保持600秒以及以1℃/分鐘冷卻至300℃。然後讓載體和薄片冷卻至室溫。若有以下情況,則在下表的「400℃」欄中以符號「F」指示的故障被視為已發生:(a)載體和薄片之間的附著喪失(藉由肉眼視覺檢查,其中若薄片已從載體剝落或從載體部分脫離,則視為已發生故障);(b)載體和薄片之間有氣泡(如藉由肉眼視覺檢查來決定-在處理之前和之後對樣品拍照,然後進行比較,若缺陷大小增加到肉眼可見的尺寸,則確定已經發生故障);或(c)載體和薄片之間的附著力增加,從而這種增加的附著力可以防止薄片從載體脫離(藉由在薄片和載體之間插入刀片,及/或 藉由將一片1”寬×6”長且有2-3”附接到100平方毫米的薄玻璃(來自紐約州胡斯克的聖戈班高性能塑膠(Saint Gobain Performance Plastic,Hoosik NY)的K102系列)的KaptonTM膠帶黏到薄片並在膠帶上拉動)而不損壞薄片或載體,其中若在試圖將薄片和載體分開後對薄片或載體造成損壞,或是若薄片和載體無法藉由進行任一脫離方法而被脫離,則視為已經發生故障。另外,在薄片與載體接合之後並在熱循環之前在代表性樣品上進行脫離測試,以確定某個特定的材料(包括任何相關的表面處理)的確允許薄片在溫度循環之前從載體脫離。在下表中,「400℃」欄中的符號「P」表示按照前述的標準樣品未發生故障。
(4)溫度至600℃的測試。使用Alwin21 Accuthermo610 RTP進行600℃製程相容性的測試。將具有薄片的載體在腔室中從室溫以9.5℃/分鐘循環加熱至600℃、在600℃下保持600秒以及以1℃/分鐘冷卻至300℃。然後讓載體和薄片冷卻至室溫。若有以下情況,則在下表的「600℃」欄中以符號「F」指示的故障被視為已發生:(a)載體和薄片之間的附著喪失(藉由肉眼視覺檢查,其中若薄片已從載體剝落或從載體部分脫離,則視為已發生故障);(b)載體和薄片之間有氣泡(如藉由肉眼視覺檢查來決定-在處理之前和之後對樣品拍照,然後進行比較,若缺陷大小增加到肉眼可見的尺寸,則確定已經發生故障);或(c)載體和薄片之間的附著力增加,從而這種增加的附著力可以防止薄片從載體脫離(藉由在薄片和載體之間插入刀片,及/或藉由將一片如 上所述的KaptonTM膠帶黏到薄片並在膠帶上拉動)而不損壞薄片或載體,其中若在試圖將薄片和載體分開後對薄片或載體造成損壞,或是若薄片和載體無法藉由進行任一脫離方法而被脫離,則視為已經發生故障。另外,在薄片與載體接合之後並在熱循環之前在代表性樣品上進行脫離測試,以確定某個特定的材料及任何相關的表面處理的確允許薄片在溫度循環之前從載體脫離。在下表中,在「600℃」欄中的符號「P」表示按照前述的標準樣品未發生故障。
(5)超音波測試。超音波相容性測試是藉由在四槽產線中清洗物件來進行,其中該物件依序從槽# 1至槽# 4在每個槽中進行處理。四個槽中每個槽的尺寸為18.4”L×10”W×15”D。兩個清洗槽(# 1和# 2)含有1%可向日本橫濱市橫濱油脂工業有限公司(Yokohama Oils and Fats Industry Co Ltd.,Yokohama Japan)取得的Semiclean KG在50℃溶於去離子水。清洗槽# 1用NEY prosonik 2 104kHz超音波產生器(可向紐約州詹姆斯敦的黑石-NEY超音波(Blackstone-NEY Ultrasonics,Jamestown,NY)取得)攪拌,而清洗槽# 2用NEY prosonik 2 104kHz超音波產生器攪拌。兩個沖洗槽(槽# 3和槽# 4)中含有在50℃下的去離子水。沖洗槽# 3由NEY sweepsonik 2D 72kHz超音波產生器攪拌,而沖洗槽# 4由NEY sweepsonik 2D 104kHz超音波產生器攪拌。在每個槽# 1-4中進行10分鐘的製程,之後在從槽# 4移出樣品後進行旋轉沖洗乾燥(SRD)。若有以下情況,則在下表的「超音波」欄中以符號「F」指示的故障被視為已發生: (a)載體和薄片之間的附著喪失(藉由肉眼視覺檢查,其中若薄片已從載體剝落或從載體部分脫離,則視為已發生故障);(b)載體和薄片之間有氣泡(如藉由肉眼視覺檢查來決定-在處理之前和之後對樣品拍照,然後進行比較,若缺陷大小增加到肉眼可見的尺寸,則確定故障已經發生);或(c)形成其他嚴重的缺陷(如藉由50倍的光學顯微鏡視覺檢查來決定,其中若有以前沒有觀察到的顆粒陷在薄片和載體之間,則視為故障已經發生);或(d)水在薄片下方滲透(如藉由50倍的光學顯微鏡視覺檢查來決定,其中若可觀察到液體或殘餘物,則視為故障已經發生)。在下表中,「超音波」欄中的符號「P」表示按照前述的標準樣品未發生故障。此外,在下表中,「超音波」欄中的空白表示該樣品不以這種方式進行測試。
藉由加熱減少羥基來製備接合表面
使用表面修飾層30修飾接合表面14、24中之一者或更多者使得物件2能夠成功地進行FPD處理的校益(即其中薄片20在處理過程中保持接合到載體10,但在處理(包括高溫處理)之後又可以從載體10分離)是藉由處理具有玻璃載體10和薄玻璃片20且中間沒有表面修飾層30的物件2來證明。具體來說,首先試著藉由加熱減少羥基基團但不使用表面修飾層30來製備接合表面14、24。清洗載體10和薄片20,將接合表面14和24彼此接合,然後對物件2進行測試。典型用於製備用來接合的玻璃的清洗製程是SC1清洗製程,其中玻璃是在稀釋的過氧化氫和鹼(通常為氫氧化銨,但也可以使用氫氧化四甲銨溶液,例如JT Baker JTB-100或 JTB-111)中進行清洗。清洗從接合表面去除顆粒,並且使得表面能已知,即清洗提供了表面能的基線。清洗的方式不一定是SC1,也可以使用其它類型的清洗,因為清洗的類型對於表面上的矽烷醇基團很可能只有非常小的影響。將各種測試的結果列於下表1中。
藉由簡單地清洗100平方毫米×100微米厚的薄玻璃片以及玻璃載體(直徑150mm、單一均勻平坦(SMF)的晶圓、0.50mm或0.63mm厚)來產生強大的但可分離的初始、室溫或凡得瓦及/或氫鍵,每個薄玻璃片和玻璃載體都包含Eagle XG®顯示玻璃(無鹼的鋁-硼-矽酸鹽玻璃,具有0.2nm等級的平均表面粗糙度Ra,可向紐約康寧市的康寧公司(Corning Incorporated,Corning,NY)取得)。在此實例中,玻璃在65℃、40:1:2的去離子水:JTB-111:過氧化氫浴中被清洗10分鐘。薄玻璃或玻璃載體可以已經或可以尚未在400℃的氮氣中退火10分鐘來去除殘餘的水-在下表1的「載體」欄或「薄玻璃」欄中的符號「400℃」表示樣品在400℃的氮氣中退火10分鐘。FPD製程相容性測試證明了此SC1-SC1初始、室溫接合的機械強度足以通過真空SRD和超音波測試。然而,在400℃和更高溫下加熱在薄玻璃和載體之間產生了永久的接合,亦即無法將薄玻璃片從載體移除而不損壞薄玻璃片和載體中之任一者或兩者。而且這甚至是實例1c的情況,其中每個載體和薄玻璃進行退火步驟來減少表面羥基的濃度。因此,上述單獨經由加熱來製備接合表面14、24、然後將載體10與薄片12接合而不使用表面修飾層30並 非適用於FDP製程的控制接合,FDP製程中的溫度將會≧400℃。
藉由減少羥基及表面修飾層來製備接合表面
減少羥基(例如藉由熱處理)和表面修飾層30可被一起用來控制接合表面14、24的相互作用。例如,可以對接合表面14、24的接合能(由於極性/分散能組分的室溫凡得瓦及/或氫鍵結兩者,以及由於共價能組分的高溫共價鍵結)進行控制,以便提供不同的接合強度,該接合強度係從其中室溫接合是困難的到允許輕易的室溫接合,以及在高溫處理之後接合表面分離到-在高溫處理之後-防止表面分離且無損壞。在一些應用中,不具有鍵結或具有非常弱的鍵結(如當表面處在「非接合」區域時,因為「非接合」區域係被描述於US‘727的薄片/載體概念中,並且如下文所述)可能是理想的。在其他的應用中,例如提供用於FPD製程的可重複使用載體及類似者(其中可以實現≧500℃、或≧600℃以及高達650℃的製程溫度),理想的是在室溫具有足夠的凡得瓦及/或氫鍵結,以初步將薄片和載體放在一起,而且還防止或限制高溫的共價鍵結。對於另一些應用,可能理想的是具有足夠的室溫接合,以初步將薄片和載體放在一起,而且 還在高溫下發展出強的共價鍵結(如當表面處在「接合區域」時,因為「接合區域」係被描述於US‘727的薄片/載體概念中,並且如下文所討論)。雖然不希望受理論束縛,但在一些情況中,表面修飾層可被用來控制室溫接合,藉由該表面修飾層來初步將薄片和載體放在一起,而減少表面上的羥基基團(如藉由加熱該表面或藉由羥基與例如表面修飾層的反應)可被用來控制共價鍵結,特別是在高溫下的共價鍵結。
表面修飾層30的材料可以提供接合表面14、24能量(例如能量<40mJ/m2,如對一個表面測量的,並包括極性和分散組分),藉此表面只產生弱的接合。在一個實例中,可以使用六甲基二矽氮烷(HMDS)而藉由與表面羥基反應留下三甲基甲矽烷(TMS)終端表面來產生此低能量表面。可以將作為表面修飾層的HMDS與表面加熱一起使用來減少羥基的濃度,以控制室溫和高溫下的接合。藉由為每個接合表面14、24選擇適當的接合表面準備可以實現具有一個能力範圍的物件。更具體來說,有興趣為LTPS處理提供可重複使用的載體,可以在薄玻璃片20和玻璃載體10之間實現適當的接合,以便安然渡過(或通過)每個真空SRD、400℃(部分a和c)以及600℃(部分a和c)的處理測試。
在一個實例中,SC1清洗之後以HMDS處理薄玻璃和載體產生了弱的接合表面,這在室溫下以凡得瓦(及/或氫鍵)力接合是有挑戰性的。施加機械力來將薄玻璃接合於載體。如表2的實例2a中所示,此接合足夠弱,以致於在真空測試和SRD處理中觀察到載體的偏斜,在400℃和600℃ 的熱製程中觀察到起泡(可能是由於脫氣),以及在超音波處理之後觀察到微粒缺陷。
在另一個實例中,只在一個表面(在引用的實例中為載體)進行HMDS處理產生了更強的室溫附著,該室溫附著安然渡過真空和SRD處理。然而,在400℃和更高溫的熱製程將薄玻璃永久地接合於載體。這並非不可預期的,因為三甲基甲矽烷基團在二氧化矽上的最大表面覆蓋率已在J.Phys.Chem.1982,86,5208-5219中由Sindorf和Maciel計算出為2.8/nm2,並經Suratwala等人在Journal of Non-Crystalline Solids 316(2003)349-363中測得為2.7/nm2,對比完全羥基化的二氧化矽之羥基濃度為4.6-4.9/nm2。也就是說,雖然三甲基甲矽烷基團的確與一些表面羥基鍵結,但仍將有一些未鍵結的羥基殘留。因此,若給予足夠的時間和溫度,則吾人將可預期表面矽烷醇基團會縮合而永久地將薄玻璃和載體接合。
可以藉由加熱玻璃表面來產生各種的表面能,以在HMDS曝露之前降低表面羥基的濃度,導致表面能的極性組分增加。這既減少了在高溫形成共價的Si-O-Si鍵結的驅動力,而且還導致更強的室溫鍵結,例如凡得瓦(及/或氫)鍵結。第4圖圖示Eagle XG®顯示玻璃載體在退火後以及在HMDS處理後的表面能。提高在HMDS曝露之前的退火溫度藉由增加極性的貢獻(線404)而增加了在HMDS曝露之後的總(極性和分散)表面能(線402)。還可以看出的是,分散對總表面能的貢獻(線406)基本上藉由熱處理而保持不 變。雖然不希望受理論所束縛,但在HMDS處理之後增加的表面能之極性組分並從而增加總表面能顯得是由於HMDS的次單層TMS覆蓋而存在一些曝露的玻璃表面區域,甚至是在HMDS處理之後亦然。
在實例2b中,將薄玻璃片在溫度150℃的真空中加熱一小時,之後與具有HMDS塗層的非熱處理載體接合。此薄玻璃片的熱處理並不足以防止薄玻璃片在溫度≧400℃下永久接合於載體。
如表2的實例2c-2e中所示,改變HMDS曝露之前的玻璃表面退火溫度可以改變玻璃表面的接合能,以便控制玻璃載體和薄玻璃片之間的接合。
在實例2c中,使載體在溫度190℃的真空中進行1小時的退火,之後進行HMDS曝露,以提供表面修飾層30。此外,在與載體接合之前,將薄玻璃片在450℃的真空中進行1小時的退火。所得的物件安然渡過真空、SRD及400℃的測試(部分a和c,但沒有通過部分b,因為起泡有增加),但未能通過600℃的測試。因此,雖然與實例2b相比對高溫接合的抗性有提高,但此並不足以產生可在溫度≧600℃下進行處理(例如LTPS處理)的物件(其中載體是可重複使用的)。
在實例2d中,使載體在溫度340℃的真空中進行1小時的退火,之後進行HMDS曝露,以提供表面修飾層30。再次地,在與載體接合之前,將薄玻璃片在450℃的真空中進行1小時的退火。結果與實例2c相似,其中物件安然渡過 真空、SRD及400℃的測試(部分a和c,但沒有通過部分b,因為起泡有增加),但未能通過600℃的測試。
如實例2e所示,使薄玻璃和載體皆在450℃的真空中進行1小時的退火、之後進行載體的HMDS曝露、然後將載體與薄玻璃片接合提高了對永久接合的溫度抗性。兩個表面達450℃的退火防止了在600℃進行10分鐘RTP退火之後的永久接合,也就是說,此樣品通過了600℃處理的測試(部分a和c,但沒有通過部分b,因為起泡有增加;400℃的測試亦發現類似的結果)。
在以上的實例2a至2e中,每個載體和薄片皆為Eagle XG®玻璃,其中載體為直徑150mm的SMF晶圓,630微米厚,以及薄片為100平方毫米,100微米厚。在YES-5 HMDS烘箱(可向Yield Engineering Systems,San Jose CA取得)中藉由脈衝氣相沉積來施加HMDS,並且為一個原子層厚(即約0.2至1nm),雖然表面覆蓋率可以小於一個單層,即某些表面羥基未被HMDS覆蓋,如由Maciel指出的及以上討論的。由於表面修飾層的厚度小,所以會導致裝置製造中 發生污染的脫氣之風險極低。另外,因為表面修飾層並未顯現退化,再次地,甚至有更低的脫氣風險。同樣地,如表2中藉由「SC1」符號表示的,每個載體和薄片皆使用SC1製程進行清洗,之後再進行熱處理或任何後續的HMDS處理。
比較實例2a與實例2b顯示,可以藉由改變包括表面修飾層的表面之數量來控制薄片和載體之間的接合能。而且控制接合能可以被用來控制兩個接合表面之間的接合力。同樣地,比較實例2b-2e顯示,可以在施加表面修飾材料之前藉由改變接合表面所進行的熱處理之參數來控制表面的接合能。再次地,該熱處理可被用來減少表面羥基的數量,並因而控制共價鍵結的程度,特別是在高溫下的共價鍵結程度。
其他可以以不同的方式作用來控制接合表面上的表面能的材料可被用於表面修飾層30,以控制兩個表面之間的室溫和高溫接合力。例如,假使使用表面修飾層修飾一個或兩個接合表面來產生中等接合力,則也可以產生可重複使用的載體,該表面修飾層覆蓋或在空間上阻礙物種(例如羥基),以防止載體和薄片之間在高溫下形成強的永久性共價鍵結。一種產生可調整表面能並覆蓋表面羥基以防止形成共價鍵結的方式是沉積電漿聚合物膜,例如氟聚合物膜。電漿聚合在大氣壓力或減壓及電漿激發(DC或RF平行板,感應耦合電漿(ICP)電子迴旋共振(ECR)下游微波或射頻電漿)下從來源氣體沉積薄的聚合物膜,該來源氣體例如氟碳源(包括CF4、CHF3、C2F6、C3F6、C2F2、CH3F、C4F8、氯氟碳或氫氯氟碳)、烴類例如烷烴(包括甲烷、乙烷、丙烷、丁烷)、 烯烴(包括乙烯、丙烯)、炔烴(包括乙炔)及芳香烴(包括苯、甲苯)、氫氣以及其它的氣體源,例如SF6。電漿聚合產生一層高度交聯的材料。可以使用反應條件和來源氣體的控制來控制膜的厚度、密度及化學性質,以為所需的應用訂製官能性基團。
第5圖圖示使用Oxford ICP380蝕刻工具(可向英國牛津市的牛津儀器(Oxford Instruments,Oxfordshire UK)取得)從CF4-C4F8混合物沉積的電漿聚合氟聚合物(PPFP)膜之總(線502)表面能(包括極性(線504)和分散(線506)組分)。將該膜沉積到一片Eagle XG ®玻璃上,而且光譜橢圓偏光儀顯示該膜為1-10nm厚。如從第5圖看到的,以含有少於40% C4F8的電漿聚合氟聚合物膜處理的玻璃載體表現出>40mJ/m2的表面能,並在室溫下藉由凡得瓦或氫鍵結在薄玻璃和載體之間產生控制的接合。當在室溫下將載體和薄玻璃初步接合時觀察到容易的接合。也就是說,當將薄片放在載體上並在一個點將薄片和載體壓在一起時,波前會穿過載體行進,但行進的速度比在SC1處理過的且上面沒有表面修飾層的表面觀察到的更慢。受控制的接合足以承受所有標準的FPD製程,包括真空、濕的、超音波及高達600℃的熱製程,亦即此受控制的接合通過了600℃的處理測試,而且薄玻璃沒有移動或從載體分層。脫離是藉由使用刀片及/或如上所述的KaptonTM膠帶剝離來完成的。兩種不同的PPFP膜(如上所述所沉積的)之製程相容性如表3所示。以C4F8/(C4F8+CF4)=0形成實例3a的PPFP 1,亦即使用CF4/H2 且不使用C4F8形成實例3a的PPFP 1,而且以C4F8/(C4F8+CF4)=0.38沉積實例3b的PPFP 2。兩種類型的PPFP膜皆安然渡過真空、SRD、400℃及600℃的處理測試。然而,對PPFP 2進行20分鐘的超音波清洗之後觀察到分層,表示附著力不足以承受這樣的處理。但PPFP2的表面修飾層對於某些應用仍可以是有用的,如超音波處理並非必要的應用。
在上面的實例3a和3b中,每個載體和薄片皆為Eagle XG®玻璃,其中載體為直徑150mm的SMF晶圓,630微米厚,而且薄片為100平方毫米,100微米厚。由於表面修飾層的厚度小,所以會導致裝置製造中發生污染的脫氣之風險極低。另外,因為表面修飾層並未顯現退化,再次地,甚至有更低的脫氣風險。同樣地,如表3中所指出的,每個薄片皆使用SC1製程進行清洗,然後在150℃的真空中進行1小時的熱處理。
還有可以以不同的方式發揮功能來控制表面能的其它材料可被用作表面修飾層,以控制薄片和載體之間的室溫和高溫接合力。例如,可以產生控制接合的接合表面可以藉由以矽烷處理玻璃載體及/或玻璃薄片來產生。選擇矽烷以便產生適當的表面能,而且以便具有對應用來說足夠的熱穩定 性。待處理的載體或薄玻璃可以藉由例如O2電漿或UV-臭氧的製程及SC1或標準清洗2(SC2,如本技術領域中習知的)清洗來進行清洗,以去除有機物及其他會干擾矽烷與表面矽烷醇基團反應的雜質(例如金屬)。還可以使用基於其他化學品的洗滌,該化學品例如HF或H2SO4洗滌化學品。在施加矽烷之前可以將載體或薄玻璃加熱,以控制表面羥基的濃度(如以上關於HMDS的表面修飾層所討論的),及/或可以在施加矽烷之後將載體或薄玻璃加熱,以完成矽烷與表面羥基的縮合。在接合之前,可以使矽烷化之後的未反應羥基基團的濃度足夠低,以防止在溫度≧400℃下薄玻璃和載體之間產生永久的接合,即用以形成受控制的接合。以下描述這種方法。
實例4a
玻璃載體的接合表面經過O2電漿和SC1處理,然後用1%溶於甲苯的十二烷基三乙氧基矽烷(DDTS)處理,並在150℃的真空中進行1小時的退火,以完成縮合。經DDTS處理的表面表現出45mJ/m2的表面能。如表4所示,將玻璃薄片(已進行SC1清洗並在400℃的真空中加熱1小時)接合到上面具有DDTS表面修飾層的載體接合表面。此物件安然渡過濕製程和真空製程測試,但並未安然渡過超過400℃的熱製程,且由於矽烷的熱分解而在載體下方形成了氣泡。預期所有的直鏈烷氧基和氯烷基矽烷R1xSi(OR2)y(Cl)z(其中x=1至3,並且y+z=4-x)皆產生此熱分解,除了產生良好熱穩定性塗層的甲基、二甲基及三甲基矽烷(x=1至3,R1= CH3)之外。
實例4b
玻璃載體的接合表面經過O2電漿和SC1處理,然後用1%溶於甲苯的3,3,3,三氟丙基三甲氧基矽烷(TFTS)處理,並在150℃的真空中進行1小時的退火,以完成縮合。經TFTS處理的表面表現出47mJ/m2的表面能。如表4所示,將玻璃薄片(已進行SC1清洗然後在400℃的真空中加熱1小時)接合到上面具有TFTS表面修飾層的載體接合表面。此物件安然渡過真空、SRD及400℃製程的測試,並且玻璃薄片沒有對玻璃載體產生永久性接合。然而,由於矽烷的熱分解,600℃的測試產生了在載體下方形成的氣泡。由於丙基基團有限的熱穩定性,這並不意外。雖然由於起泡此樣品未通過600℃的測試,但此實例的材料和熱處理仍可被用於可以容忍氣泡和氣泡的不利影響(例如表面平坦度降低或起伏增加)的某些應用。
實例4c
玻璃載體的接合表面經過O2電漿和SC1處理,然後用1%溶於甲苯的苯基三乙氧基矽烷(PTS)處理,並在200℃的真空中進行1小時的退火,以完成縮合。經PTS處理的表面表現出54mJ/m2的表面能。如表4所示,將玻璃薄片(已進行SC1清洗然後在400℃的真空中加熱1小時)接合到具有PTS表面修飾層的載體接合表面。此物件安然渡過真空、SRD及高達600℃的熱製程,而沒有產生玻璃薄片與玻璃載體的永久性接合。
實例4d
玻璃載體的接合表面經過O2電漿和SC1處理,然後用1%溶於甲苯的二苯基二乙氧基矽烷(DPDS)處理,並在200℃的真空中進行1小時的退火,以完成縮合。經DPDS處理的表面表現出47mJ/m2的表面能。如表4所示,將玻璃薄片(已進行SC1清洗然後在400℃的真空中加熱1小時)接合到具有DPDS表面修飾層的載體接合表面。此物件安然渡過真空及SRD測試、以及高達600℃的熱製程,而沒有產生玻璃薄片與玻璃載體的永久性接合。
實例4e
玻璃載體的接合表面經過O2電漿和SC1處理,然後用1%溶於甲苯的4-五氟苯基三乙氧基矽烷(PFPTS)處理,並在200℃的真空中進行1小時的退火,以完成縮合。經PFPTS處理的表面表現出57mJ/m2的表面能。如表4所示,將玻璃薄片(已進行SC1清洗然後在400℃的真空中加熱1小時)接合到具有PFPTS表面修飾層的載體接合表面。此物件安然渡過真空及SRD測試、以及高達600℃的熱製程,而沒有產生玻璃薄片與玻璃載體的永久性接合。
在以上的實例4a至4e中,每個載體和薄片皆為 Eagle XG®玻璃,其中載體為直徑150mm的SMF晶圓,630微米厚,並且薄片為100平方毫米,100微米厚。矽烷層為自組裝的單層(SAM),並因而在小於約2nm厚的等級。在上面的實例中,使用具有芳基或烷基非極性尾部及單、二或三-烷氧基頭部基團的有機矽烷來形成SAM。這些與玻璃上的矽醇表面反應,以直接附加有機的官能性。非極性頭部基團之間的弱交互作用形成了有機層。由於表面修飾層的厚度小,所以會導致裝置製造中發生污染的脫氣之風險極低。另外,因為在實例4c、4d及4e中表面修飾層並未顯現退化,再次地,甚至有更低的脫氣風險。同樣地,如表4中指出的,將每個玻璃薄片使用SC1製程進行清洗,之後在400℃的真空中熱處理1小時。
如從實例4a-4e的比較可以看出的,將接合表面的表面能控制在高於40mJ/m2以便利初始的室溫接合並不是產生將能承受FPD處理並且仍允許薄片被從載體移除而不會損壞的可控制接合之唯一考量。具體來說,如從實例4a-4e可以看出的,每個載體具有高於40mJ/m2的表面能,這可促進初始的室溫接合,所以物件安然渡過了真空和SRD處理。然而,實例4a和4b未通過600℃處理的測試。如上所指出的,對於某些應用來說,還重要的是接合安然渡過高達高溫(例如≧400℃、≧500℃或≧600℃、高達650℃,這些溫度對物件被指定使用的製程是適當的)的處理,並且該接合沒有衰退到不足以將薄片和載體保持在一起的點,而且該接合還控制在這樣的高溫下發生的共價接合,所以薄片和載 體之間沒有永久性接合。如表4中的實例所示,芳香族矽烷(特別是苯基矽烷)可用於提供受控制的接合,該接合將促進初始的室溫接合,而且該接合將能夠承受FPD處理,並且仍然允許薄片被從載體移除而無損壞。
上述在實例4、3及2中的分離是在室溫下進行,且未添加任何進一步的熱或化學能來修飾薄片和載體之間的接合界面。唯一的能量輸入是機械的拉動及/或剝離力。
上面在實例3和4中描述的材料可以被施加到載體、到薄片或到將被接合在一起的載體和薄片表面兩者。
受控制的接合之用途
提供受控制的接合區域
受控制的接合透過表面修飾層(包括材料和相關的接合表面熱處理)的一種用途是在玻璃載體和玻璃薄片之間提供受控制的接合區域。更具體來說,使用表面修飾層可以形成受控制的接合區域,其中足夠的分離力可以從載體分離出薄片部分,而不會讓接合對薄片或載體中之任一者造成損壞,但在整個處理過程中仍保持足夠的接合力來相對於載體固持薄片。參照第6圖,可以藉由接合區域40來將玻璃薄片20接合到玻璃載體10。在接合區域40中,載體10和薄片20彼此共價地鍵結,使得載體10和薄片20的行為就像一個整體。此外,還有具有周邊52的受控制接合區域50,其中載體10和薄片20連接,但也可以彼此分離,即使是在高溫處理之後亦然,該高溫處理例如在溫度≧600℃的處理。雖然第6圖中圖示出10個受控制接合區域50,但也可以設置任何適 當的數量,包括一個。包括材料和接合表面熱處理的表面修飾層30,如以上的實例2a、2e、3a、3b、4c、4d及4e所例示的,也可以被用來提供載體10和薄片20之間的受控制接合區域50。具體來說,這些表面修飾層可以被形成在受控制接合區域50的周邊52內,可以在載體10上或在薄片20上。因此,當物件2在高溫下進行處理時,不管是在接合區域40中形成共價鍵結或是在裝置處理的過程中,可以在周邊52包圍的區域內、在載體10和薄片20之間提供受控制的接合,藉此分離力可以將這個區域中的薄片和載體分離(不會災難性地損壞薄片或載體),但薄片和載體將不會在處理(包括超音波處理)過程中分層。本申請案的受控制接合(如藉由表面修飾層和任何相關的熱處理所提供的)因此能夠改良US‘727中的載體概念。具體來說,雖然US‘727的載體與該載體的接合周邊和未接合中心區域被證明可安然渡過FPD處理,包括≧約600℃的高溫處理,但例如濕式清洗的超音波處理和光阻剝離處理仍然充滿挑戰。具體來說,在溶液中的壓力波被認為會在薄玻璃的非接合區域(如US‘727中描述的非接合)中引發共振的振動,因為在該區域中有極少的或沒有附著力接合薄玻璃和載體。可以在薄玻璃中形成駐波,其中這些波可能會導致振動,假使超音波攪拌具有足夠的強度,則該振動會導致薄玻璃在接合和非接合區域之間的界面破裂。這個問題可以藉由最小化薄玻璃和載體之間的間隙並藉由在這些區域50中的載體20和薄玻璃10之間提供足夠的附著力或受控制的接合來排除。接合表面的表面修飾層 (包括材料和任何相關的熱處理,如實例2a、2e、3a、3b、4c、4d及4e所例示的)控制了接合能,以便在薄片20和載體10之間提供足夠的接合,以避免在受控制的接合區域中有這些不要的振動。
然後,在處理之後並在沿著周邊57分離薄片之後,在拔出具有周邊57的所需部分56的過程中,在周邊52內的薄片20部分可以被簡單地從載體10分離。因為表面修飾層控制接合能來防止薄片與載體永久接合,故薄片和載體可被用於溫度≧600℃的製程。當然,雖然這些表面修飾層可在溫度≧600℃的處理過程中控制接合表面能,但該等表面修飾層也可被用於產生將可承受較低溫處理的薄片和載體的組合,而且可被用於這樣的較低溫應用。此外,在物件的熱處理將不會超過400℃時,也可以此相同的方式使用如實例2c、2d、4b所例示的表面修飾層-在某些情況下取決於其他的製程要求-來控制接合表面能。
提供接合區域
受控制的接合透過表面修飾層(包括材料和任何相關的接合表面熱處理)的第二種用途是在玻璃載體和玻璃薄片之間提供接合區域。參照第6圖,可以藉由接合區域40來將玻璃薄片20接合到玻璃載體10。
在第二種用途的一個實施例中,接合區域40、載體10及薄片20可以被共價地彼此鍵結,使得它們的行為如同一個整體。此外,還有具有周邊52的受控制接合區域50,其中載體10和薄片20被彼此接合並足以承受處理,而且仍然允 許薄片從載體分離,即使是在高溫處理之後,例如溫度≧600℃的處理。因此,如以上實例1a、1b、1c、2b、2c、2d、4a及4b所例示的表面修飾層30(包括材料和接合表面熱處理)可被用來提供載體10和薄片20之間的接合區域40。具體來說,這些表面修飾層和熱處理可被形成在受控制接合區域50的周邊52外部,且可以在載體10上或薄片20上。因此,當物件2在高溫下進行處理或在高溫下被處理以形成共價鍵時,載體和薄片20將會在接合區域40內彼此接合,接合區域40是在周邊52包圍的區域外部。然後,在拔出具有周邊57的所需部分56的過程中,當需要切割薄片20和載體10時,該物件可以沿著線5分離,因為這些表面修飾層和熱處理共價地將薄片20與載體10接合,所以薄片20和載體10在這個區域的行為就像一個整體。因為表面修飾層提供了薄片與載體的永久性共價鍵結,所以薄片和載體可被用於其中溫度≧600℃的製程。此外,在物件或初始形成的接合區域40的熱處理將≧400℃但低於600℃時,如實例4a中的材料和熱處理所例示的表面修飾層也可以被以此相同的方式使用。
在第二種用途的第二實施例中,在接合區域40中,可以經由上述的各種表面修飾層藉由受控制的接合來將載體10和薄片20彼此接合。此外,有具有周邊52的受控制接合區域50,其中載體10和薄片20彼此接合足以承受處理,而且即使在高溫處理之後仍允許薄片從載體分離,該高溫處理例如溫度≧600℃的處理。因此,假使處理將在高達600 ℃的溫度下進行,並且期望在區域40中沒有永久的或共價的接合,則可以使用如以上實例2e、3a、3b、4c、4d及4e所例示的表面修飾層30(包括材料及接合表面熱處理)來提供載體10和薄片20之間的接合區域40。具體來說,這些表面修飾層和熱處理可以被形成在受控制接合區域50的周邊52外部,而且可以被形成在載體10或薄片20上。可以使用與在接合區域40中所形成的相同的或不同的表面修飾層來形成受控制接合區域50。或者,假使將只在高達400℃的溫度下進行處理,並且期望在區域40中沒有永久的或共價的接合,則可以使用如以上實例2c、2d、2e、3a、3b、4b、4c、4d、4e所例示的表面修飾層30(包括材料及接合表面熱處理)來提供載體10和薄片20之間的接合區域40。
取代區域50中的受控制接合,可以在區域50中有非接合區域,其中該非接合區域可以是如US‘727中描述的表面粗糙度增加的區域,或是可以藉由如實例2a所例示的表面修飾層來提供。
製作物件的簡化方式
各種上述的表面修飾層之第三種用途(包括材料及相關的表面處理)可以被用來提供一種製作具有共價接合的周邊的簡化方式,即具有環繞其周邊的密封的物件,以便防止可能不良地污染下游製程的流體進入。替換或附加於共價接合的周邊,在載體和薄片之間的共價接合在物件的某些區域可能是需要的,而且在物件的其他區域可能是不需要的(其中受控制的接合可能是需要的,以允許薄片的區段被從載體 移除而不損壞任一者)。再次地,各種上述的表面修飾層(包括材料及相關的表面處理)可以被用來提供這種圖案化的共價接合區域以及圖案化的受控制接合區域。
第三種用途之第一實施例
現在將參照第7-10圖來說明製作物件2的第一實施例,物件2具有包括永久性共價接合的接合區域40及受控制接合區域50。例如,為了製作物件2,故在玻璃載體10上提供表面修飾層30。為了便於說明的緣故,將表面修飾層30圖示及描述為設置在載體10上。然而,表面修飾層30可以被設置在載體10、玻璃薄片20或它們兩者上。當被設置在載體10和薄片20兩者上時,表面修飾層較佳是屬於相同的材料,雖然載體10和薄片20上的表面修飾層並不需要是相同的材料。表面修飾層30被設置在載體10的整個接合表面14上方。可以依據實例2a(例如當不需要超音波處理或超音波處理對受控制接合區域50內的影響可以被容忍時)和例如實例2b-2d中所提出的任何一種材料或表面處理來在載體10上設置表面修飾層。視需要,可以依據實例2a(再次地,具有上面提到的條件)和實例2b-d中所提出的表面準備來製備薄片20的接合表面24。然後經由表面修飾層30將薄片20與載體10連接,表面修飾層30提供受控制的接合。在此階段配置將顯現如第8圖中圖示的剖面,並且載體10和薄片20之間的連接是在室溫下的凡得瓦或氫鍵結中之一者,使得載體和薄片可以彼此分離而至少不損壞薄片。如第7圖和第8圖所圖示,載體的接合表面區域和薄片的接合表面區域大小相 同,然而,這樣的情況並不是必須的。相反地,載體的表面區域可以大於薄片的表面區域或反之亦然。
然後使載體10、表面修飾層30及薄片20的配置進行去除一部分表面修飾層30(即存在於需要形成接合區域40(包括永久性共價鍵結)的區域中的該部分表面修飾層30)的製程。在第7-10圖中圖示的實例中,所需的接合區域40圍繞物件2的周邊。因此,表面修飾層30的周邊部分被去除,以在載體10和薄片20上形成各別的且鄰接的曝露部分19、29。物件2顯現如例如第9圖中圖示的剖面。曝露部分19、29是鄰接的在於它們都位在接合表面14、24的區域上的位置中,其中每個的至少一部分穿過小間隙面向另一個。雖然未顯現為圖式中的情況,但由於表面修飾層的小厚度(即在奈米等級的,通常為0.1nm至2.0nm、高達10nm,以及在一些情況下高達100nm),曝露部分19、29確實是彼此緊密靠近的。
表面修飾層30可以藉由各種技術被去除,例如藉由使物件2曝露於O2電漿、雷射輻射、紫外線輻射、加熱或上述之組合。用以去除一部分表面修飾層30的技術可以取決於製作該層的材料。例如,去除HMDS表面修飾層30的特別有效的方式是藉由O2電漿,該O2電漿可氧化HMDS。其他可行的氧化手段是下游的氧電漿及例如紫外線-臭氧。假使該去除同時在真空下進行,則氧化的HMDS可被輕易地從載體10和薄片20之間去除,這可有助於在曝露部分19、29之間形成較強的共價鍵結。
其他的材料-可被用於受控制接合區域,而且可以被從周邊或其他區域去除,以隨後形成接合區域40-包括例如:烷基矽烷;氟-烷基矽烷;芳香族矽烷;氟或氯芳香族矽烷;氟化有機物,例如鐵氟龍和氟聚合物及習知可產生低能表面的氟化芳香族矽烷;產生三甲基甲矽烷或甲基甲矽烷端基表面的矽烷,例如六甲基二矽氮烷、1,1,3,3-四甲基二矽氮烷、2,2,4,4,6,6-六甲基環三矽氮烷、1,1,3,3-四甲基-1,3-二苯基二矽氮烷、1,3-二甲基-1,1,3,3-四苯基二矽氮烷、甲氧基三甲基矽烷、乙氧基三甲基矽烷、氯三甲基矽烷、二甲氧基二甲基矽烷及二氯二甲基矽烷;產生芳香族端基表面或氟化芳香族表面的矽烷,例如苯基三甲氧基矽烷、苯基三乙氧基矽烷、二苯基二甲氧基矽烷、氯苯基矽烷、二苯基二乙氧基矽烷、二苯基甲基甲氧基苯基矽烷、氯二甲基(五氟苯基)矽烷、五氟苯基三甲氧基矽烷、五氟苯基三乙氧基矽烷、苯基二甲基甲氧基矽烷及二甲基甲氧基(五氟苯基)矽烷;具有一個生成的矽醇、雙及或三-矽醇的矽烷也可行;對於熱穩定性,還有一些經鹵素取代的芳香族矽烷;類鑽碳;氟化類鑽碳;及石墨烯。
因為在從接合區域40移除該部分表面修飾層30的整個過程中,載體10和薄片20保持被表面修飾層30的未移除部分連接,故在受控制接合區域50中的表面修飾層部分可被保護而免受任何與移除相關的碎屑污染。因此,提供了高品質的受控制接合區域50。此外,當從周邊向內去除表面修飾層且載體10和薄片20已經以凡得瓦或氫鍵接合在一起 時,這個技術可自身對準曝露部分19、29用於共價接合。
接下來,將物件加熱到足以在曝露部分19、29之間提供共價接合以形成接合區域40的溫度。例如,在依據實例2b的表面修飾層之情況中,物件將被加熱到≧400℃,而在依據實例2c或2d的表面修飾層之情況中,物件將被加熱到≧600℃,其中實際的上限接近載體或薄片中的玻璃之應變點,取應變點較低者。在加熱到適當的溫度之後,物件2將顯現為第10圖中圖示的剖面,其中載體10和薄片20已經在接合區域40中永久共價地接合了,從而行為就如同單一的玻璃片。
然後可以在受控制接合區域50中的薄片20上形成所需的部分56。當需要去除所需的部分56時,共價接合的部分(即周邊接合區域40)可被簡單地切離物件。在去除共價接合的部分之後,然後剩餘的整個薄片可被從載體移除,並被分離而形成個別的所需部分56。或者,可以藉由先將薄片分成幾個部分然後視需要移出每個部分來將剩餘的薄片以兩片或更多片移出。
或者,為了在物件2上製作所需數量的所需部分56,可以先製作所需數量的、被接合輪廓線42圍繞的受控制接合區域50。參見第11圖。可以藉由選擇性地用雷射描繪所需的形狀來局部加熱表面修飾層30至足夠的預定溫度,以選擇性地燒蝕表面修飾層而在載體10和薄片20上形成曝露部分(類似於曝露部分19、29),而選擇性地形成接合輪廓線42。然後可以將載體10和薄片20共價地接合,而沿著輪廓 線42形成另外的接合區域。
然後物件2可以進行處理,以便在由輪廓線42界定的區域內形成裝置。在裝置處理之後,所需部分56可以藉由任何適當的方式被從載體10分離。例如,可以通過薄片20的厚度28形成排氣口,以形成周邊排氣口57。可以例如藉由機械刻劃和破裂製程、藉由雷射刻劃和破裂製程、藉由全體排氣口經由雷射延伸或藉由全體雷射切割來形成周邊排氣口57。假使需要將所需部分56滑離載體或提供部分56的曝露邊緣以便利剝離,則可先將物件2切割成任意數量較少的片,藉由在適合的相鄰輪廓線42之間切割,例如沿著任意圖案或虛線5之子集合。或者,可以沿著製作來與周邊排氣孔相交的線切割物件2,該周邊排氣孔係界定所需部分56的周邊57。
後面的在共價接合的周邊52內形成所需部分56的方法在某些情況下可能是有利的,該情況為當物件2(薄片20連同載體10)視需要被切割用於進一步處理在更小的區段上的裝置時。
第三種用途的第二實施例
依據另一個實施例,共價接合區域40和受控制接合區域50的圖案可以藉由圖案化表面修飾層30然後經由表面修飾層連接薄片20與載體10而形成。無論是加成或減除的方法皆可使用。
例如,表面修飾層30可以被以圖案化的方式沉積在載體10上,從而表面修飾層30只在受控制接合區域50內,如第6圖或第11圖所圖示。為了將表面修飾層30沉積成一 個圖案,可以使用遮罩來覆蓋將在區域40中形成共價接合的載體接合表面區域。然後未被遮蔽的區域對應於其中由於有表面修飾層30的沉積而將存在受控制接合的區域50。其他圖案化受控制接合區域的加成方法(藉由沉積材料來形成受控制接合區域)包括例如印刷、氣相沉積的陰影遮罩或噴墨印刷。依據實例2a(在裝置製造的過程中將沒有超音波處理的情況下)、2c、2d、2e、3a、3b、4b、4c、4d及4e的材料和表面處理可以被沉積在載體10上,以在區域50產生所需程度的受控制接合。
或者,區域50內的受控制接合可以藉由減除方法來產生。也就是說,可以在載體10的整個表面上提供表面修飾層30,然後部分的表面修飾層30可以被去除,以在載體的接合表面上形成曝露區域。例如,可以用雷射燒蝕表面修飾層30。然後在載體接合表面上的曝露部分可以被用來與薄片20上以鄰接的方式配置的相應部分共價接合。還可以使用其他的減除圖案化方法,例如光微影和電漿蝕刻、紫外線、電暈放電或大氣電漿炬。
一旦表面修飾層30被形成在載體10上的受控制接合區域50的所需的配置內之後即經由表面修飾層30將薄片20連接於載體。
隨後,將物件加熱到適當的程度,以在區域40中提供所需的共價接合,區域40中沒有表面修飾層30存在載體10和薄片20的相鄰接合表面部分之間。在所需的共價接合在區域40形成之後,所需部分56可以被形成在薄片20上,並 被以與上述關於第一實施例相同的方式從載體10移出。
雖然表面修飾層30如上所述被形成在載體10上,但可以替代地將表面修飾層30形成在薄片20上。在某些情況下,可以將表面修飾層使用在薄片和載體兩者上,在這樣的情況下,例如當使用實例2a的材料和相關的表面處理時,將對應的圖案製作在每個載體和薄片上。可以使用相同的技術或不同的技術來製作圖案。
結論
應強調的是,上述本發明之實施例(特別是任何「較佳的」實施例)僅為可能的實施範例,僅提出用於清楚瞭解本發明之各種原理。在不實質偏離本發明之精神與各種原理下,可以對上述本發明之實施例進行許多的變化與修改。所有的這種修改與變化在本文中意圖被涵括於此揭示及本發明之範疇內並由以下的申請專利範圍所保護。
例如,雖然許多實施例的表面修飾層30被圖示和討論為被形成在載體10上,但表面修飾層30也可以替代地或另外地被形成在薄片20上。也就是說,可以將實例4和實例3提出的材料施加到載體10、到薄片20或到載體10和薄片20兩者將被接合在一起的面上。
另外,雖然一些表面修飾層30被描述為控制接合強度,以甚至在400℃或600℃的溫度下處理物件2之後允許薄片20被從載體10移除,但在比物件通過的特定測試的溫度更低的溫度下處理物件2並仍實現相同的從載體10移除薄片20的能力而不損壞薄片20或載體10任一者當然是有可能 的。
仍進一步地,雖然已在本文中將受控制接合的概念描述為被用於載體和薄片,但在某些情況下,可將受控制接合的概念應用於較厚的玻璃片、陶瓷片或玻璃陶瓷片之間的控制接合,其中可能需要將該等片(或部分的片)彼此分離。
又進一步的是,雖然本文中已將受控制接合的概念描述為可用於玻璃載體和玻璃薄片,但載體還可以由其他的材料製成,例如陶瓷、玻璃陶瓷或金屬。類似地,可控地被接合於載體的片還可以由其他的材料製成,例如陶瓷或玻璃陶瓷。
仍更進一步的是,可以在去除表面修飾之後並在加熱形成永久的共價接合之前使用上述的清洗方法中適當的清洗方法或其他本技術領域中習知的清洗方法來清洗物件。在清洗之後,應將薄片和載體的曝露部分充分乾燥,以促進隨後在薄片和載體之間形成共價接合。
可以瞭解的是,本說明書中和圖式中揭示的各種特徵可被用於任何的和所有的組合。藉由非限制性實例的方式,可將各種特徵互相組合,如同以下的態樣中提出的:依據第一態樣,提供一種形成一物件的方法,包含以下步驟:取得一具有一玻璃片接合表面的玻璃片;取得一具有一載體接合表面的玻璃載體;使用一表面修飾層塗覆該玻璃片和載體接合表面中之至少一者; 經由該表面修飾層將該玻璃片與該載體連接;從連接的該玻璃片和該載體之周邊移除一部分的該表面修飾層,以便曝露出每個該玻璃片和該載體上的一部分該接合表面,其中該玻璃片接合表面的曝露部分與該載體接合表面的曝露部分相鄰;以及在該移除和該曝露之後在一≧400℃但低於該玻璃片之玻璃和該載體之玻璃兩者之應變點的溫度下加熱該玻璃片和該載體,以便將該玻璃片接合表面的周邊與該載體接合表面的周邊接合。
依據第二態樣,提供態樣1之方法,其中該玻璃片接合表面具有一與該載體接合表面之面積相等的面積。
依據第三態樣,提供態樣1或態樣2之方法,其中該移除包含在一真空腔室中使用一O2電漿處理與該載體連接的該薄片。
依據第四態樣,提供態樣1或態樣2之方法,其中該移除包含使用紫外線輻射、熱、以雷射能量剝蝕該表面修飾層或上述之組合。
依據第五態樣,提供態樣1-4中任一者之方法,進一步包含在該移除步驟之後並在該加熱步驟之前至少清洗該玻璃片和該載體之曝露部分。
依據第六態樣,提供態樣5之方法,進一步包含在該清洗步驟之後並在該加熱步驟之前至少乾燥該玻璃片之曝露部分。
依據第七態樣,提供態樣1-6中任一者之方法,其 中在塗覆之前使用以下中之一或更多者清洗該薄片接合表面和該載體接合表面中之至少一者:紫外線-臭氧、O2電漿、去離子-O3水、SC1、SC2、包括HF的洗滌化學品或包括H2SO4的洗滌化學品。
依據第八態樣,提供態樣1至7中任一者之方法,其中該表面修飾層包含一烷基矽烷、一氟烷基矽烷、一芳香族矽烷、一氟或氯芳香族矽烷或HMDS。
依據第九態樣,提供態樣1至8中任一者之方法,其中該薄片和載體接合表面皆塗覆有一表面修飾層。
依據第十態樣,提供態樣1至8中任一者之方法,其中該薄片和載體接合表面中只有一者塗覆有一表面修飾層,並且該薄片和載體接合表面中之另一者被熱處理,以便將接合表面上的羥基濃度降低至大致上與表面經過SC1清洗然後在450℃加熱1小時後相同的程度。
依據第十一態樣,提供態樣1至10中任一者之方法,其中該連接包含在該薄片、該載體及該表面修飾層之間形成凡得瓦鍵結。
依據第十二態樣,提供態樣1至11中任一者之方法,其中該表面修飾層為0.1nm至100nm厚。
依據第十三態樣,提供態樣1至11中任一者之方法,其中該表面修飾層為0.1nm至10nm厚。
依據第十四態樣,提供態樣1至11中任一者之方法,其中該表面修飾層為0.1nm至2nm厚。
依據第十五態樣,提供態樣1至11中任一者之方 法,其中該表面修飾層為一自組裝單層。
依據第十六態樣,提供態樣1至15中任一者之方法,其中該玻璃片具有一≦300微米的厚度。
依據第十七態樣,提供態樣1至16中任一者之方法,其中該載體具有一200微米至3mm的厚度。
依據第十八態樣,提供一種由簡化的處理產生的物件,具體言之,提供一種玻璃物件,包含:一具有一玻璃片接合表面的玻璃片;一具有一載體接合表面的玻璃載體;一表面修飾層,位於該玻璃片接合表面和該載體接合表面中之至少一者上;其中該玻璃片和該載體經由該表面修飾層連接,其中該玻璃片接合表面之一周邊被固定於該載體,從而該玻璃片和該載體在該被固定的周邊的行為如同一個整體。
依據第十九態樣,提供態樣18之玻璃物件,其中該載體接合表面之一周邊仍未被該表面修飾層塗覆,其中該玻璃片接合表面之一周邊仍未被該表面修飾層塗覆,以及其中該載體接合表面之該未塗覆周邊被固定於該玻璃片接合表面之該未塗覆周邊。
依據第二十態樣,提供態樣18或態樣19之玻璃物件,其中該表面修飾層包含一烷基矽烷、一氟烷基矽烷、一芳香族矽烷或一氟或氯芳香族矽烷。
依據第二十一態樣,提供態樣18或態樣19之物件,其中該表面修飾層包含HMDS。
依據第二十二態樣,提供態樣18至21中任一者之物件,其中該表面修飾層為0.1nm至100.0nm厚。
依據第二十三態樣,提供態樣18至21中任一者之物件,其中該表面修飾層為0.1nm至10nm厚。
依據第二十四態樣,提供態樣18至21中任一者之物件,其中該表面修飾層為0.1nm至2nm厚。
依據第二十五態樣,提供態樣18至21中任一者之物件,其中該表面修飾層為一自組裝單層。
依據第二十六態樣,提供態樣18至25中任一者之物件,其中一表面修飾層位在每個該玻璃片和載體上,以及進一步其中該玻璃片表面修飾層之材料與該載體表面修飾層之材料相同。
依據第二十七態樣,提供態樣18至26中任一者之物件,其中該玻璃片具有一≦300微米的厚度。
依據第二十八態樣,提供態樣18至27中任一者之物件,其中該載體具有一200微米至3mm的厚度。

Claims (11)

  1. 一種形成一物件的方法,包含以下步驟:取得一具有一玻璃片接合表面的玻璃片;取得一具有一載體接合表面的玻璃載體;使用一表面修飾層塗覆該玻璃片和載體接合表面中之至少一者;經由該表面修飾層將該玻璃片與該載體連接;從連接的該玻璃片和該載體之周邊移除一部分的該表面修飾層,以便曝露出每個該玻璃片和該載體上的一部分該接合表面,其中該玻璃片接合表面的曝露部分與該載體接合表面的曝露部分相鄰;以及在該移除和該曝露之後在一≧400℃但低於該玻璃片之玻璃和該載體之玻璃兩者之應變點的溫度下加熱該玻璃片和該載體,以便將該玻璃片接合表面的周邊與該載體接合表面的周邊接合。
  2. 如請求項1所述之方法,其中該玻璃片接合表面具有一與該載體接合表面之面積相等的面積。
  3. 如請求項1或請求項2所述之方法,其中該移除之步驟包含以下步驟:在一真空腔室中使用一O2電漿處理與該載體連接的該玻璃片。
  4. 如請求項1或請求項2所述之方法,其中在該塗覆之步 驟之前使用以下中之一或更多者清洗該玻璃片接合表面和該載體接合表面中之至少一者:紫外線-臭氧、O2電漿、去離子-O3水、SC1、SC2、包括HF的洗滌化學品或包括H2SO4的洗滌化學品。
  5. 如請求項1或請求項2所述之方法,其中該表面修飾層包含一烷基矽烷、一氟烷基矽烷、一芳香族矽烷、一氟或氯芳香族矽烷或HMDS。
  6. 如請求項1或請求項2所述之方法,其中該表面修飾層為0.1nm至100nm厚。
  7. 一種玻璃物件,包含:一玻璃片,該玻璃片具有一玻璃片接合表面;一玻璃載體,該玻璃載體具有一載體接合表面;一表面修飾層,位於該玻璃片接合表面和該載體接合表面中之至少一者上;其中該玻璃片和該載體經由該表面修飾層連接,其中該玻璃片接合表面之一周邊被固定於該載體,從而該玻璃片和該載體在被固定的周邊的行為如同一整體,且在該被固定的周邊中不存在該表面修飾層。
  8. 如請求項7所述之玻璃物件,其中該載體接合表面之一周邊仍未被該表面修飾層塗覆,其中該玻璃片接合表面之一 周邊仍未被該表面修飾層塗覆,以及其中該載體接合表面之未塗覆周邊被固定於該玻璃片接合表面之未塗覆周邊。
  9. 如請求項7或請求項8所述之玻璃物件,其中該表面修飾層包含一烷基矽烷、一氟烷基矽烷、一芳香族矽烷或一氟或氯芳香族矽烷。
  10. 如請求項7或請求項8所述之玻璃物件,其中該表面修飾層包含HMDS。
  11. 如請求項7或請求項8所述之玻璃物件,其中該表面修飾層為0.1nm至100.0nm厚。
TW102145396A 2012-12-13 2013-12-10 促進控制薄片與載體間接合之處理 TWI617437B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201261736880P 2012-12-13 2012-12-13
US61/736,880 2012-12-13

Publications (2)

Publication Number Publication Date
TW201438892A TW201438892A (zh) 2014-10-16
TWI617437B true TWI617437B (zh) 2018-03-11

Family

ID=50934977

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102145396A TWI617437B (zh) 2012-12-13 2013-12-10 促進控制薄片與載體間接合之處理

Country Status (7)

Country Link
US (1) US9889635B2 (zh)
EP (1) EP2931670A4 (zh)
JP (1) JP6310479B2 (zh)
KR (1) KR20150097604A (zh)
CN (1) CN105143121B (zh)
TW (1) TWI617437B (zh)
WO (1) WO2014093740A1 (zh)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10543662B2 (en) 2012-02-08 2020-01-28 Corning Incorporated Device modified substrate article and methods for making
US9340443B2 (en) 2012-12-13 2016-05-17 Corning Incorporated Bulk annealing of glass sheets
US10014177B2 (en) 2012-12-13 2018-07-03 Corning Incorporated Methods for processing electronic devices
US10086584B2 (en) 2012-12-13 2018-10-02 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
TWI617437B (zh) 2012-12-13 2018-03-11 康寧公司 促進控制薄片與載體間接合之處理
US20150099110A1 (en) * 2013-10-07 2015-04-09 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
US10510576B2 (en) 2013-10-14 2019-12-17 Corning Incorporated Carrier-bonding methods and articles for semiconductor and interposer processing
WO2015112958A1 (en) 2014-01-27 2015-07-30 Corning Incorporated Articles and methods for controlled bonding of thin sheets with carriers
SG11201608442TA (en) 2014-04-09 2016-11-29 Corning Inc Device modified substrate article and methods for making
JP6723236B2 (ja) * 2014-11-05 2020-07-15 コーニング インコーポレイテッド バイアボトムアップ電解メッキ方法
US20160270247A1 (en) * 2015-03-11 2016-09-15 Apple Inc. Laminating sapphire and glass using intermolecular force adhesion
CN107635769B (zh) * 2015-05-19 2020-09-15 康宁股份有限公司 使片材与载体粘结的制品和方法
EP3313799B1 (en) 2015-06-26 2022-09-07 Corning Incorporated Methods and articles including a sheet and a carrier
JP6878411B2 (ja) 2015-08-31 2021-05-26 コーニング インコーポレイテッド 電子機器のガラスディスプレイを保護するための装置および方法
US10410883B2 (en) 2016-06-01 2019-09-10 Corning Incorporated Articles and methods of forming vias in substrates
US10794679B2 (en) 2016-06-29 2020-10-06 Corning Incorporated Method and system for measuring geometric parameters of through holes
US10134657B2 (en) 2016-06-29 2018-11-20 Corning Incorporated Inorganic wafer having through-holes attached to semiconductor wafer
TW202216444A (zh) 2016-08-30 2022-05-01 美商康寧公司 用於片材接合的矽氧烷電漿聚合物
TWI821867B (zh) 2016-08-31 2023-11-11 美商康寧公司 具以可控制式黏結的薄片之製品及製作其之方法
CN107098606B (zh) * 2017-04-24 2020-07-07 京东方科技集团股份有限公司 玻璃贴合方法、制造显示器件的方法和显示器件
US10580725B2 (en) 2017-05-25 2020-03-03 Corning Incorporated Articles having vias with geometry attributes and methods for fabricating the same
US11078112B2 (en) 2017-05-25 2021-08-03 Corning Incorporated Silica-containing substrates with vias having an axially variable sidewall taper and methods for forming the same
CN107225805A (zh) * 2017-05-31 2017-10-03 苏州鑫河镜业有限公司 一种减震耐冲击玻璃镜片
JP7260523B2 (ja) 2017-08-18 2023-04-18 コーニング インコーポレイテッド ポリカチオン性高分子を使用した一時的結合
KR20200081496A (ko) 2017-11-20 2020-07-07 코닝 인코포레이티드 양이온성 계면활성제 및/또는 유기염을 사용한 유리 쌍의 임시 접합
US11331692B2 (en) 2017-12-15 2022-05-17 Corning Incorporated Methods for treating a substrate and method for making articles comprising bonded sheets
US10917966B2 (en) 2018-01-29 2021-02-09 Corning Incorporated Articles including metallized vias
US11554984B2 (en) 2018-02-22 2023-01-17 Corning Incorporated Alkali-free borosilicate glasses with low post-HF etch roughness
US11152294B2 (en) 2018-04-09 2021-10-19 Corning Incorporated Hermetic metallized via with improved reliability
JP7492969B2 (ja) 2019-02-21 2024-05-30 コーニング インコーポレイテッド 銅金属化貫通孔を有するガラスまたはガラスセラミック物品およびその製造方法
US11673830B2 (en) 2020-11-11 2023-06-13 Applied Materials, Inc. Glass carrier cleaning using ozone

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102484216A (zh) * 2009-07-15 2012-05-30 苹果公司 显示模块

Family Cites Families (252)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3722181A (en) 1970-05-22 1973-03-27 Du Pont Chromatographic packing with chemically bonded organic stationary phases
IE35508B1 (en) 1971-08-11 1976-03-03 Intercontinental Chem Co Ltd Method of forming adhesive bonds between surfaces
GB1583544A (en) 1977-07-25 1981-01-28 Uop Inc Metal-clad laminates
US4179324A (en) 1977-11-28 1979-12-18 Spire Corporation Process for fabricating thin film and glass sheet laminate
US4397722A (en) 1981-12-31 1983-08-09 International Business Machines Corporation Polymers from aromatic silanes and process for their preparation
US4599243A (en) 1982-12-23 1986-07-08 International Business Machines Corporation Use of plasma polymerized organosilicon films in fabrication of lift-off masks
US4849284A (en) 1987-02-17 1989-07-18 Rogers Corporation Electrical substrate material
US4822466A (en) 1987-06-25 1989-04-18 University Of Houston - University Park Chemically bonded diamond films and method for producing same
US5141800A (en) 1989-02-02 1992-08-25 Chemical Fabrics Corporation Method of making laminated PTFE-containing composites and products thereof
US5357726A (en) 1989-02-02 1994-10-25 Chemfab Corporation Composite materials for structural end uses
US4990462A (en) 1989-04-12 1991-02-05 Advanced Micro Devices, Inc. Method for coplanar integration of semiconductor ic devices
US5073181A (en) 1990-08-09 1991-12-17 Corning Incorporated Method of protecting glass surfaces using submicron refractory particles
CA2065918A1 (en) 1991-04-22 1992-10-23 Bradley W. Reed Liquid membrane modules with minimal effective membrane thickness and methods of making the same
CA2069038C (en) 1991-05-22 1997-08-12 Kiyofumi Sakaguchi Method for preparing semiconductor member
WO1992022604A1 (en) 1991-06-14 1992-12-23 W.L. Gore & Associates, Inc. Surface modified porous expanded polytetrafluoroethylene and process for making
JP3039070B2 (ja) 1991-10-09 2000-05-08 住友電気工業株式会社 弗素樹脂被覆物
FR2690279B1 (fr) 1992-04-15 1997-10-03 Picogiga Sa Composant photovoltauique multispectral.
US5482896A (en) 1993-11-18 1996-01-09 Eastman Kodak Company Light emitting device comprising an organic LED array on an ultra thin substrate and process for forming same
US5616179A (en) 1993-12-21 1997-04-01 Commonwealth Scientific Corporation Process for deposition of diamondlike, electrically conductive and electron-emissive carbon-based films
US5554680A (en) 1994-02-16 1996-09-10 E. I. Du Pont De Nemours And Company Heat-resistant perfluoroelastomer composition
JP3081122B2 (ja) 1994-07-18 2000-08-28 シャープ株式会社 基板搬送用治具及びそれを用いた液晶表示素子の製造方法
US5760100B1 (en) 1994-09-06 2000-11-14 Ciba Vision Corp Extended wear ophthalmic lens
US5413940A (en) 1994-10-11 1995-05-09 Taiwan Semiconductor Manufacturing Company Process of treating SOG layer using end-point detector for outgassing
DE69606942T2 (de) 1995-09-25 2000-10-05 Dow Corning Verwendung von präkeramischen Polymeren als Klebstoffe für Elektronik
US5661618A (en) 1995-12-11 1997-08-26 International Business Machines Corporation Magnetic recording device having a improved slider
US5888591A (en) 1996-05-06 1999-03-30 Massachusetts Institute Of Technology Chemical vapor deposition of fluorocarbon polymer thin films
US5989998A (en) 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
US6124154A (en) 1996-10-22 2000-09-26 Seiko Epson Corporation Fabrication process for thin film transistors in a display or electronic device
US5820991A (en) 1997-02-24 1998-10-13 Cabo; Ana M. Fused glass sheets having ceramic paint and metal foil and method of making same
DE19711459A1 (de) 1997-03-19 1998-09-24 Flachglas Automotive Gmbh Verfahren zur Herstellung einer gebogenen Verbundsicherheitsglasscheibe
JP3551702B2 (ja) 1997-05-08 2004-08-11 カシオ計算機株式会社 液晶表示素子とその駆動方法
US5972152A (en) 1997-05-16 1999-10-26 Micron Communications, Inc. Methods of fixturing flexible circuit substrates and a processing carrier, processing a flexible circuit and processing a flexible circuit substrate relative to a processing carrier
US6687969B1 (en) 1997-05-16 2004-02-10 Micron Technology, Inc. Methods of fixturing flexible substrates and methods of processing flexible substrates
JPH1126733A (ja) 1997-07-03 1999-01-29 Seiko Epson Corp 薄膜デバイスの転写方法、薄膜デバイス、薄膜集積回路装置,アクティブマトリクス基板、液晶表示装置および電子機器
US6180496B1 (en) 1997-08-29 2001-01-30 Silicon Genesis Corporation In situ plasma wafer bonding method
US5966622A (en) 1997-10-08 1999-10-12 Lucent Technologies Inc. Process for bonding crystalline substrates with different crystal lattices
US6159385A (en) 1998-05-08 2000-12-12 Rockwell Technologies, Llc Process for manufacture of micro electromechanical devices having high electrical isolation
EP1048628A1 (de) 1999-04-30 2000-11-02 Schott Glas Polymerbeschichtete Dünnglasfoliensubstrate
JP3202718B2 (ja) 1999-02-23 2001-08-27 鹿児島日本電気株式会社 表示装置製造用治具及びそれを用いた表示装置の製造方法
US6387736B1 (en) 1999-04-26 2002-05-14 Agilent Technologies, Inc. Method and structure for bonding layers in a semiconductor device
US6338901B1 (en) 1999-05-03 2002-01-15 Guardian Industries Corporation Hydrophobic coating including DLC on substrate
US6602606B1 (en) * 1999-05-18 2003-08-05 Nippon Sheet Glass Co., Ltd. Glass sheet with conductive film, method of manufacturing the same, and photoelectric conversion device using the same
SG93210A1 (en) 1999-06-29 2002-12-17 Univ Singapore Method for lamination of fluoropolymer to metal and printed circuit board (pcb) substrate
TW473783B (en) 1999-08-13 2002-01-21 Semiconductor Energy Lab Laser apparatus, laser annealing method, and manufacturing method of a semiconductor device
JP4593049B2 (ja) 2000-02-01 2010-12-08 アナログ デバイシーズ インコーポレイテッド 静止摩擦を低減し微細加工デバイス表面を不動態化するウェハレベル処理のための方法およびそれに使用するチップ
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
US6528145B1 (en) 2000-06-29 2003-03-04 International Business Machines Corporation Polymer and ceramic composite electronic substrates
DE10034737C2 (de) 2000-07-17 2002-07-11 Fraunhofer Ges Forschung Verfahren zur Herstellung einer permanenten Entformungsschicht durch Plasmapolymerisation auf der Oberfläche eines Formteilwerkzeugs, ein nach dem Verfahren herstellbares Formteilwerkzeug und dessen Verwendung
FR2823596B1 (fr) 2001-04-13 2004-08-20 Commissariat Energie Atomique Substrat ou structure demontable et procede de realisation
FR2823599B1 (fr) 2001-04-13 2004-12-17 Commissariat Energie Atomique Substrat demomtable a tenue mecanique controlee et procede de realisation
FR2824821B1 (fr) 2001-05-17 2003-08-29 Essilor Int Procede de preparation d'un verre apte au debordage, verre ainsi obtenu et procede de debordage d'un tel verre
US6956268B2 (en) 2001-05-18 2005-10-18 Reveo, Inc. MEMS and method of manufacturing MEMS
US7045878B2 (en) 2001-05-18 2006-05-16 Reveo, Inc. Selectively bonded thin film layer and substrate layer for processing of useful devices
JP5415658B2 (ja) 2001-05-28 2014-02-12 三菱樹脂株式会社 中間膜用粘着シート及び透明積層体
JP4036018B2 (ja) 2001-06-20 2008-01-23 昭和電工株式会社 有機発光素子および発光材料
ATE370107T1 (de) 2001-06-29 2007-09-15 Crystal Syst Beschlagungsresistente transparente artikel, stoffe, die eine hydrophile anorganische schicht hoher härte bilden und verfahren zur herstellung einer beschlagungsarmen linse
US6735982B2 (en) 2001-07-12 2004-05-18 Intel Corporation Processing relatively thin glass sheets
US7351300B2 (en) 2001-08-22 2008-04-01 Semiconductor Energy Laboratory Co., Ltd. Peeling method and method of manufacturing semiconductor device
JP2003077187A (ja) 2001-08-30 2003-03-14 Toshiba Corp 光ディスクの製造方法
US7033910B2 (en) 2001-09-12 2006-04-25 Reveo, Inc. Method of fabricating multi layer MEMS and microfluidic devices
US6528351B1 (en) 2001-09-24 2003-03-04 Jigsaw Tek, Inc. Integrated package and methods for making same
US6814833B2 (en) 2001-10-26 2004-11-09 Corning Incorporated Direct bonding of articles containing silicon
US6521857B1 (en) 2001-11-19 2003-02-18 Geomat Insights, Llc Plasma enhanced bonding method and device
US6699798B2 (en) 2002-04-24 2004-03-02 Intel Corporation Promoting adhesion of fluoropolymer films to semiconductor substrates
FR2874455B1 (fr) 2004-08-19 2008-02-08 Soitec Silicon On Insulator Traitement thermique avant collage de deux plaquettes
DE60325669D1 (de) 2002-05-17 2009-02-26 Semiconductor Energy Lab Verfahren zum Transferieren eines Objekts und Verfahren zur Herstellung eines Halbleiterbauelements
KR101005989B1 (ko) 2002-06-11 2011-01-05 코니카 미놀타 홀딩스 가부시키가이샤 표면 처리 방법 및 광학 부품
US7279239B2 (en) 2002-08-07 2007-10-09 Kabushiki Kaisha Toyota Chuo Kenkyusho Laminating product including adhesion layer and laminate product including protective film
DE20215401U1 (de) 2002-10-07 2004-02-19 Schott Glas Verbund aus einem Dünnstsubsrat und einem Trägersubstrat mit lösbarem Verbindungsmittel
WO2004112089A2 (en) 2002-11-20 2004-12-23 Reveo, Inc. Method and system for fabricating multi layer devices on a substrate
JP2004178891A (ja) 2002-11-26 2004-06-24 Mitsubishi Electric Corp 電子放出型発光素子の製造方法
JP4936667B2 (ja) 2002-11-29 2012-05-23 フラウンホファー ゲゼルシャフト ツール フェルドルンク デル アンゲヴァントテン フォルシュンク エー ファウ ウェーハ処理プロセス及び装置並びに中間層及びキャリヤー層を有するウェーハ
US6762074B1 (en) 2003-01-21 2004-07-13 Micron Technology, Inc. Method and apparatus for forming thin microelectronic dies
US6964201B2 (en) 2003-02-25 2005-11-15 Palo Alto Research Center Incorporated Large dimension, flexible piezoelectric ceramic tapes
US7089635B2 (en) 2003-02-25 2006-08-15 Palo Alto Research Center, Incorporated Methods to make piezoelectric ceramic thick film arrays and elements
US7220656B2 (en) 2003-04-29 2007-05-22 Micron Technology, Inc. Strained semiconductor by wafer bonding with misorientation
FR2855909B1 (fr) 2003-06-06 2005-08-26 Soitec Silicon On Insulator Procede d'obtention concomitante d'au moins une paire de structures comprenant au moins une couche utile reportee sur un substrat
US20040258850A1 (en) 2003-06-18 2004-12-23 Ann Straccia Environmentally friendly reactive fixture to allow localized surface engineering for improved adhesion to coated and non-coated substrates
US20050001201A1 (en) 2003-07-03 2005-01-06 Bocko Peter L. Glass product for use in ultra-thin glass display applications
FR2859312B1 (fr) 2003-09-02 2006-02-17 Soitec Silicon On Insulator Scellement metallique multifonction
US7242041B2 (en) 2003-09-22 2007-07-10 Lucent Technologies Inc. Field-effect transistors with weakly coupled layered inorganic semiconductors
US20050069713A1 (en) 2003-09-30 2005-03-31 Rahul Gupta Capillary coating method
US20050242341A1 (en) 2003-10-09 2005-11-03 Knudson Christopher T Apparatus and method for supporting a flexible substrate during processing
US6992371B2 (en) 2003-10-09 2006-01-31 Freescale Semiconductor, Inc. Device including an amorphous carbon layer for improved adhesion of organic layers and method of fabrication
US20050081993A1 (en) 2003-10-16 2005-04-21 Ilkka Steven J. Method of bonding glass
GB0326537D0 (en) 2003-11-14 2003-12-17 Koninkl Philips Electronics Nv Flexible devices
US20050118742A1 (en) 2003-11-17 2005-06-02 Frank Henning Method for reducing the adhesive properties of MEMS and anti-adhesion-coated device
GB0327093D0 (en) 2003-11-21 2003-12-24 Koninkl Philips Electronics Nv Active matrix displays and other electronic devices having plastic substrates
US7041608B2 (en) 2004-02-06 2006-05-09 Eastman Kodak Company Providing fluorocarbon layers on conductive electrodes in making electronic devices such as OLED devices
US7147891B2 (en) 2004-02-11 2006-12-12 Dionex Corporation Polymer substrate coated with weak cationic-exchange functional layer
EP1737044B1 (en) 2004-03-12 2014-12-10 Japan Science and Technology Agency Amorphous oxide and thin film transistor
US7087134B2 (en) 2004-03-31 2006-08-08 Hewlett-Packard Development Company, L.P. System and method for direct-bonding of substrates
JP2006003684A (ja) 2004-06-18 2006-01-05 Nikon Corp 基板レスフィルタの製造方法
ITMI20041252A1 (it) 2004-06-22 2004-09-22 Solvay Solexis Spa Composizioni perfluoroelastomeriche
US7261793B2 (en) 2004-08-13 2007-08-28 Hewlett-Packard Development Company, L.P. System and method for low temperature plasma-enhanced bonding
US20060134362A1 (en) 2004-12-17 2006-06-22 3M Innovative Properties Company Optically clear pressure sensitive adhesive
JP5068674B2 (ja) 2005-03-01 2012-11-07 ダウ・コーニング・コーポレイション 半導体加工のための一時的なウェハ結合法
US20060246218A1 (en) 2005-04-29 2006-11-02 Guardian Industries Corp. Hydrophilic DLC on substrate with barrier discharge pyrolysis treatment
US7462552B2 (en) 2005-05-23 2008-12-09 Ziptronix, Inc. Method of detachable direct bonding at low temperatures
US20070020451A1 (en) 2005-07-20 2007-01-25 3M Innovative Properties Company Moisture barrier coatings
US7166520B1 (en) 2005-08-08 2007-01-23 Silicon Genesis Corporation Thin handle substrate method and structure for fabricating devices using one or more films provided by a layer transfer process
KR101285442B1 (ko) 2005-08-09 2013-07-12 아사히 가라스 가부시키가이샤 박판 유리 적층체 및 박판 유리 적층체를 이용한 표시장치의 제조 방법
US7462551B2 (en) 2005-09-30 2008-12-09 Intel Corporation Adhesive system for supporting thin silicon wafer
US8039049B2 (en) 2005-09-30 2011-10-18 Tokyo Electron Limited Treatment of low dielectric constant films using a batch processing system
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
JP2007138144A (ja) 2005-10-18 2007-06-07 Hitachi Chem Co Ltd シリカ系被膜形成用組成物
KR20070047114A (ko) 2005-11-01 2007-05-04 주식회사 엘지화학 플렉서블 기판을 구비한 소자의 제조방법 및 이에 의해제조된 플렉서블 기판을 구비한 소자
CN101305315B (zh) 2005-11-11 2010-05-19 株式会社半导体能源研究所 形成具有功能性的层的方法及半导体器件的制造方法
FR2893750B1 (fr) 2005-11-22 2008-03-14 Commissariat Energie Atomique Procede de fabrication d'un dispositif electronique flexible du type ecran comportant une pluralite de composants en couches minces.
US20070134784A1 (en) 2005-12-09 2007-06-14 Halverson Kurt J Microreplicated microarrays
KR100831562B1 (ko) 2006-03-23 2008-05-21 주식회사 엘지화학 유연성 기판 반송용 점착제 조성물
US7737035B1 (en) 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
WO2007121524A1 (en) 2006-04-20 2007-11-01 Epitactix Pty Ltd. Method of manufacture and resulting structures for semiconductor devices
KR20090006824A (ko) 2006-05-08 2009-01-15 아사히 가라스 가부시키가이샤 박판 유리 적층체, 박판 유리 적층체를 이용한 표시 장치의제조 방법 및 지지 유리 기판
KR101358255B1 (ko) 2006-06-27 2014-02-05 엘지디스플레이 주식회사 광경화 타입 소수성 몰드 및 그 제조방법
KR20090037856A (ko) 2006-07-12 2009-04-16 아사히 가라스 가부시키가이샤 보호 유리가 부착된 유리 기판, 보호 유리가 부착된 유리 기판을 사용한 표시 장치의 제조 방법 및 박리지용 실리콘
US8084103B2 (en) 2006-08-15 2011-12-27 Sakhrani Vinay G Method for treating a hydrophilic surface
US20080044588A1 (en) 2006-08-15 2008-02-21 Sakhrani Vinay G Method for Treating a Hydrophilic Surface
JP2008072087A (ja) 2006-08-16 2008-03-27 Kyoto Univ 半導体装置および半導体装置の製造方法、ならびに表示装置
EP2074188A1 (en) 2006-10-13 2009-07-01 Sunwoo AMC Co., Ltd. Laminating film of plastic/teflon-silicon and method for preparing the same
JP5008381B2 (ja) 2006-11-15 2012-08-22 富士フイルム株式会社 可撓性基板を用いた有機エレクトロルミネッセンス発光パネルの製造方法、それにより製造された有機エレクトロルミネッセンス発光パネル、及びその製造に使用する支持基板
KR100890250B1 (ko) 2007-01-08 2009-03-24 포항공과대학교 산학협력단 플렉서블 소자의 제조 방법 및 플렉서블 표시 장치의 제조방법
US8110906B2 (en) 2007-01-23 2012-02-07 Infineon Technologies Ag Semiconductor device including isolation layer
KR101486601B1 (ko) 2007-03-12 2015-01-26 아사히 가라스 가부시키가이샤 보호 유리 부착 유리 기판 및 보호 유리 부착 유리 기판을 사용한 표시 장치의 제조 방법
DE502008002309D1 (de) 2007-04-26 2011-02-24 Basf Se Silane enthaltend phenothiazin-s-oxid oder phenothiazin-s,s-dioxid-gruppen und deren verwendung in oleds
US7635617B2 (en) 2007-04-27 2009-12-22 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor substrate and manufacturing method of semiconductor device
JP5343849B2 (ja) 2007-06-20 2013-11-13 旭硝子株式会社 酸化物ガラスの表面処理方法
KR20080113576A (ko) 2007-06-25 2008-12-31 엘지디스플레이 주식회사 액정표시장치 및 그 제조방법
KR101565176B1 (ko) 2007-06-25 2015-11-02 브레우어 사이언스 인코포레이션 고온 회전에 의한 일시적 결합 조성물
TW200907003A (en) 2007-07-03 2009-02-16 Hitachi Chemical Co Ltd Adhesive composition and method of manufacturing thereof, adhesive member using the adhesive composition and method of manufacturing thereof, supporting member for mounting semiconductor and method of manufacturing thereof, and semiconductor apparatus an
JP2009028922A (ja) 2007-07-24 2009-02-12 Seiko Epson Corp 接合方法、接合体、液滴吐出ヘッドおよび液滴吐出装置
JP2009028923A (ja) 2007-07-24 2009-02-12 Seiko Epson Corp 接合方法、接合体および配線基板
US20090091025A1 (en) 2007-10-04 2009-04-09 Agency For Science, Technology And Research Method for forming and releasing interconnects
JP4710897B2 (ja) 2007-11-28 2011-06-29 セイコーエプソン株式会社 接合体の剥離方法
KR101445734B1 (ko) 2007-12-07 2014-10-01 삼성전자 주식회사 액정표시장치
DE202009018064U1 (de) * 2008-01-24 2010-12-02 Brewer Science, Inc. Gegenstände beim reversiblen Anbringen eines Vorrichtungswafers an einem Trägersubstrat
JP5024087B2 (ja) 2008-02-05 2012-09-12 旭硝子株式会社 ガラス積層体、支持体付き表示装置用パネル、およびそれらの製造方法
GB0802912D0 (en) 2008-02-15 2008-03-26 Carben Semicon Ltd Thin-film transistor, carbon-based layer and method of production thereof
EP2274162A1 (en) 2008-04-08 2011-01-19 The Arizona Board Of Regents, A Body Corporate Of The State Of Arizona Acting For And On Behalf Of Arizona State University Assemblies and methods for reducing warp and bow of a flexible substrate during semiconductor processing
WO2009128359A1 (ja) * 2008-04-17 2009-10-22 旭硝子株式会社 ガラス積層体、支持体付き表示装置用パネルおよびこれらの製造方法
KR101500684B1 (ko) 2008-04-17 2015-03-10 삼성디스플레이 주식회사 캐리어 기판 및 이를 이용한 가요성 표시 장치의 제조 방법
US7842548B2 (en) 2008-04-22 2010-11-30 Taiwan Semconductor Manufacturing Co., Ltd. Fixture for P-through silicon via assembly
KR101458901B1 (ko) 2008-04-29 2014-11-10 삼성디스플레이 주식회사 가요성 표시 장치의 제조 방법
US7960840B2 (en) 2008-05-12 2011-06-14 Texas Instruments Incorporated Double wafer carrier process for creating integrated circuit die with through-silicon vias and micro-electro-mechanical systems protected by a hermetic cavity created at the wafer level
JP5029523B2 (ja) 2008-07-14 2012-09-19 旭硝子株式会社 ガラス積層体、支持体付き表示装置用パネル、表示装置用パネル、表示装置およびこれらの製造方法
DE102008045370B4 (de) 2008-09-02 2010-07-08 Grenzebach Maschinenbau Gmbh Verfahren und Vorrichtung zum Transport großflächiger, dünner Glasplatten
WO2010051106A2 (en) 2008-09-12 2010-05-06 Arizona Board of Regents, a body corporate acting for and on behalf of Arizona State University Methods for attaching flexible substrates to rigid carriers and resulting devices
TWI354854B (en) 2008-09-15 2011-12-21 Ind Tech Res Inst Substrate structures applied in flexible electrica
JP2010107597A (ja) 2008-10-28 2010-05-13 Seiko Epson Corp 光学素子および光学素子の製造方法
US8211270B2 (en) 2008-11-21 2012-07-03 Nitto Denko Corporation Method of detaching attached boards from each other
DE102009022628A1 (de) 2008-12-05 2010-06-10 Evonik Goldschmidt Gmbh Verfahren zur Modifizierung von Oberflächen
TW201033000A (en) * 2009-01-09 2010-09-16 Asahi Glass Co Ltd Glass laminate and manufacturing method therefor
US9063605B2 (en) 2009-01-09 2015-06-23 Apple Inc. Thin glass processing using a carrier
JP5207306B2 (ja) 2009-01-26 2013-06-12 武井電機工業株式会社 薄膜積層ガラス基板の薄膜除去方法及び装置
WO2010098762A1 (en) 2009-02-27 2010-09-02 Exxonmobil Oil Corporation Plasma treated evoh multilayer film
TWI410329B (zh) 2009-03-09 2013-10-01 Ind Tech Res Inst 可撓式裝置的取下設備及其取下方法
CN101873532B (zh) 2009-04-22 2013-01-02 电信科学技术研究院 广播多播系统中发送和获取控制信息的方法、基站和ue
JP5514302B2 (ja) 2009-05-06 2014-06-04 コーニング インコーポレイテッド ガラス基板用の担体
CN101924067B (zh) 2009-06-09 2013-05-08 财团法人工业技术研究院 挠性膜自载板上脱离的方法及可挠式电子装置的制造方法
JP2010284869A (ja) 2009-06-11 2010-12-24 Shin-Etsu Chemical Co Ltd 接合部材
JP5594522B2 (ja) 2009-07-03 2014-09-24 日本電気硝子株式会社 電子デバイス製造用ガラスフィルム積層体
CN101989469A (zh) 2009-07-31 2011-03-23 群康科技(深圳)有限公司 导电板
US8048794B2 (en) 2009-08-18 2011-11-01 International Business Machines Corporation 3D silicon-silicon die stack structure and method for fine pitch interconnection and vertical heat transport
DE102009028640A1 (de) 2009-08-19 2011-02-24 Evonik Goldschmidt Gmbh Härtbare Masse enthaltend Urethangruppen aufweisende silylierte Polymere und deren Verwendung in Dicht- und Klebstoffen, Binde- und/oder Oberflächenmodifizierungsmitteln
CN102596565B (zh) 2009-08-27 2014-09-10 旭硝子株式会社 挠性基材-支撑体的层叠结构体、带有支撑体的电子装置用面板、以及电子装置用面板的制造方法
US9847243B2 (en) 2009-08-27 2017-12-19 Corning Incorporated Debonding a glass substrate from carrier using ultrasonic wave
JP5562597B2 (ja) 2009-08-28 2014-07-30 荒川化学工業株式会社 支持体、ガラス基板積層体、支持体付き表示装置用パネル、および表示装置用パネルの製造方法
CN102481764B (zh) 2009-09-08 2014-11-05 旭硝子株式会社 玻璃/树脂层叠体、及使用其的电子设备
JP5797653B2 (ja) 2009-09-11 2015-10-21 ロックタイト (アール アンド ディー) リミテッドLoctite (R & D) Limited ポリマー接着用組成物
WO2011034034A1 (ja) 2009-09-18 2011-03-24 日本電気硝子株式会社 ガラスフィルムの製造方法及びガラスフィルムの処理方法並びにガラスフィルム積層体
CN102574371B (zh) 2009-10-20 2015-10-07 旭硝子株式会社 玻璃层叠体、带支承体的显示装置用面板、显示装置用面板、显示装置及它们的制造方法
CN102576106B (zh) 2009-10-20 2015-02-11 旭硝子株式会社 玻璃层叠体及其制造方法、显示面板的制造方法及利用该制造方法获得的显示面板
KR20110045136A (ko) 2009-10-26 2011-05-04 주식회사 삼영테크놀로지 윈도우와 디스플레이 패널을 분리하기 위한 코팅방법
US9019211B2 (en) 2009-10-30 2015-04-28 Corning Incorporated Methods and apparatus for providing touch sensitive displays
AU2010340894B2 (en) 2009-12-17 2014-11-20 Ceramtec Gmbh Surface conditioning for improving bone cement adhesion to ceramic substrates
US9156230B2 (en) 2010-01-12 2015-10-13 Nippon Electric Glass Co., Ltd. Glass film laminate without adhesive
GB201003067D0 (en) 2010-02-23 2010-04-07 Semblant Ltd Plasma-polymerized polymer coating
JP2011201976A (ja) 2010-03-24 2011-10-13 Seiko Epson Corp 接合方法
JP2011201977A (ja) 2010-03-24 2011-10-13 Seiko Epson Corp 接合方法
US8349727B2 (en) 2010-04-08 2013-01-08 Liang Guo Integrated method for high-density interconnection of electronic components through stretchable interconnects
US20110256385A1 (en) 2010-04-15 2011-10-20 Seiko Epson Corporation Bonding film-attached substrate and bonding film-attached substrate manufacturing method
JP5625470B2 (ja) 2010-05-10 2014-11-19 セイコーエプソン株式会社 接合方法
JP5516046B2 (ja) 2010-05-11 2014-06-11 セイコーエプソン株式会社 接合膜転写シートおよび接合方法
KR20130097069A (ko) 2010-05-11 2013-09-02 아사히 가라스 가부시키가이샤 적층체의 제조 방법 및 적층체
GB2481187B (en) 2010-06-04 2014-10-29 Plastic Logic Ltd Processing substrates
KR101721414B1 (ko) 2010-06-09 2017-03-31 삼성디스플레이 주식회사 백라이트 어셈블리 및 이를 포함하는 액정표시장치
US8852391B2 (en) 2010-06-21 2014-10-07 Brewer Science Inc. Method and apparatus for removing a reversibly mounted device wafer from a carrier substrate
TWI432835B (zh) 2010-06-24 2014-04-01 Au Optronics Corp 可撓性顯示面板及其製造方法
DE102010025967B4 (de) 2010-07-02 2015-12-10 Schott Ag Verfahren zur Erzeugung einer Vielzahl von Löchern, Vorrichtung hierzu und Glas-Interposer
CN101916022B (zh) 2010-07-06 2012-10-10 友达光电股份有限公司 可挠性显示面板及其制造方法
US20120035309A1 (en) 2010-08-06 2012-02-09 Baker Hughes Incorporated Method to disperse nanoparticles into elastomer and articles produced therefrom
US9263314B2 (en) 2010-08-06 2016-02-16 Brewer Science Inc. Multiple bonding layers for thin-wafer handling
US8846499B2 (en) 2010-08-17 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Composite carrier structure
TWI446420B (zh) 2010-08-27 2014-07-21 Advanced Semiconductor Eng 用於半導體製程之載體分離方法
US20120063952A1 (en) 2010-09-10 2012-03-15 Hong Keith C Uv resistant clear laminates
KR101164945B1 (ko) 2010-09-13 2012-07-12 한국과학기술원 플렉시블 소자의 제작 방법
KR101779586B1 (ko) 2010-09-27 2017-10-10 엘지디스플레이 주식회사 플라스틱 기판을 이용한 표시장치 제조 방법
JP5899220B2 (ja) 2010-09-29 2016-04-06 ポスコ ロール状の母基板を利用したフレキシブル電子素子の製造方法、フレキシブル電子素子及びフレキシブル基板
US8822306B2 (en) 2010-09-30 2014-09-02 Infineon Technologies Ag Method for manufacturing a composite wafer having a graphite core, and composite wafer having a graphite core
US8859103B2 (en) 2010-11-05 2014-10-14 Joseph Eugene Canale Glass wafers for semiconductor fabrication processes and methods of making same
KR101295532B1 (ko) 2010-11-11 2013-08-12 엘지디스플레이 주식회사 플렉시블 평판소자의 제조방법
KR101271838B1 (ko) 2010-11-24 2013-06-07 주식회사 포스코 보강기판을 이용한 플렉서블 전자소자의 제조방법, 플렉서블 전자소자 및 플렉서블 기판
EP2458620B1 (en) 2010-11-29 2021-12-01 IHP GmbH-Innovations for High Performance Microelectronics / Leibniz-Institut für innovative Mikroelektronik Fabrication of graphene electronic devices using step surface contour
TW201238014A (en) 2010-11-30 2012-09-16 Corning Inc Methods of forming a glass wiring board substrate
KR101049380B1 (ko) 2010-12-21 2011-07-15 한국기계연구원 전해도금을 이용한 반도체 소자 3차원 패키지용 관통 전극 및 그 제조 방법
CN102070120B (zh) 2010-12-31 2012-09-05 东南大学 用于微电子系统级封装的高密度转接板的制备方法
KR101918284B1 (ko) 2011-03-03 2019-01-30 엘지디스플레이 주식회사 플렉시블 표시장치의 제조 방법
JP5355618B2 (ja) 2011-03-10 2013-11-27 三星ディスプレイ株式會社 可撓性表示装置及びこの製造方法
TWI445626B (zh) 2011-03-18 2014-07-21 Eternal Chemical Co Ltd 製造軟性元件的方法
CN103492173B (zh) 2011-04-22 2015-05-20 旭硝子株式会社 层叠体、其制造方法和用途
KR20130003997A (ko) 2011-07-01 2013-01-09 엘지디스플레이 주식회사 캐리어 기판과 박형 글라스의 탈부착 방법
WO2013006865A2 (en) 2011-07-07 2013-01-10 Brewer Science Inc. Methods of transferring device wafers or layers between carrier substrates and other surfaces
US8617925B2 (en) 2011-08-09 2013-12-31 Soitec Methods of forming bonded semiconductor structures in 3D integration processes using recoverable substrates, and bonded semiconductor structures formed by such methods
US8383460B1 (en) 2011-09-23 2013-02-26 GlobalFoundries, Inc. Method for fabricating through substrate vias in semiconductor substrate
KR20140069277A (ko) 2011-09-27 2014-06-09 어플라이드 머티어리얼스, 인코포레이티드 얇은 유리 기판들을 위한 캐리어 및 그 이용
CN103889712B (zh) 2011-10-18 2015-07-08 旭硝子株式会社 层叠体、层叠体的制造方法及带有电子器件用构件的玻璃基板的制造方法
KR101820171B1 (ko) 2011-10-24 2018-01-19 엘지디스플레이 주식회사 경량 박형의 액정표시장치 제조방법
US8550061B2 (en) 2012-01-26 2013-10-08 Maruzen Company Limited Toy gun
CN107097004A (zh) 2012-02-08 2017-08-29 康宁股份有限公司 切割设备及切割方法
US8975157B2 (en) 2012-02-08 2015-03-10 Advanced Semiconductor Engineering, Inc. Carrier bonding and detaching processes for a semiconductor wafer
US9725357B2 (en) 2012-10-12 2017-08-08 Corning Incorporated Glass articles having films with moderate adhesion and retained strength
JP2013184346A (ja) 2012-03-07 2013-09-19 Asahi Glass Co Ltd ガラス積層体、電子デバイスの製造方法
JP2013184872A (ja) 2012-03-09 2013-09-19 Nippon Electric Glass Co Ltd ガラス基板の端部処理方法、ガラス基板の端部処理装置及びガラス基板
JP2013216513A (ja) 2012-04-05 2013-10-24 Nippon Electric Glass Co Ltd ガラスフィルムの切断方法及びガラスフィルム積層体
DE102012207149A1 (de) 2012-04-27 2013-10-31 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verbund mit temporärer Schutzfolie
WO2013179881A1 (ja) 2012-05-29 2013-12-05 旭硝子株式会社 ガラス積層体および電子デバイスの製造方法
KR20130095605A (ko) 2012-06-22 2013-08-28 코스텍시스템(주) 디바이스 웨이퍼와 캐리어 웨이퍼의 본딩과 디본딩 장치
CN102815052B (zh) 2012-06-29 2016-08-24 法国圣戈班玻璃公司 超疏水减反基板及其制作方法
JP2014019597A (ja) 2012-07-17 2014-02-03 Nippon Electric Glass Co Ltd ガラスフィルムの製造方法及びガラスフィルム積層体
CN102820262A (zh) 2012-09-05 2012-12-12 江苏物联网研究发展中心 一种玻璃通孔的制作及互连的方法
KR101949561B1 (ko) 2012-10-12 2019-02-18 코닝 인코포레이티드 잔류 강도를 갖는 제품
CN103035490A (zh) 2012-12-11 2013-04-10 京东方科技集团股份有限公司 柔性显示器件的制备方法
US10086584B2 (en) 2012-12-13 2018-10-02 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
TWI617437B (zh) 2012-12-13 2018-03-11 康寧公司 促進控制薄片與載體間接合之處理
US20150329415A1 (en) 2012-12-13 2015-11-19 Robert Alan Bellman Glass and methods of making glass articles
US9340443B2 (en) 2012-12-13 2016-05-17 Corning Incorporated Bulk annealing of glass sheets
US10014177B2 (en) 2012-12-13 2018-07-03 Corning Incorporated Methods for processing electronic devices
TWI594960B (zh) 2013-03-15 2017-08-11 康寧公司 玻璃片之大量退火
KR101432575B1 (ko) 2013-03-29 2014-08-21 엘지디스플레이 주식회사 경량 박형의 액정표시장치 제조방법
US20150099110A1 (en) 2013-10-07 2015-04-09 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
US10510576B2 (en) 2013-10-14 2019-12-17 Corning Incorporated Carrier-bonding methods and articles for semiconductor and interposer processing
JP6119567B2 (ja) 2013-11-11 2017-04-26 旭硝子株式会社 ガラス積層体の製造方法および電子デバイスの製造方法
JP6176067B2 (ja) 2013-11-11 2017-08-09 旭硝子株式会社 ガラス積層体および電子デバイスの製造方法
JP6136909B2 (ja) 2013-12-17 2017-05-31 旭硝子株式会社 樹脂層付き支持基板の製造方法、ガラス積層体の製造方法、電子デバイスの製造方法
CN106104778A (zh) 2014-01-27 2016-11-09 康宁股份有限公司 用于聚合物表面与载体的受控粘结的制品和方法
EP3099484A1 (en) 2014-01-27 2016-12-07 Corning Incorporated Treatment of a surface modification layer for controlled bonding of thin sheets with carriers
KR20160119080A (ko) 2014-02-07 2016-10-12 아사히 가라스 가부시키가이샤 유리 적층체
SG11201608442TA (en) 2014-04-09 2016-11-29 Corning Inc Device modified substrate article and methods for making
WO2015156395A1 (ja) 2014-04-10 2015-10-15 旭硝子株式会社 ガラス積層体およびその製造方法、電子デバイスの製造方法
WO2015163134A1 (ja) 2014-04-25 2015-10-29 旭硝子株式会社 ガラス積層体および電子デバイスの製造方法
JPWO2016017645A1 (ja) 2014-08-01 2017-07-06 旭硝子株式会社 無機膜付き支持基板およびガラス積層体、ならびに、それらの製造方法および電子デバイスの製造方法
EP3313799B1 (en) 2015-06-26 2022-09-07 Corning Incorporated Methods and articles including a sheet and a carrier

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102484216A (zh) * 2009-07-15 2012-05-30 苹果公司 显示模块

Also Published As

Publication number Publication date
EP2931670A4 (en) 2016-08-10
JP2016508106A (ja) 2016-03-17
US20150306847A1 (en) 2015-10-29
EP2931670A1 (en) 2015-10-21
CN105143121B (zh) 2018-05-15
WO2014093740A1 (en) 2014-06-19
US9889635B2 (en) 2018-02-13
KR20150097604A (ko) 2015-08-26
TW201438892A (zh) 2014-10-16
CN105143121A (zh) 2015-12-09
JP6310479B2 (ja) 2018-04-11

Similar Documents

Publication Publication Date Title
TWI617437B (zh) 促進控制薄片與載體間接合之處理
TWI604955B (zh) 處理oled元件之方法
CN106132688B (zh) 用于薄片与载体的受控粘结的制品和方法
KR102237812B1 (ko) 캐리어와 유리 시트의 결합을 제어하기 위한 유리 물품 및 방법
TW201429708A (zh) 玻璃及製造玻璃物品的方法
TWI654088B (zh) 用於聚合物表面與載具之受控接合之物件及方法
KR102355656B1 (ko) 캐리어 결합 방법, 및 반도체 및 인터포저 가공을 위한 물품
TWI679175B (zh) 玻璃片之大量退火
TW201529298A (zh) 用於玻璃片與載具的受控接合的玻璃物件及方法
TW201529511A (zh) 用於受控接合薄板與載具的表面改質層的處理

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees