TW201529298A - 用於玻璃片與載具的受控接合的玻璃物件及方法 - Google Patents

用於玻璃片與載具的受控接合的玻璃物件及方法 Download PDF

Info

Publication number
TW201529298A
TW201529298A TW103134783A TW103134783A TW201529298A TW 201529298 A TW201529298 A TW 201529298A TW 103134783 A TW103134783 A TW 103134783A TW 103134783 A TW103134783 A TW 103134783A TW 201529298 A TW201529298 A TW 201529298A
Authority
TW
Taiwan
Prior art keywords
carrier
sheet
glass
bonding
modifying layer
Prior art date
Application number
TW103134783A
Other languages
English (en)
Inventor
Robert Alan Bellman
Dana Craig Bookbinder
Robert George Manley
Prantik Mazumder
Original Assignee
Corning Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Corning Inc filed Critical Corning Inc
Publication of TW201529298A publication Critical patent/TW201529298A/zh

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B17/00Layered products essentially comprising sheet glass, or glass, slag, or like fibres
    • B32B17/06Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material
    • B32B17/10Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material of synthetic resin
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B17/00Layered products essentially comprising sheet glass, or glass, slag, or like fibres
    • B32B17/06Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material
    • B32B17/10Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material of synthetic resin
    • B32B17/10005Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material of synthetic resin laminated safety glass or glazing
    • B32B17/10009Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material of synthetic resin laminated safety glass or glazing characterized by the number, the constitution or treatment of glass sheets
    • B32B17/10036Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material of synthetic resin laminated safety glass or glazing characterized by the number, the constitution or treatment of glass sheets comprising two outer glass sheets
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B17/00Layered products essentially comprising sheet glass, or glass, slag, or like fibres
    • B32B17/06Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material
    • B32B17/10Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material of synthetic resin
    • B32B17/10005Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material of synthetic resin laminated safety glass or glazing
    • B32B17/1055Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material of synthetic resin laminated safety glass or glazing characterized by the resin layer, i.e. interlayer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B27/00Layered products comprising a layer of synthetic resin
    • B32B27/16Layered products comprising a layer of synthetic resin specially treated, e.g. irradiated
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B27/00Layered products comprising a layer of synthetic resin
    • B32B27/28Layered products comprising a layer of synthetic resin comprising synthetic resins not wholly covered by any one of the sub-groups B32B27/30 - B32B27/42
    • B32B27/283Layered products comprising a layer of synthetic resin comprising synthetic resins not wholly covered by any one of the sub-groups B32B27/30 - B32B27/42 comprising polysiloxanes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B37/00Methods or apparatus for laminating, e.g. by curing or by ultrasonic bonding
    • B32B37/06Methods or apparatus for laminating, e.g. by curing or by ultrasonic bonding characterised by the heating method
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B37/00Methods or apparatus for laminating, e.g. by curing or by ultrasonic bonding
    • B32B37/14Methods or apparatus for laminating, e.g. by curing or by ultrasonic bonding characterised by the properties of the layers
    • B32B37/16Methods or apparatus for laminating, e.g. by curing or by ultrasonic bonding characterised by the properties of the layers with all layers existing as coherent layers before laminating
    • B32B37/18Methods or apparatus for laminating, e.g. by curing or by ultrasonic bonding characterised by the properties of the layers with all layers existing as coherent layers before laminating involving the assembly of discrete sheets or panels only
    • B32B37/187Methods or apparatus for laminating, e.g. by curing or by ultrasonic bonding characterised by the properties of the layers with all layers existing as coherent layers before laminating involving the assembly of discrete sheets or panels only the layers being placed in a carrier before going through the lamination process
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B7/00Layered products characterised by the relation between layers; Layered products characterised by the relative orientation of features between layers, or by the relative values of a measurable parameter between layers, i.e. products comprising layers having different physical, chemical or physicochemical properties; Layered products characterised by the interconnection of layers
    • B32B7/04Interconnection of layers
    • B32B7/06Interconnection of layers permitting easy separation
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C27/00Joining pieces of glass to pieces of other inorganic material; Joining glass to glass other than by fusing
    • C03C27/06Joining glass to glass by processes other than fusing
    • C03C27/10Joining glass to glass by processes other than fusing with the aid of adhesive specially adapted for that purpose
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K77/00Constructional details of devices covered by this subclass and not covered by groups H10K10/80, H10K30/80, H10K50/80 or H10K59/80
    • H10K77/10Substrates, e.g. flexible substrates
    • H10K77/111Flexible substrates
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2457/00Electrical equipment
    • B32B2457/20Displays, e.g. liquid crystal displays, plasma displays
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2457/00Electrical equipment
    • B32B2457/20Displays, e.g. liquid crystal displays, plasma displays
    • B32B2457/206Organic displays, e.g. OLED
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B37/00Methods or apparatus for laminating, e.g. by curing or by ultrasonic bonding
    • B32B37/10Methods or apparatus for laminating, e.g. by curing or by ultrasonic bonding characterised by the pressing technique, e.g. using action of vacuum or fluid pressure
    • B32B37/1018Methods or apparatus for laminating, e.g. by curing or by ultrasonic bonding characterised by the pressing technique, e.g. using action of vacuum or fluid pressure using only vacuum
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2218/00Methods for coating glass
    • C03C2218/30Aspects of methods for coating glass not covered above
    • C03C2218/355Temporary coating
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/549Organic PV cells
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P40/00Technologies relating to the processing of minerals
    • Y02P40/50Glass production, e.g. reusing waste heat during processing or shaping
    • Y02P40/57Improving the yield, e-g- reduction of reject rates
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • Y10T428/269Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension including synthetic resin or polymer layer or component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/3154Of fluorinated addition polymer from unsaturated monomers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/3154Of fluorinated addition polymer from unsaturated monomers
    • Y10T428/31544Addition polymer is perhalogenated

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Ceramic Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Geochemistry & Mineralogy (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Fluid Mechanics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Laminated Bodies (AREA)
  • Joining Of Glass To Other Materials (AREA)
  • Surface Treatment Of Glass (AREA)

Abstract

本文提供表面改質層及相關聯熱處理,該等表面改質層及相關聯熱處理可提供於片、載具或兩者上以控制薄片與載具之間的室溫凡得瓦(及/或氫氣)接合及高溫共價接合兩者。控制室溫接合以便足以在(例如)真空處理、濕式處理及/或超音波清潔處理期間將薄片與載具保持在一起。且同時,控制高溫共價接合以在高溫處理期間防止薄片與載具之間的永久接合,以及維持足夠接合以防止在高溫處理期間分層。

Description

用於玻璃片與載具的受控接合的玻璃物件及方法 【優先權】
本申請案主張2013年10月7日申請之美國臨時申請案第61/887681號之優先權權益,該申請案之內容為本文之依據並且全文以引用之方式併入本文中。
本發明係關於用於處理載具上的可撓性片的物件及方法,且更特定而言,係關於用於處理玻璃載具上的可撓性玻璃片之物件及方法。
可撓性基板提供使用捲軸式處理之更便宜裝置之希望及製造更薄、更輕、更具撓性且更耐久顯示器之可能性。然而,尚未完全開發高品質顯示器之捲軸式處理所需之技術、設備及製程。自面板製造商已大量投資於工具組以處理大型玻璃片以來,將可撓性基板積層至載具及藉由單片連續式處理製造顯示器裝置提供發展更薄、更輕且更具撓性之顯示器之價值定位的較短期解決方案。顯示器已在例如聚萘二甲酸乙二酯(PEN)之聚合物片上經證實,其中裝置製造為單 片連續式,PEN經積層至玻璃載具。PEN之溫度上限限制了可使用的裝置品質及製程。另外,聚合物基板之高滲透性導致OLED裝置之環境退化,其中需要近氣密封裝。薄膜封裝提供克服此限制之希望,但尚未經證實提供大量可接受產出。
以類似方式,可使用積層至一或多個薄玻璃基板之玻璃載具製造顯示器裝置。預期薄玻璃之低滲透性及經改良之耐熱性及耐化學性將允許實現更高性能、更長壽命的可撓性顯示器。
然而,熱、真空、溶劑與酸性及超音波平板顯示器(FPD)製程對於黏接至載具之薄玻璃需要堅固接合。FPD製程通常涉及真空沉積(濺射金屬、透明導電氧化物及氧化物半導體、化學氣相沉積(CVD)、非晶矽、氮化矽及二氧化矽之沉積以及金屬及絕緣體之乾式蝕刻)、熱製程(包括~300-400℃之CVD沉積、達600℃之p-Si結晶、350-450℃之氧化物半導體退火、達650℃之摻雜劑退火及~200-350℃接觸退火)、酸性蝕刻(金屬蝕刻、氧化物半導體蝕刻)、溶劑暴露(剝離光阻劑、聚合物封裝之沉積)及超音波暴露(在光阻劑之溶劑剝離及水清潔中,通常在鹼性溶液中)。
黏合劑晶圓接合已廣泛用於針對製程較不嚴苛的後端步驟的微機械系統(MEMS)及半導體處理中。Brewer Science及Henkel之商用黏合劑通常為厚聚合物黏合劑層(5微米至200微米厚)。此等層之大厚度產生大量揮發物、截留溶劑及經吸附物種污染FPD製程之可能性。此等材料在~250℃以上熱分解且釋氣。該等材料亦可由於充當用於可在 後續製程中釋氣的氣體、溶劑及酸之槽而在下游步驟中引起污染。
2012年2月8日申請之題為Processing Flexible Glass with a Carrier之美國臨時申請案第61/596,727號(以下簡稱US '727)揭示文中的概念涉及:首先藉由凡得瓦力將薄片(例如,可撓性玻璃片)接合至載具;隨後在某些區域中增加接合強度,同時保持在處理薄片/載具後移除薄片之部分的能力以在薄片上形成裝置(例如,電子或顯示器裝置、電子或顯示器裝置之組件、有機發光裝置(OLED)材料、光伏(PV)結構或薄膜電晶體)。薄玻璃之至少一部分接合至載具以防止裝置製程流體進入薄片與載具之間,藉此減少污染下游製程之可能性,亦即,薄片與載具之間的經接合之密封部分為氣密的,且在一些較佳實施例中,此密封涵蓋物件之外部,從而防止液體或氣體侵入或擠出經密封物件之任何區域。
US '727還揭示在低溫多晶矽(LTPS)(相較於可達約750℃之固相結晶處理為低溫)裝置製造製程中,可使用接近600℃或更高之溫度、真空及濕式蝕刻環境。此等條件限制了可使用的材料且對載具/薄片設置高要求。因此,需要載具方法,該載具方法採用製造商之現有資本基礎設施、允許實現薄玻璃(亦即,具有≦0.3mm之厚度之玻璃)之處理(在較高處理溫度下無污染或薄玻璃與載具之間的接合強度之損失),且其中薄玻璃在製程末端容易自載具脫黏。
US '727中揭示之方法之一個商業優勢為,如US '727中所提及,製造商在處理設備方面將能夠採用其現有資本投資,同時獲得用於(例如)PV、OLED、LCD及圖案化薄膜電晶體(TFT)電子器件之薄玻璃片之優勢。另外,彼方法允許實現製程可撓性,包括:用於薄玻璃片及載具之清潔及表面預理以有助於接合之製程可撓性;用於在經接合區域處強化薄片與載具之間的接合之製程可撓性;用於在非接合(或降低/低強度接合)區域處維持薄片自載具之脫離性之製程可撓性;及用於切割薄片以有助於自載具之提取之製程可撓性。
在玻璃間接合(glass-to-glass bonding)製程中,清潔玻璃表面以移除所有金屬殘留物、有機殘留物及顆粒殘留物且留下主要矽烷醇封端表面。首先使玻璃表面密切接觸,其中凡得瓦力及/或氫接合力將玻璃表面牽拉在一起。由於熱量及視情況由於壓力,表面矽烷醇基縮合以橫跨界面形成強共價Si-O-Si鍵,從而永久熔合玻璃碎片。金屬殘留物、有機殘留物及顆粒殘留物將藉由遮蔽表面、防止接合所需的密切接觸來防止接合。亦需要高矽烷醇表面濃度以形成強鍵,因為每單位區域之鍵之數目將由相反表面上的兩個矽烷醇物種反應而縮合產生水的機率來決定。Zhuravlel已報道經良好水合之二氧化矽之每nm2羥基之平均數目為4.6至4.9。Zhuravlel,L.T.,The Surface Chemistry of Amorphous Silika,Zhuravlev Model,Colloids and Surfaces A:Physiochemical Engineering Aspects 173(2000)1-38。在US '727中,在經接合外圍內形成非接合區域,且針對形成此非接合區域描述之主要方式為 增加表面粗糙度。大於2nm之平均表面粗糙度Ra可防止在接合製程之高溫期間形成玻璃間接合。在由相同發明者於2012年12月13日申請且題為Facilitated Processing for Controlling Bonding Between Sheet and Carrier之美國臨時申請案第61/736,880號(以下簡稱US '880)中,藉由控制載具與薄玻璃片之間的凡得瓦及/或氫接合來形成受控接合區域,但仍亦使用共價接合區域。因而,儘管在US '727及US '880中的用於處理薄片與載具的物件及方法能夠承受FPD處理之惡劣環境,但是不理想地,對於一些應用,在由具有~1000-2000mJ/m2之黏著力(近似玻璃之斷裂強度)之共價(例如,Si-O-Si)接合來接合之接合區域中的薄玻璃與玻璃載具之間的強共價鍵防止載具之重複使用。不能使用撬動或剝離自載具分離薄玻璃之共價接合部分,且因而,整個薄片不能自載具移除。相反,劃線且抽取上面具有裝置之非接合區域,從而在載具上留下薄玻璃片之經接合外圍。
鑒於上述情況,需要一種薄片-載具物件,該物件可承受FPD處理(包括高溫處理(而無可能與高溫處理中將使用的半導體或顯示器製造製程不相容之釋氣))之嚴格性,但允許自載具移除薄片之整個區域(一次性全部移除或分區段移除)以便允許重複使用載具來處理另一薄片。本說明書描述控制載具與薄片之間的黏合以形成暫時接合的方式,該暫時接合足夠強以捱過FPD處理(包括LTPS處理)但足夠弱以允許片自載具脫黏(即使在高溫處理後)。可採用此受 控接合以產生具有可重複使用的載具的物件,或替代地具有受控接合及載具與片之間的共價接合之圖案化區域之物件。更具體而言,本揭示案提供表面改質層(包括各種材料及相關聯表面熱處理),該等表面改質層可提供於薄片、載具或兩者上以控制薄片與載具之間的室溫凡得瓦及/或氫氣接合與高溫共價接合兩者。甚至更具體而言,可控制室溫接合以足以在真空處理、濕式處理及/或超音波清潔處理期間將薄片與載具保持在一起。且同時,可控制高溫共價接合以在高溫處理期間防止薄片與載具之間的永久接合,以及維持足夠接合以在高溫處理期間防止分層。在替代實施例中,可使用表面改質層產生各種受控接合區域(其中載具及片經由各種製程(包括真空處理、濕式處理及/或超音波清潔處理)保持足夠地接合),連同共價接合區域,以提供其他處理選項,例如,即使在將物件切割為較小碎片以用於額外裝置處理後仍維持載具與片之間的氣密性。更進一步地,一些表面改質層提供對載具與片之間的接合之控制,同時減少在FPD(例如LTPS)處理環境(例如,包括高溫及/或真空處理)中之苛刻條件期間的釋氣排放。
將在以下詳細描述中闡述額外特徵及優點,且對於熟習此項技術者而言,該等額外特徵及優點將自描述部分地顯而易見或藉由實踐書面說明及附圖中例證的各種態樣來認識到。應理解,前述一般描述及以下詳細描述兩者僅為各種態樣之示例,且意欲提供綜述或框架以理解所主張之發明之性質及特徵。
包括隨附圖式以提供對本發明之原理之進一步理解且隨附圖式併入本說明書中並構成本說明書之一部分。圖式圖示一或多個實施例,且連同描述用以解釋(例如)本發明之原理及操作。應理解,本說明書及圖式中揭示之各種特徵可以任何及所有組合使用。藉由非限制實例之方式,各種特徵可如隨附申請專利範圍中所闡述彼此組合。
2‧‧‧玻璃物件
5‧‧‧線
8‧‧‧厚度
10‧‧‧載具
12‧‧‧第一表面
14‧‧‧接合表面
16‧‧‧周邊
18‧‧‧厚度
20‧‧‧薄片
22‧‧‧第一表面
24‧‧‧接合表面
26‧‧‧周邊
28‧‧‧厚度
30‧‧‧表面改質層
38‧‧‧厚度
40‧‧‧接合區域
50‧‧‧受控接合區域
52‧‧‧周邊
56‧‧‧部件
57‧‧‧周邊
402‧‧‧線
404‧‧‧線
406‧‧‧線
502‧‧‧線
504‧‧‧線
506‧‧‧線
760‧‧‧堆疊
770‧‧‧玻璃片
771‧‧‧玻璃片
772‧‧‧玻璃片
776‧‧‧第一主要表面
778‧‧‧第二主要表面
780‧‧‧覆蓋片
781‧‧‧覆蓋片
790‧‧‧表面改質層
791‧‧‧界面
792‧‧‧界面
793‧‧‧界面
794‧‧‧界面
900‧‧‧第一基板或載具
902‧‧‧表面
910‧‧‧第二基板或覆蓋物
912‧‧‧表面
920‧‧‧間隔物
930‧‧‧加熱腔室
940‧‧‧箭頭
1001‧‧‧線
1002‧‧‧線
1003‧‧‧線
1004‧‧‧線
1201‧‧‧線
1202‧‧‧線
1203‧‧‧線
1204‧‧‧線
1301‧‧‧線
1302‧‧‧線
1303‧‧‧線
1304‧‧‧線
1403‧‧‧線
1401‧‧‧線
1402‧‧‧線
1404‧‧‧線
1501‧‧‧線
1502‧‧‧線
1503‧‧‧線
1504‧‧‧線
1601‧‧‧線
1602‧‧‧線
1603‧‧‧線
1604‧‧‧線
第1圖為具有接合至薄片之載具之物件的示意性側視圖,其中表面改質層在載具與薄片之間。
第2圖為第1圖中的物件的分解及部分剖視圖。
第3圖為二氧化矽上的表面羥基濃度隨溫度變化的圖表。
第4圖為玻璃之經SC1清潔之片之表面能隨退火溫度變化的圖表。
第5圖為玻璃片上沉積的氟聚合物薄膜之表面能隨製造膜之構成材料中之一者之百分比變化的圖表。
第6圖為藉由接合區域接合至載具之薄片的示意性俯視圖。
第7圖為玻璃片之堆疊之示意性側視圖。
第8圖為第7圖中之堆疊之一個實施例的分解圖。
第9圖為測試設定之示意圖。
第10圖為不同條件下針對各種材料,(第9圖之測試設定之不同部分)之表面能對時間的圖表之集合。
第11圖為針對各種材料,%氣泡面積之改變對溫度 的圖表。
第12圖為針對各種材料,%氣泡面積之改變對溫度的另一圖表。
在以下詳細描述中,出於解釋之目的而非限制,闡述揭示具體細節之示例性實施例以提供對本發明之各種原理之透徹理解。然而,得益於本揭示案,熟習此項技術者將顯而易見,本發明可在脫離本文中所揭示之具體細節之其他實施例中得以實踐。此外,可忽略對已知裝置、方法及材料之描述以免混淆對本發明之各種原理之描述。最後,在任何適用之處,相同元件符號代表相同元件。
範圍在本文中可表述為自「約」一個特定值,及/或至「約」另一特定值。當表述此範圍時,另一實施例包括自一個特定值及/或至其他特定值。類似地,當藉由使用先行詞「約」將值表述為近似值時,將理解,特定值形成另一實施例。將進一步理解,範圍中之每一者之端點顯著與另一端點相關,且獨立於另一端點。
如本文中使用之方向術語(例如,上、下、右、左、前、後、頂部、底部)僅參考所繪製圖式且不意欲暗示絕對定向。
如本文中所使用,除非本文另外明確指示,單數形式「一」及「該」包括複數個指示物。因而,除非上下文另有明確指示,例如,對「組件」之引用包括具有兩個或更多個此等「組件」之態樣。
在2012年2月8日申請之題為Processing Flexible Glass with a Carrier之US 61/596,727及2012年12月13日申請之題為Facilitated Processing for Controlling Bonding Between Sheet and Carrier之US 61/736,880兩者中,提供以下解決方案:允許對載具上的薄玻璃片之處理,藉此至少薄玻璃片之部分保持「非接合」以使得薄玻璃片上處理的裝置可自載具移除。然而,薄玻璃之外圍經由共價Si-O-Si鍵之形成永久地(或共價地,或氣密地)接合至載具玻璃。此共價接合周邊防止重複使用載具,因為薄玻璃不能在不損害薄玻璃及載具之情況下在此永久接合區域中經移除。
為了維持有利表面形狀特徵,載具通常為顯示器級玻璃基板。因此,在一些情況下,在僅一次使用後處置載具是浪費的且成本高的。因而,為了降低顯示器製造之成本,需要能夠重複使用載具以處理不止一個薄片基板。本揭示案闡述用於使薄片能夠經處理通過FPD處理流水線之惡劣環境之物件及方法,該處理流水線包括高溫處理,其中高溫處理為在≧400℃之溫度下的處理且可視製造之裝置之類型而變化,例如,如在非晶矽或非晶氧化銦鎵鋅(IGZO)底板處理中達約450℃、如在結晶IGZO處理中達約500-550℃或如通常在LTPS製程中達約600-650℃之溫度,但仍允許薄片在對薄片或載具無損害(例如,其中載具及薄片中之一者破裂或斷裂為兩個或更多個碎片)之情況下自載具輕易移除,藉此可重複使用載具。
如第1圖及第2圖所示,玻璃物件2具有厚度8且 包括具有厚度18的載具10、具有厚度28之薄片20(亦即,具有≦300微米之厚度之薄片,該厚度包括但不限於(例如)以下厚度:10-50微米、50-100微米、100-150微米、150-300微米、300微米、250微米、200微米、190微米、180微米、170微米、160微米、150微米、140微米、130微米、120微米、110微米、100微米、90微米、80微米、70微米、60微米、50微米、40微米、30微米、20微米或10微米)及具有厚度38之表面改質層30。玻璃物件2經設計以允許在針對較厚片(亦即,大約≧0.4mm,例如,0.4mm、0.5mm、0.6mm、0.7mm、0.8mm、0.9mm或1.0mm之片)設計的設備中處理薄片20,但薄片20本身為≦300微米。換言之,厚度8(該厚度為厚度18、28及38之總和)經設計等於該較厚片之厚度,針對該較厚片設計用於處理之一件設備(例如,經設計將電子裝置組件安置於基板片上的設備)。舉例而言,若處理設備經設計用於700微米之片,且薄片具有300微米之厚度28,假設厚度38可忽略,則厚度18將經選擇為400微米。換言之,表面改質層30未按比例圖示;相反,僅為了圖示之緣故,大大誇示表面改質層30。另外,表面改質層以剖面圖示。事實上,當提供可重複使用載具時,表面改質層將均勻安置在接合表面14上方。通常,厚度38將為奈米級的,例如0.1nm至2.0nm,或達10nm,且在一些情況下可達100nm。可藉由橢偏儀量測厚度38。另外,可藉由表面化學分析(例如藉由ToF Sims質譜法)來偵測表面改質層之存在。因此,厚度38對於物件厚度8之貢獻為可忽略的且在用於決定 處理具有厚度28之給定薄片20之載具10的適合厚度18之計算中可被忽略。然而,就表面改質層30具有任何有效厚度38而言,可在針對薄片20之給定厚度28及設計處理設備之給定厚度決定載具10之厚度18時考慮此情況。
載具10具有第一表面12、接合表面14、周邊16及厚度18。進一步地,載具10可為包括(例如)玻璃之任何適合材料。載具不需為玻璃,而是相反可為陶瓷、玻璃陶瓷或金屬(因為可以類似於下文關於玻璃載具所述之方式的方式控制表面能及/或接合)。若由玻璃製成,載具10可具有任何適合組成物(包括鋁矽酸鹽、硼矽酸鹽、鋁硼矽酸鹽、鈉鈣矽酸鹽),且視載具之最終應用而定可含鹼金屬或不含鹼金屬。厚度18可為約0.2mm至3mm或更大,例如,0.2mm、0.3mm、0.4mm、0.5mm、0.6mm、0.65mm、0.7mm、1.0mm、2.0mm或3mm或更大,且如上所述,當此厚度為不可忽略時,厚度18將視厚度28及厚度38而定。另外,載具10可由一個層(如圖所示)或接合在一起的多個層(包括多個薄片)製成。進一步地,載具可具有Gen 1大小或更大,例如,Gen 2、Gen 3、Gen 4、Gen 5、Gen 8或更大(例如,片大小為100mm×100mm至3米×3米或更大)。
薄片20具有第一表面22、接合表面24、周邊26及厚度28。周邊16及周邊26可為任何適合形狀,可彼此相同,或可彼此不同。進一步地,薄片20可為包括(例如)玻璃、陶瓷或玻璃陶瓷之任何適合材料。當由玻璃製成時,薄片20可具有任何適合組成物(包括鋁矽酸鹽、硼矽酸鹽、鋁硼矽 酸鹽、鈉鈣矽酸鹽),且視薄片之最終應用而定可含鹼金屬或不含鹼金屬。薄片之熱膨脹係數可與載具之熱膨脹係數相對緊密匹配以防止物件在高溫處理期間翹曲。如上所述,薄片20之厚度28為300微米或更小。進一步地,薄片可具有Gen 1大小或更大,例如,Gen 2、Gen 3、Gen 4、Gen 5、Gen 8或更大(例如,片大小為100mm x100mm至3米x3米或更大)。
物件2不僅需要具有恰當厚度以在現有設備中進行處理,而且將需要能夠捱過惡劣環境(處理在該環境中發生)。舉例而言,平板顯示器(FPD)處理可包括濕式超音波處理、真空處理及高溫(例如,≧400℃)處理。如上所述,對於一些製程,溫度可為≧500℃,或≧600℃及達650℃。
為了捱過如(例如)在FPD製造期間之惡劣環境(將在該環境中處理物件2),接合表面14應以足夠強度接合至接合表面24以使得薄片20不與載具10分離。且應經由處理維持此強度以使得薄片20在處理期間不與載具10分離。進一步地,為了允許自載具10移除薄片20(以使得可重複使用載具10),接合表面14不應藉由最初經設計之接合力及/或由(例如)當物件經歷高溫(例如,≧400℃之溫度)下之處理時可發生的對最初經設計之接合力之修改導致的接合力過強地接合至接合表面24。可使用表面改質層30控制接合表面14與接合表面24之間的接合強度以達成此等目標中之兩者。藉由控制凡得瓦(及/或氫接合)及共價引力能對總黏合能之貢獻來達成受控接合力,藉由調整薄片20及載具10之 極性及非極性表面能分量來控制總黏合能。此受控接合足夠強以捱過FPD處理(包括濕式製程、超音波製程、真空製程及包括≧400℃之溫度且在一些情況下≧500℃或≧600℃及達650℃之處理溫度的熱製程)且藉由施加足夠的分離力及將不會對薄片20及/或載具10造成災難性損害的力保持為脫黏的。此脫黏允許移除薄片20及薄片20上製造之裝置,且亦允許重複使用載具10。
儘管表面改質層30經圖示為薄片20與載具10之間的固體層,但並非必須如此。舉例而言,層30可為大約0.1nm至2nm厚,且可能不完全覆蓋接合表面14之每一部分。舉例而言,覆蓋率可為≦100%,1%至100%、10%至100%、20%至90%或50%至90%。在其他實施例中,層30可達10nm厚,或在其他實施例中甚至達100nm厚。表面改質層30可被視為安置在載具10與薄片20之間,但表面改質層30可能不與載具10及薄片20中之一者或另一者接觸。無論如何,表面改質層30之重要態樣為,表面改質層30修改接合表面14與接合表面24接合的能力,從而控制載具10與薄片20之間的接合之強度。可使用表面改質層30之材料及厚度以及在接合前使用對接合表面14、24之處理來控制載具10與薄片20之間的接合之強度(黏合能)。
一般而言,兩個表面之間的黏合能由下式(「A theory for the estimation of surface and interfacial energies.I.derivation and application to interfacial tension」,L.A.Girifalco及R.J.Good,J.Phys.Chem.,V 61,p904)給出: W=γ 1+γ 2-γ 12 (1)其中, 1 2 and γ 12 分別為表面1、表面2之表面能及表面1及表面2之界面能。個別表面能通常為兩個項之組合:分散分量γd及極性分量γp
γ=γ d +γ p (2)
當黏合主要歸因於London分散力(γd)及極性力(例如,氫接合)(γp)時,界面能可由下式(如上所提及,Girifalco及R.J.Good)給出:
在將(3)代入(1)後,黏合能可近似計算為:
在以上方程式(4)中,僅考慮黏合能之凡得瓦(及/或氫接合)分量。此等分量包括極性之間的交互作用(Keesom)、極性與非極性之間的交互作用(Debye)及非極性之間的交互作用(London)。然而,亦可存在其他吸力能(例如共價接合及靜電接合)。因此,以上方程以更普遍的形式寫為: 其中wc及we為共價及靜電黏合能。在將初始氫接合晶圓對加熱至更高溫度以將多數或所有矽烷醇-矽烷醇氫鍵轉變為Si-O-Si共價鍵之矽晶圓接合中,共價黏合能相當普遍。雖然初始室溫氫接合產生允許分離接合表面的~100-200mJ/m2等級之黏合能,但在高溫處理(大約400℃至800℃)期間達成 之完全共價接合晶圓對具有不允許分離接合表面的~1000-3000mJ/m2之黏合能;相反,兩個晶圓作為一整體。另一方面,若兩個表面完全由具有足夠大的厚度以屏蔽下方基板之影響之低表面能材料(例如,氟聚合物)塗佈,則黏合能將為塗佈材料之黏合能,且將非常低(導致接合表面14、24之間的低黏合或無黏合),藉此將不能夠在載具10上處理薄片20。考慮兩個極端情況:(a)兩個經標準清潔1(此項技術中已知之SC1)清潔之玻璃表面由經由氫接合在室溫下接合在一起的矽烷醇基飽和(藉此黏合能為~100-200mJ/m2),隨後加熱至高溫,該高溫將矽烷醇基轉變為共價Si-O-Si鍵(藉此黏合能變為1000-3000mJ/m2)。此後一黏合能對於待分開的玻璃表面對而言過高;及(b)兩個玻璃表面完全由具有低表面黏合能(每個表面~12mJ/m2)之在室溫下接合且加熱至高溫的氟聚合物塗佈。在此後一情況(b)下,由於沒有極性反應基(或極性反應基太少),表面不僅未接合(因為當將表面放置在一起時,~24mJ/m2之總黏合能太低),而且表面在高溫下亦未接合。在此等兩個極端之間,存在黏合能之範圍(例如在50mJ/m2與1000mJ/m2之間),該範圍可產生所需程度之受控接合。因此,發明者已發現各種方式,該等方式提供表面改質層30,從而引起在此等兩個極端之間的黏合能且使得可產生足夠充分以維持彼此接合通過FPD處理之嚴格性之一對玻璃基板(例如,玻璃載具10及薄玻璃片20)且達到允許薄片20在完成處理後(甚至在例如≧400℃之高溫處理後)自載具10脫離之程度的受控接合。此外,可藉由機 械力執行薄片20自載具10的脫離,且以此方式,對至少薄片20沒有災難性損害,且較佳地亦使得對載具10沒有災難性損害。
方程式(5)描述黏合能為四個表面能參數加上共價及靜電能(若存在)之函數。
可在接合前藉由對表面改質劑(亦即,表面改質層30)之明智選擇及/或對表面之熱處理來達成適當黏合能。可藉由對接合表面14及接合表面24中之任一者或兩者之化學改質劑之選擇來獲得適當黏合能,該等化學改質劑又控制凡得瓦(及/或氫接合,此等術語貫穿本說明書互換地使用)黏合能以及由高溫處理(例如,大約≧400℃)導致的可能之共價接合黏合能兩者。舉例而言,採取SC1清潔之玻璃之接合表面(該接合表面最初以具有高表面能極性分量之矽烷醇基飽和)且使用低能氟聚合物塗佈該接合表面提供由極性與非極性基對表面之部分覆蓋之控制。此舉不僅提供對室溫下初始凡得瓦(及/或氫)接合之控制,而且提供對較高溫度下共價接合之範圍/程度之控制。執行對室溫下初始凡得瓦(及/或氫)接合之控制以提供一個表面至另一表面之接合,以允許真空及/或旋轉-清洗-乾燥(SRD)式處理,且在一些情況下亦提供一個表面至另一表面之容易形成的接合,其中該容易形成的接合可在室溫下執行,而無需如使用壓輥或使用降低壓力之環境將薄片20按壓至載具10時所做在薄片20之整個區域上方施加外部施加力。換言之,初始凡得瓦接合至少提供將薄片與載具保持在一起的最低程度接合,以使得當保 持一者且允許另一者經受重力時,薄片及載具不分離。在多數情況下,初始凡得瓦(及/或氫)接合將為使得物件在薄片不自載具分層之情況下亦可經歷真空處理、SRD處理及超音波處理的程度。在適當位準下經由表面改質層30(包括製造表面改質層之材料及/或施加至表面之表面處理)及/或藉由在將接合表面接合在一起之前對接合表面之熱處理對凡得瓦(及/或氫接合)及共價交互作用兩者之此精確控制達成所需黏合能,該黏合能允許薄片20貫穿FPD型處理與載具10接合,而同時,允許薄片20在FPD型處理後自載具10分離(藉由避免損害薄片20及/或載具之適當力)。另外,在適當情況下,可將靜電荷施加至一或兩個玻璃表面以提供對黏合能之另一位準之控制。
FPD處理(例如p-Si及氧化物TFT製造)通常涉及大於400℃、大於500℃且在一些情況下600℃或大於600℃、達650℃之溫度下的熱製程,該等製程可能導致在不存在表面改質層30之情況下薄玻璃片20與玻璃載具10之玻璃間接合。因此,控制Si-O-Si接合之形成使得可重複使用載具。控制高溫下Si-O-Si接合之形成之一種方法為降低待接合之表面上的表面羥基之濃度。
如第3圖所示(第3圖為二氧化矽上的表面羥基濃度隨溫度變化的Iler’s圖表(R.K.Iller:The Chemistry of Silica(Wiley-Interscience,New York,1979)),每nm2的羥基(OH基)之數目隨表面溫度增加而減少。因而,加熱二氧化矽表面(且以此類推,玻璃表面,例如接合表面14及/或接合 表面24)降低表面羥基之濃度,從而降低兩個玻璃表面上的羥基將交互作用的機率。表面羥基濃度之此降低又減少每單位面積形成的Si-O-Si鍵,從而降低黏著力。然而,消除表面羥基需要處於高溫(大於750℃以完全消除表面羥基)下達長退火時間。此長退火時間及高退火溫度導致製程成本高,且製程不實用,因為高退火溫度可能高於典型顯示器玻璃之應變點。
根據以上分析,發明者已經發現,可藉由平衡以下三個概念來製得包括薄片及載具、適用於FPD處理(包括LTPS處理)之物件:(1)一或多個載具及/或薄片接合表面之改質,該改質係藉由控制初始室溫接合,此可藉由控制凡得瓦(及/或氫)接合來完成,以產生適度黏合能(例如,在接合表面之前具有每一表面>40mJ/m2之表面能)以有助於初始室溫接合且足以捱過非高溫FPD製程(例如,真空處理、SRD處理及/或超音波處理);(2)載具及/或薄片之表面改質,該改質之方式為熱穩定的以捱過FPD製程而不釋氣,該釋氣可在裝置製造中導致分層及/或不可接受的污染(例如,對於可使用物件的半導體及/或顯示器製造製程不可接受的污染);及(3)控制高溫下的接合,此可藉由控制載具表面羥基濃度及能夠在高溫(例如,≧400℃之溫度)下形成強共價鍵之其他物種之濃度來完成,藉此可控制載具與薄片之接合表面之間的接合能以使得即使在高溫處理(尤其是經歷在500 ℃至650℃之範圍內的熱製程,如在FPD製程中)之後,載具與薄片之間的黏著力仍保持在一範圍內,該範圍允許使用分離力使薄片自載具脫黏,該分離力至少不損害薄片(且較佳地不損害薄片或載具中任一者)且足夠充分以維持載具與薄片之間的接合以使得載具及薄片在處理期間不會分層。
進一步地,發明者已經發現表面改質層30之使用連同接合表面預理(適當地)可平衡以上概念以輕易達成受控接合區域,亦即,在薄片20與載具10之間提供足夠室溫接合以允許物件2在FPD式製程(包括真空製程及濕式製程)中被處理的接合區域,以及控制薄片20與載具10之間的共價接合(即使在≧400℃之高溫下)以允許薄片20在物件2已完成高溫處理(例如,FPD式處理或LTPS處理)後自載具10移除(而至少不損害薄片且較佳地亦不損害載具)之接合區域。為了評估將提供適用於FPD處理的可重複使用載具的潛在接合表面預理及表面改質層,使用一系列測試來評估每一者之適合性。不同FPD應用具有不同要求,但LTPS及氧化物TFT製程在此時顯得最嚴格,且因而選擇代表此等製程中的步驟的測試,因為此等製程對於物件2為所需應用。真空製程、濕式清潔(包括SRD式製程及超音波式製程)及濕式蝕刻對於許多FPD應用是共同的。典型的aSi TFT製造需要達320℃之處理。在氧化物TFT製程中使用400℃下的退火,而在LTPS處理中使用超過600℃的結晶及摻雜劑活化步驟。因此,使用以下五個測試來評估特定接合表面預理及表面改質層30將允許薄片20貫穿FPD處理保持接合至載具 10,同時允許薄片20在此處理(包括在≧400℃之溫度下的處理)後自載具10移除(而不損害薄片20及/或載具10)之可能性。測試按順序執行,且樣本自一個測試至下一測試行進,除非存在將不允許後續測試之類型的失敗。
(l)真空測試。在STS Multiplex PECVD真空鎖(loadlock)(可自SPTS,Newport,UK獲得)中執行真空相容性測試。藉由具有軟泵閥(可自Ebara Technologies Inc.,Sacramento,CA獲得)之Ebara A10S乾式泵對真空鎖進行抽汲。樣本放置在真空鎖中,且隨後真空鎖經抽汲在45秒內自大氣壓降至70mTorr。若存在以下各者,則認為已發生失敗,如由下表之「真空」行中的符號「F」指示:(a)載具與薄片之間的黏合損失(藉由用肉眼目檢,其中若薄片已脫離載具或部分自載具脫黏,則認為已發生失敗);(b)載具與薄片之間的起泡(如藉由用肉眼目檢決定,在處理之前及之後拍攝樣本,且隨後比較樣本,若瑕疵大小增加肉眼可見的尺寸,則決定已發生失敗);或(c)薄片相對載具之移動(如藉由用肉眼目測決定,在測試之前及之後拍攝樣本,其中若存在接合瑕疵(例如,氣泡)或若經邊緣脫黏,或若存在薄片在載具上的移動,則認為已發生失敗)。在下表中,「真空」行中的符號「P」指示根據前述標準樣本未失敗。
(2)濕式製程測試。使用Semitool之型號SRD-470S(可自Applied Materials,Santa Clara,CA獲得)來執行濕式製程相容性測試。測試由在暖和流動氮下的60秒500rpm清洗、500rpm下之Q清洗至15MOhm、500rpm下之10秒沖 洗、1800rpm下之90秒乾燥及2400rpm下之180秒乾燥組成。若存在以下各者,則認為已發生失敗,如由下表之「SRD」行中的符號「F」指示:(a)載具與薄片之間的黏合損失(藉由用肉眼目檢,其中若薄片已脫離載具或部分自載具脫黏,則認為已發生失敗);(b)載具與薄片之間的起泡(如藉由用肉眼目檢決定,在處理之前及之後拍攝樣本,且隨後比較樣本,若瑕疵大小增加肉眼可見的尺寸,則決定已發生失敗);或(c)薄片相對載具之移動(藉由用肉眼目測決定,在測試之前及之後拍攝樣本,其中若存在接合瑕疵(例如,氣泡)或若經邊緣脫黏,或若存在薄片在載具上的移動,則認為已發生失敗);或(d)水在薄片下方之滲透(如藉由使用50x的光學顯微鏡目檢決定,其中若液體或殘留物為可觀測到的,則決定已發生失敗)。在下表中,「SRD」行中的符號「P」指示根據前述標準樣本未失敗。
(3)400℃溫度測試。使用Alwin21 Accuthermo610 RTP(可自Alwin21,Santa Clara CA獲得)來執行400℃製程相容性測試。在以6.2℃/分鐘自室溫循環至400℃、在400℃下保持達600秒且以1℃/分鐘冷卻至300℃之腔室中加熱載具(薄片接合至該載具)。隨後允許載具及薄片冷卻至室溫。若存在以下各者,則認為已發生失敗,如由下表之「400℃」行中的符號「F」指示:(a)載具與薄片之間的黏合損失(藉由用肉眼目檢,其中若薄片已脫離載具或部分自載具脫黏,則認為已發生失敗);(b)載具與薄片之間的起泡(如藉由用肉眼目檢決定,在處理之前及之後拍攝樣本,且隨後比較樣本, 若瑕疵大小增加肉眼可見的尺寸,則決定已發生失敗);或(c)載具與薄片之間的增加之黏合,藉此,此增加之黏合在不損害薄片或載具之情況下防止薄片自載具之脫黏(藉由在薄片與載具之間插入剃刀片,及/或藉由黏貼一塊KaptonTM膠帶至薄片及牽拉膠帶,該膠帶為1吋寬×6吋長,其中2吋至3吋係附接至100mm2之薄玻璃(該膠帶為來自Saint Gobain Performance Plastic,Hoosik NY之K102系列)),其中若在嘗試分離薄片與載具時存在對薄片或載具的損害,或若藉由執行脫黏方法中之任一者不能對薄片及載具進行脫黏,則認為已發生失敗。另外,在薄片與載具接合後且在熱循環之前,對代表性樣本執行脫黏測試以決定特定材料(包括任何相關聯表面處理)確實允許薄片在溫度循環之前自載具脫黏。在下表中,「400℃」行中的符號「P」指示根據前述標準樣本未失敗。
(4)600℃溫度測試。使用Alwin21 Accuthermo610 RTP執行600℃製程相容性測試。在以9.5℃/分鐘自室溫循環至600℃、在600℃下保持達600秒且以1℃/分鐘冷卻至300℃之腔室中加熱具有薄片的載具。隨後允許載具及薄片冷卻至室溫。若存在以下各者,則認為已發生失敗,如由下表之「600℃」行中的符號「F」指示:(a)載具與薄片之間的黏合損失(藉由用肉眼目檢,其中若薄片已脫離載具或部分自載具脫黏,則認為已發生失敗);(b)載具與薄片之間的起泡(如藉由用肉眼目檢決定,在處理之前及之後拍攝樣本,且隨後比較樣本,若瑕疵大小增加肉眼可見的尺寸,則決定已發生 失敗);或(c)載具與薄片之間的增加之黏合,藉此,此增加之黏合在不損害薄片或載具之情況下防止薄片自載具之脫黏(藉由在薄片與載具之間插入剃刀片,及/或藉由黏貼一塊上述KaptonTM膠帶至薄片及牽拉膠帶),其中若在嘗試分離薄片及載具時存在對薄片或載具的損害,或若藉由執行脫黏方法中之任一者不能對薄片及載具進行脫黏,則認為已發生失敗。另外,在薄片與載具接合後且在熱循環之前,對代表性樣本執行脫黏測試以決定特定材料及任何相關聯表面處理確實允許薄片在溫度循環之前自載具脫黏。在下表中,「600℃」行中的符號「P」指示根據前述標準樣本未失敗。
(5)超音波測試。藉由在四槽流水線中清潔物件來執行超音波相容性測試,其中依次自槽#1至槽#4在槽中之每一者中處理物件。四個槽中之每一者之槽尺寸為18.4" L×10" W×15" D。兩個清潔槽(#1及#2)含有在50℃之DI水中的可自Yokohama Oils and Fats Industry Co Ltd.,Yokohama Japan獲得的1%之Semiclean KG。使用NEY prosonik 2 104kHz超音波發生器(可自Blackstone-NEY Ultrasonics,Jamestown,NY獲得)攪拌清潔槽#1,且使用NEY prosonik 2 104kHz超音波發生器攪拌清潔槽#2。兩個清洗槽(槽#3及槽#4)含有50℃之DI水。藉由NEY sweepsonik 2D 72kHz超音波發生器攪拌清洗槽#3且藉由NEY sweepsonik 2D 104kHz超音波發生器攪拌清洗槽#4。此等製程在槽#1至#4中之每一者中執行達10分鐘,隨後在自槽#4移除樣本後進行旋轉清洗乾燥(SRD)。若存在以下各者,則認為已發生失敗,如 由下表之「超音波」行中的符號「F」指示:(a)載具與薄片之間的黏合損失(藉由用肉眼目檢,其中若薄片已脫離載具或部分自載具脫黏,則認為已發生失敗);(b)載具與薄片之間的起泡(如藉由用肉眼目檢決定,在處理之前及之後拍攝樣本,且隨後比較樣本,若瑕疵大小增加肉眼可見的尺寸,則決定已發生失敗);或(c)其他明顯瑕疵之形成(如藉由使用50x的光學顯微鏡目檢決定,其中若存在薄玻璃與載具之間截留之前未觀測到的顆粒,則認為已發生失敗);或(d)水在薄片下方之滲透(如藉由使用50x的光學顯微鏡目檢決定,其中若液體或殘留物為可觀測到的,則決定已發生失敗)。在下表中,「超音波」行中的符號「P」指示根據前述標準樣本未失敗。另外,在下表中,「超音波」行中的空白指示未以此方式測試樣本。
經由藉由加熱之羥基還原的接合表面之預理
使用表面改質層30改質接合表面14、24中之一或多者以使得物件2能夠成功經歷FPD處理(亦即,薄片20在處理期間保持接合至載具10且亦可在包括高溫處理之處理後自載具10分離之情況)的益處係藉由處理具有玻璃載具10及薄玻璃片20(在玻璃載具10與薄玻璃片20之間無表面改質層30)的物件2來證實。具體而言,首先,存在藉由加熱以還原羥基之接合表面14、24之預理,但不存在表面改質層30。清潔載具10及薄片20,使接合表面14及24彼此接合,且隨後測試物件2。預理用於接合之玻璃之典型清潔製程為SC1清潔製程,其中在稀釋過氧化氫及基質(通常為氫氧化 銨,但亦可使用四甲基氫氧化銨溶液(例如JT Baker JTB-100或JTB-111)中清潔玻璃。清潔自接合表面移除顆粒,且使得表面能已知,亦即,清潔提供表面能之基線。清潔方式不需要為SC1,可使用其他類型之清潔,因為清潔類型可能對表面上的矽烷醇基僅具有非常小的影響。在表1中闡述各種測試之結果。
強但可分離的初始室溫或凡得瓦及/或氫接合係藉由簡單清潔100mm2×100微米厚的薄玻璃片及直徑為150mm之單一中等平坦(single mean flat;SMF)晶圓0.50mm或0.63mm厚的玻璃載具形成,該玻璃片及玻璃載具各自包含Eagle XG®顯示器玻璃(不含鹼金屬之鋁硼矽酸鹽玻璃,具有大約0.2nm之平均表面粗糙度Ra,可自Corning Incorporated,Corning,NY獲得)。在此實例中,在DI水:JTB-111:過氧化氫為40:1:2之65℃浴中清潔玻璃10分鐘。薄玻璃或玻璃載具可能已或可能未在400℃下在氮氣中退火達10分鐘以移除殘留水-下表1中的「載具」行或「薄玻璃」行中的符號「400℃」指示樣本在400℃下在氮氣中退火達10分鐘。FPD製程相容性測試證實,此SC1-SC1初始室溫接合在機械上足夠強以通過真空測試、SRD測試及超音波測試。然而,400℃及以上的加熱在薄玻璃與載具之間形成永久接合,亦即,薄玻璃片不能在不損害薄玻璃片及載具中之一者或兩者之情況下自載具移除。且即使對於實例1c,實情也是如此,其中載具及薄玻璃中之每一者具有退火步驟以降低表面羥基之濃度。因此,經由單獨加熱且隨後接合載具10及薄片12對接合表面 14、24之上述預理(無表面改質層30)對於FPD製程並非適合受控接合,在該FPD製程中,溫度將為≧400℃。
藉由羥基還原及表面改質層的接合表面之預理
羥基還原(藉由例如熱處理)及表面改質層30可一起使用以控制接合表面14、24之交互作用。舉例而言,可控制接合表面14、24之接合能(歸因於極性/分散能分量之室溫下的凡得瓦及/或氫接合及歸因於共價能分量之高溫下的共價接合兩者)以提供變化的接合強度,該接合強度自室溫接合困難的強度至允許容易的室溫接合及高溫處理後接合表面之分離之強度再至高溫處理後防止表面分離而無損害之強度變化。在一些應用中,無接合或非常弱的接合可能為理想的(如當表面在「非接合」區域中時,該「非接合」區域如在US '727之薄片/載具概念中描述之「非接合」區域,且如下文所描述)。在例如針對FPD製程等(其中可達成≧500℃或≧600℃及達650℃之製程溫度)提供可重複使用載具的其他應用中,室溫下具有足夠凡得瓦及/或氫接合以首先將薄片與載具放在一起且亦防止或限制高溫共價接合是理想的。針對其他應用,具有足夠室溫接合以首先將薄片與載具放在一起且亦在高溫下形成強共價接合(如當表面在「接合」區域中時,該「接合」區域如在US '727之薄片/載具概念中描述之「接合」區域,且如下文所論述)可為理想的。儘管不希望受理論之約束, 在一些情況下,可使用表面改質層來控制室溫接合(藉由該室溫接合,薄片及載具首先被放在一起),然而可使用表面上的羥基之還原(例如,藉由加熱表面,或藉由使羥基與表面改質層反應)來控制共價接合,特別是在高溫下的共價接合。
用於表面改質層30之材料可提供具有一能量(例如,<40mJ/m2之能量,如針對一個表面所量測,且包括極性分量及分散分量)之接合表面14、24,藉此表面僅產生弱接合。在一個實例中,可使用六甲基二矽氮烷(HMDS)以藉由與表面羥基反應留下三甲基矽烷(TMS)封端表面而產生此低能表面。作為表面改質層之HMDS可與表面加熱一起使用以降低羥基濃度以控制室溫接合及高溫接合兩者。藉由選擇針對每一接合表面14、24之適合接合表面預理,可達成具有一系列能力的物件。更具體而言,關注提供針對LTPS處理之可重複使用載具,可達成薄玻璃片20與玻璃載具10之間的適合接合以捱過(或通過)真空SRD、400℃(部分a及c)及600℃(部分a及c)處理測試。
在一個實例中,SC1清潔之後對薄玻璃及載具兩者之HMDS處理產生弱接合表面,該弱接合表面難以在室溫下以凡得瓦(及/或氫接合)力接合。施加機械力以將薄玻璃接合至載具。如表2之實例2a所示,此接合足夠弱以使得在真空測試及SRD處理中觀測到載具之偏轉,在400℃及600℃熱製程中觀測到起泡(很可能歸因於釋氣),且在超音波處理後觀測到顆粒瑕疵。
在另一實例中,僅一個表面(引證之實例中的載具)之HMDS處理產生較強室溫黏合,該黏合捱過真空處理及SRD處理。然而,400℃及以上之熱製程將薄玻璃永久接合至載具。此情況在意料中,因為二氧化矽上的三甲基矽烷基之最大表面覆蓋率已由Sindorf及Maciel在J.Phys.Chem.1982,86,5208-5219中計算為2.8/nm2且由Suratwala等人在Journal of Non-Crystalline Solids 316(2003)349-363中量測為2.7/nm2,對比完全羥基化之二氧化矽的4.6-4.9/nm2之羥基濃度。換言之,儘管三甲基矽烷基確實與一些表面羥基接合,但將仍然保留一些未經接合的羥基。因而,吾人可預期表面矽烷醇基之縮合以在給定足夠時間及溫度下將薄玻璃與載具永久接合。
可藉由在HMDS暴露前加熱玻璃表面以降低表面羥基濃度來產生變化表面能,導致表面能之極性分量增加。此舉既減少了在高溫下形成共價Si-O-Si鍵之驅動力亦導致較強室溫接合,例如凡得瓦(及/或氫)接合。第4圖圖示退火後及HMDS處理後Eagle XG®顯示器玻璃載具之表面能。HMDS暴露之前增加之退火溫度藉由增加極性貢獻(線404)來增加HMDS暴露後之總(極性及分散)表面能(線402)。亦可見,對總表面能之分散貢獻(線406)保持大部分未被熱處理改變。儘管不希望受理論之約束,但增加HMDS處理後表面中之能量的極性分量及因此增加總能量看似係歸因於由於藉由HMDS之子單層TMS覆蓋率即使在HMDS處理後仍存在一些暴露玻璃表面區域。
在實例2b中,在與具有HMDS塗層之非熱處理載具接合前,在真空中於150℃之溫度下將薄玻璃片加熱一個小時。薄玻璃片之此熱處理不足以防止在≧400℃之溫度下薄玻璃片至載具之永久接合。
如表2之實例2c至實例2e所示,在HMDS暴露之前改變玻璃表面之退火溫度可改變玻璃表面之接合能以控制玻璃載具與薄玻璃片之間的接合。
在實例2c中,在真空中於190℃之溫度下對載具進行退火達1小時,隨後進行HMDS暴露以提供表面改質層30。另外,在與載具接合之前在真空中於450℃下對薄玻璃片進行退火達1小時。所得物件捱過真空測試、SRD測試及400℃測試(部分a及c,但未通過部分b,因為存在增加之起泡),但未通過600℃測試。因此,儘管與實例2b相比對高溫接合具有增加之抵抗性,但此抵抗性不足以產生用於在≧600℃之溫度下進行處理(例如LTPS處理)之物件,其中載具為可重複使用的。
在實例2d中,在真空中於340℃之溫度下對載具進行退火達1小時,隨後進行HMDS暴露以提供表面改質層30。又,在與載具接合之前在真空中於450℃下對薄玻璃片進行退火達1小時。結果類似於實例2c之結果,其中物件捱過真空測試、SRD測試及400℃測試(部分a及c,但未通過部分b,因為存在增加之起泡),但未通過600℃測試。
如實例2e所示,在真空中於450℃下對薄玻璃及載具兩者進行退火達1小時,隨後進行載具之HMDS暴露及隨 後接合載具及薄玻璃片,該等操作改良對永久接合之耐溫性。兩個表面至450℃之退火防止在600℃下達10分鐘的RTP退火後的永久接合,換言之,此樣本通過600℃處理測試(部分a及c,但未通過部分b,因為存在增加之起泡,對於400℃測試發現了類似結果)。
在上述實例2a至實例2e中,載具與薄片中之每一者為Eagle XG®玻璃,其中載具為150mm直徑之630微米厚的SMF晶圓且薄片為100mm2、100微米厚。在YES-5 HMDS烘箱(可自Yield Engineering Systems,San Jose CA獲得)中藉由脈衝氣相沉積塗覆HMDS且HMDS為一個原子層厚(亦即,約0.2nm至1nm),但表面覆蓋率可小於一個單層,亦即,如Maciel指出且如上文所論述,表面羥基中之一些未由HMDS覆蓋。由於表面改質層之厚度小,幾乎不存在可在裝置製造中造成污染之釋氣風險。又,如藉由「SC1」符號在表2中所指示,在熱處理或任何後續HMDS處理之前使用SC1製程清潔載具及薄片中之每一者。
實例2a與實例2b之比較展示,可藉由改變包括表面改質層之表面之數目來控制薄片與載具之間的接合能。且可使用控制接合能來控制兩個接合表面之間的接合力。又,實例2b至實例2e之比較展示,可藉由改變熱處理之參數來 控制表面之接合能,接合表面在塗覆表面改質材料前經受熱處理。又,可使用熱處理來減少表面羥基之數目,且因而控制共價接合之程度(尤其是在高溫下的共價接合之程度)。
可以不同方式起作用以控制接合表面上的表面能之其他材料可用於表面改質層30以控制兩個表面之間的室溫及高溫接合力。舉例而言,若一或兩個接合表面經改質以產生與表面改質層的適度接合力,該表面改質層覆蓋或在空間上阻礙物種(例如,羥基)以防止強永久共價接合在高溫下形成於載具與薄片之間,則亦可產生可重複使用的載具。產生可調表面能且覆蓋表面羥基以防止共價接合之形成的一種方式為電漿聚合物膜(例如氟聚合物膜)之沉積。電漿聚合在大氣壓或降低之壓力下及電漿激發(DC或RF平行板、電感耦合電漿(ICP)電子迴旋共振(ECR)下游微波或RF電漿)下自來源氣體沉積薄聚合物膜,該等來源氣體例如:氟碳化物源(包括CF4、CHF3、C2F6、C3F6、C2F2、CH3F、C4F8、氟氯化碳或氫氯氟碳);烴類,例如烷烴(包括甲烷、乙烷、丙烷、丁烷)、烯烴(包括乙烯、丙烯)、炔烴(包括乙炔)及芳烴(包括苯、甲苯);氫;及其他氣體源,例如SF6。電漿聚合產生一層高度交聯之材料。可使用對反應條件及來源氣體之控制來控制膜厚度、密度及化學反應以為所需應用訂制官能基。
第5圖圖示使用Oxford ICP380蝕刻工具(可自Oxford Instruments,Oxfordshire UK獲得)自CF4-C4F8混合物沉積之電漿聚合氟聚合物(PPFP)膜之總(線502)表面 能(包括極性分量(線504)及分散分量(線506))。膜沉積至Eagle XG ®玻璃片上,且光譜橢偏儀展示膜為1nm至10nm厚。如自第5圖所見,使用含有小於40%之C4F8之電漿聚合氟聚合物膜處理之玻璃載具展現>40mJ/m2之表面能且藉由凡得瓦或氫接合產生在室溫下薄玻璃與載具之間的受控接合。當最初在室溫下接合載具及薄玻璃時觀測經促進接合。換言之,當將薄片放置至載具上且在一點處將薄片與載具按壓在一起時,波面橫跨載具行進,但以相較於針對其上無表面改質層之經SC1處理表面觀測之速度更低的速度行進。此受控接合足以承受所有標準FPD製程(包括真空製程、濕式製程、超音波製程及達600℃之熱製程),換言之,此受控接合在無薄玻璃自載具之移動或分層之情況下通過600℃處理測試。藉由使用如上文所述之剃刀片及/或KaptonTM膠帶剝離來完成脫黏。兩個不同PPFP膜(如上文所述經沉積)之製程相容性圖示於表3中。以C4F8/(C4F8+CF4)=0形成實例3a之PPFP 1,換言之,使用CF4/H2而非C4F8形成,且以C4F8/(C4F8+CF4)=0.38沉積實例3b之PPFP 2。兩種類型之PPFP膜捱過真空處理測試、SRD處理測試、400℃處理測試及600℃處理測試。然而,在20分鐘之PPFP 2超音波清潔後觀測到分層,指示黏著力不足以承受此處理。然而,PPFP2之表面改質層對於一些應用(如其中超音波處理並非必須的應用)可為有用的。
表3-PPFP表面改質層之製程相容性測試
在上述實例3a及實例3b中,載具與薄片中之每一者為Eagle XG®玻璃,其中載具為150mm直徑、630微米厚之SMF晶圓,且薄片為100mm2、100微米厚。由於表面改質層之厚度小,幾乎不存在可在裝置製造中造成污染之釋氣風險。進一步地,由於表面改質層未出現退化,又,故存在甚至更小釋氣風險。又,如表3中所指示,在150℃下在真空中熱處理1小時之前使用SC1製程清潔薄片中之每一者。
可以不同方式起作用以控制表面能之其他材料可用作表面改質層以控制薄片與載具之間的室溫接合力及高溫接合力。舉例而言,可藉由矽烷處理玻璃載具及/或玻璃薄片來形成可產生受控接合之接合表面。選擇矽烷以產生適合表面能且以具有用於應用之足夠熱穩定性。待處理之載具或薄玻璃可藉由(例如)O2電漿或UV臭氧及SC1或標準清潔二(SC2,如此項技術中所知)清潔之製程進行清潔以移除有機物及其他雜質(例如,金屬),該等有機物及其他雜質將干涉與表面矽烷醇基反應之矽烷。亦可使用基於其他化學反應的洗滌劑(例如,HF或H2SO4洗滌化學製劑)。可在矽烷應用之前加熱載具或薄玻璃以控制表面羥基濃度(如上關於HMDS之表面改質層所述),及/或可在矽烷應用之後加熱載具或薄玻璃以完成與表面羥基之矽烷縮合。可在接合前使矽烷化之後未經反應之羥基之濃度足夠低以防止在≧400℃之溫度下薄玻璃與載具之間的永久接合,換言之,以形成受控 接合。在下文描述此方法。
實例4a
隨後使用1%之十二烷基三乙氧基矽烷(DDTS)於甲苯中處理接合表面經O2電漿及SC1處理之玻璃載具,且在真空中於150℃下退火達1小時以完成縮合。經DDTS處理之表面展現45mJ/m2之表面能。如表4所示,玻璃薄片(已經SC1清潔且在真空中於400℃下加熱一小時)經接合至載具接合表面,該載具接合表面上具有DDTS表面改質層。此物件捱過濕式製程測試及真空製程測試但未在不於載具下方形成氣泡之情況下捱過超過400℃之熱製程,該等氣泡歸因於矽烷之熱分解。預期此熱分解針對所有線性烷氧基及氯代烷基矽烷R1xSi(OR2)y(Cl)z,其中x=1至3,且y+z=4-x,除產生良好熱穩定性的塗層的甲基、二甲基及三甲基矽烷(x=1至3、R1=CH3)之外。
實例4b
隨後使用1%之3,3,3三氟丙基三乙氧基矽烷(TFTS)於甲苯中處理接合表面經O2電漿及SC1處理之玻璃載具,且在真空中於150℃下退火達1小時以完成縮合。經TFTS處理之表面展現47mJ/m2之表面能。如表4所示,玻璃薄片(已經SC1清潔且在真空中於400℃下加熱一小時)經接合至載具接合表面,該載具接合表面上具有TFTS表面改質層。此物件捱過真空製程測試、SRD製程測試及400℃製程測試而無玻璃薄片至玻璃載具之永久接合。然而,歸因於矽烷之熱分解,600℃測試產生載具下方形成的氣泡。由於丙基之受限熱穩定 性,此情況在意料中。儘管歸因於氣泡,此樣本未通過600℃測試,但此實例之材料及熱處理可用於一些應用,該等應用中可容忍氣泡及氣泡之不利影響(例如表面平坦度之降低或波紋度增加)。
實例4c
隨後使用1%之苯基三乙氧基矽烷(PTS)於甲苯中處理接合表面經O2電漿及SC1處理之玻璃載具,且在真空中於200℃下退火達1小時以完成縮合。經PTS處理之表面展現54mJ/m2之表面能。如表4所示,玻璃薄片(已經SC1清潔且在真空中於400℃下加熱一小時)經接合至載具接合表面,該載具接合表面上具有PTS表面改質層。此物件捱過真空製程、SRD製程及達600℃之熱製程而無玻璃薄片與玻璃載具之永久接合。
實例4d
隨後使用1%之二苯基二乙氧基矽烷(DPDS)於甲苯中處理接合表面經O2電漿及SC1處理之玻璃載具,且在真空中於200℃下退火達1小時以完成縮合。經DPDS處理之表面展現47mJ/m2之表面能。如表4所示,玻璃薄片(已經SC1清潔且在真空中於400℃下加熱一小時)經接合至載具接合表面,該載具接合表面上具有DPDS表面改質層。此物件捱過真空測試及SRD測試以及達600℃之熱製程而無玻璃薄片與玻璃載具之永久接合。
實例4e.
隨後使用1%之五氟苯基三乙氧基矽烷(PFPTS)於 甲苯中處理接合表面經O2電漿及SC1處理之玻璃載具,且在真空中於200℃下退火達1小時以完成縮合。經PFPTS處理之表面展現57mJ/m2之表面能。如表4所示,玻璃薄片(已經SC1清潔且在真空中於400℃下加熱一小時)經接合至載具接合表面,該載具接合表面具有PFPTS表面改質層。此物件捱過真空測試及SRD測試以及達600℃之熱製程而無玻璃薄片與玻璃載具之永久接合。
在上述實例4a至實例4e中,載具與薄片中之每一者為Eagle XG®玻璃,其中載具為150mm直徑、630微米厚之SMF晶圓,且薄片為100mm2、100微米厚。矽烷層為自組裝單層(SAM),且因而近似小於約2nm厚。在以上實例中,使用具有芳基或烷基非極性尾部及單、二或三-烷氧化物頭基之有機矽烷來形成SAM。此等基團與玻璃上的矽烷醇表面反應以直接附加有機官能性。非極性頭基之間的較弱交互作用組織有機層。由於表面改質層之厚度小,幾乎不存在可在裝置製造中造成污染之釋氣風險。進一步地,由於在實例4c、實例4d及實例4e中,表面改質層未出現退化,又,存在甚至更小釋氣之風險。又,如表4中所指示,在400℃下在真空中熱處理1小時之前使用SC1製程清潔玻璃薄片中之每一者。
自實例4a至實例4e之比較可見,將接合表面之表面能控制為高於40mJ/m2以有助於初始室溫接合並非形成受控接合之唯一考慮,該受控接合將承受FPD處理且仍允許薄片自載具移除而無損害。具體而言,自實例4a至實例4e可見,每一載具具有高於40mJ/m2之表面能,該表面能有助於初始室溫接合以使得物件捱過真空處理及SRD處理。然而,實例4a及實例4b未通過600℃處理測試。如上所述,針對某些應用,接合捱過高溫(例如,≧400℃、≧500℃、或≧600℃、達650℃,適合於設計物件以供使用之製程)處理而接合不會降級至不足以將薄片及載具保持在一起及亦控制在此等高溫下發生之共價接合以使得在薄片與載具之間無永久接合的程度。如表4中的實例所示,芳族矽烷(詳言之苯基矽烷)對於提供受控接合是有用的,該受控接合將有助於初始室溫接合且將承受FPD處理且仍允許薄片自載具移除而無損害。
在不添加任何其他熱能或化學能以改質薄片與載具之間的接合界面之情況下在室溫下執行實例4、實例3及實例2中的上述分離。唯一之能量輸入為機械牽拉力及/或剝離力。
實例3及實例4中的上述材料可塗覆至載具、至薄片或至將接合在一起的載具及薄片表面兩者。
受控接合之用途
可重複使用的載具
經由表面改質層(包括材料及相關聯接合表面熱處理)之受控接合的一種用途為提供載具在經歷需要≧600℃之溫度(例如,在LTPS處理中)之製程的物件中之重複使用。 可使用如藉由以上實例2e、實例3a、實例3b、實例4c、實例4d及實例4e例證之表面改質層(包括材料及接合表面熱處理)以提供載具在此等溫度條件下之重複使用。具體而言,可使用此等表面改質層以修改薄片及載具之接合區域之間的重疊區域之表面能,藉此整個薄片在處理後可與載具分離。薄片可一次性全部分離,或可分區段分離,例如,首先移除薄片之部分上產生的裝置且之後移除剩餘部分以清潔載具以供重複使用。假使整個薄片自載具移除,則可僅藉由在載具上放置另一薄片來重複使用載具。或者,可清潔且再次預理載具以藉由重新形成表面改質層來承載薄片。由於表面改質層防止薄片與載具之永久接合,表面改質層可用於溫度為≧600℃之製程。當然,儘管此等表面改質層可在≧600℃之溫度下的處理期間控制接合表面能,但表面改質層亦可用於產生薄片及載具組合,該薄片及載具組合將承受較低溫度下的處理且可用於此等較低溫度應用中以控制接合。此外,在物件之熱處理將不超過400℃之情況下,藉由實例2c、實例2d、實例4b例證之表面改質層亦可以此相同方式使用。
提供受控接合區域
經由表面改質層(包括材料及相關聯接合表面熱處理)之受控接合的第二種用途為在玻璃載具與玻璃薄片之間提供受控接合區域。更具體而言,使用表面改質層可形成受控接合區域,其中足夠的分離力可在無接合造成的對薄片或載具之損害之情況下將薄片部分與載具分離,但貫穿處理維持足夠的接合力以相對載具保持薄片。參考第6圖,玻璃薄 片20可藉由接合區域40接合至玻璃載具10。在接合區域40中,載具10及薄片20彼此共價接合以使得載具10及薄片20作為一整體。另外,存在具有周邊52的受控接合區域50,其中即使在高溫處理(例如,≧600℃之溫度下的處理)之後,載具10及薄片20仍連接但可彼此分離。儘管第6圖中圖示十個受控接合區域50,但可提供任何適合數目(包括一個)。可使用以上藉由實例2a、實例2e、實例3a、實例3b、實例4c、實例4d及實例4e例證之表面改質層30(包括材料及接合表面熱處理)以在載具10與薄片20之間提供受控接合區域50。具體而言,此等表面改質層可形成於載具10或薄片20上的受控接合區域50之周邊52內。因此,當在高溫下處理物件2以在接合區域40中或在裝置處理期間形成共價接合時,可在藉由周邊52限定之區域內提供載具10與薄片20之間的受控接合,藉此分離力可分離(而對薄片或載具無災難性損害)此區域中的薄片及載具,但薄片及載具將不會在處理(包括超音波處理)期間分層。因而由表面改質層及任何相關聯熱處理提供之本申請案之受控接合能夠改良US'727中的載具概念。具體而言,儘管證實US'727之載具由於載具之接合周邊及非接合中心區域而捱過FPD處理(包括≧約600℃之高溫處理),但超音波製程(例如濕式清潔及抗蝕劑剝除處理)仍然具有挑戰性。具體而言,可見溶液中的壓力波誘發非接合區域(如US'727中描述之非接合)中的薄玻璃中的共振,因為在彼區域中幾乎沒有或沒有接合薄玻璃及載具的黏著力。可形成薄玻璃中的駐波,其中此等波可造成振動, 若超音波攪拌具有足夠強度,則該等振動可引起接合區域與非接合區域之間的界面處的薄玻璃之破裂。可藉由最小化薄玻璃與載具之間的間隙且藉由在此等區域50中提供足夠黏合或載具20與薄玻璃10之間的受控接合來消除此問題。接合表面之表面改質層(包括藉由實例2a、實例2e、實例3a、實例3b、實例4c、實例4d及實例4e例證之材料及任何相關聯熱處理)控制接合能以在薄片20與載具10之間提供足夠接合以避免受控接合區域中的此等非所欲振動。
隨後,在對具有周邊57的所需部件56的提取期間,周邊52內的薄片20之部分可在處理後且在薄片沿周邊57之分離後簡單地與載具10分離。由於表面改質層控制接合能以防止薄片與載具之永久接合,故表面改質層可用於處理,其中溫度為≧600℃。當然,儘管此等表面改質層可在≧600℃之溫度下的處理期間控制接合表面能,但表面改質層亦可用於產生薄片及載具組合,該薄片及載具組合將承受較低溫度下的處理且可在此等較低溫度應用下使用。此外,在物件之熱處理將不超過400℃之情況下,藉由實例2c、實例2d、實例4b例證之表面改質層亦可以此相同方式使用(在一些情況下,視其他製程要求而定)以控制接合表面能。
提供接合區域
經由表面改質層(包括材料及任何相關聯接合表面熱處理)之受控接合的第三種用途為在玻璃載具與玻璃薄片之間提供接合區域。參考第6圖,玻璃薄片20可藉由接合區域40接合至玻璃載具10。
在第三種用途之一個實施例中,接合區域40、載具10及薄片20可彼此共價接合以使得以上各者作為一整體。另外,存在具有周邊52的受控接合區域50,其中載具10及薄片20彼此接合而足以承受處理,且即使在高溫處理(例如,≧600℃之溫度下的處理)後仍允許薄片與載具之分離。因此,可使用以上藉由實例1a、實例1b、實例1c、實例2b、實例2c、實例2d、實例4a及實例4b例證之表面改質層30(包括材料及接合表面熱處理)以在載具10與薄片20之間提供接合區域40。具體而言,此等表面改質層及熱處理可形成於載具10或薄片20上的受控接合區域50之周邊52之外部。因此,當在高溫下處理物件2或在高溫下處理物件2以形成共價接合時,載具及薄片20將在藉由周邊52限定之區域之外部的接合區域40內彼此接合。隨後,在對具有周邊57的所需部件56的提取期間,當需要切割薄片20及載具10時,物件可沿線5分離,因為此等表面改質層及熱處理將薄片20與載具10共價接合以使得薄片20及載具10在此區域中作為一整體。由於表面改質層提供薄片與載具之永久共價接合,故表面改質層可用於溫度為≧600℃之製程。此外,在物件之熱處理或接合區域40之初始形成之熱處理將為≧400℃且小於600℃時,亦可以此相同方式使用實例4a中藉由材料及熱處理例證之表面改質層。
在第三種用途之第二實施例中,在接合區域40中,可藉由經由上述各種表面改質層之受控接合將載具10及薄片20彼此接合。另外,存在具有周邊52的受控接合區域50, 其中載具10及薄片20彼此接合而足以承受處理,且即使在高溫處理(例如,≧600℃之溫度下的處理)後仍允許薄片與載具之分離。因此,若將在達600℃之溫度下執行處理,且需要在區域40中不具有永久或共價接合,則可使用藉由以上實例2e、實例3a、實例3b、實例4c、實例4d及實例4e例證之表面改質層30(包括材料及接合表面熱處理)以在載具10與薄片20之間提供接合區域40。具體而言,此等表面改質層及熱處理可形成於受控接合區域50之周邊52之外部,且可形成於載具10或薄片20上。受控接合區域50可使用與接合區域40中形成的表面改質層相同或不同的表面改質層形成。或者,若將在僅達400℃之溫度下執行處理,且需要在區域40中不具有永久或共價接合,則可使用藉由以上實例2c、實例2d、實例2e、實例3a、實例3b、實例4b、實例4c、實例4d、實例4e例證之表面改質層30(包括材料及接合表面熱處理)以在載具10與薄片20之間提供接合區域40。
除了區域50中的受控接合,區域50中可存在非接合區域,其中非接合區域可為US '727中所描述之具有增加之表面粗糙度之區域,或可由藉由實例2a例證之表面改質層提供。
針對大量退火或大量處理
控制接合之上述方式之第四種用途係針對玻璃片之堆疊之大量退火。退火為用於達成玻璃之壓縮之熱製程。壓縮涉及將玻璃主體重新加熱至玻璃軟化點以下但高於後續處理步驟中達到的最高溫度的溫度。此舉在後續處理之前(而 非期間)在玻璃中達成結構重組及尺寸鬆弛。後續處理之前的退火有利於在後續處理期間在玻璃主體中維持精確對準及/或平坦度(如在平板顯示器裝置之製造中),其中由許多層製成的結構需要即使在經受高溫環境後仍以非常緊密的容差對準。若玻璃在一個高溫製程中壓縮,則在高溫製程之前沉積至玻璃上的結構之層可能不與在高溫製程後沉積的結構之層正確對準。
在堆疊中壓縮玻璃片係在經濟上有吸引力的。然而,此舉需要使相鄰片交錯或分離以避免黏貼。同時,將片維持為極平坦且具有光學品質或原始表面修整是有利的。另外,針對玻璃片(例如具有小表面積之片)之某些堆疊,可能有利的是,在退火製程期間使玻璃片「黏貼」在一起以使得該等玻璃片可容易地作為一個單元移動而不分離但在退火製程後輕易地(藉由例如剝離)彼此分離以使得可單獨使用片。或者,對玻璃片之堆疊進行退火可為有利的,其中防止玻璃片中之選定者彼此永久接合,而同時,允許玻璃片中之其他各者或彼等其他玻璃片之部分(例如,玻璃片之周邊)彼此永久接合。作為又一替代,堆疊玻璃片以成批量選擇性地永久接合堆疊中之片之所選相鄰對之周邊可為有利的。可使用上述方式的玻璃片之間的控制接合以達成前述大量退火及/或選擇性接合。為了控制相鄰片之間的任何特定界面處的接合,可在面向彼界面之主要表面中之至少一者上使用表面改質層。
將參考第7圖及第8圖描述適用於所選區域(例如 周邊周圍)中的大量退火或大量永久接合的玻璃片之堆疊之一個實施例。其中第7圖為玻璃片770至玻璃片772之堆疊760之示意性側視圖,且第8圖為出於進一步解釋之目的之玻璃片770至玻璃片772之堆疊760之分解圖。
玻璃片之堆疊760可包括玻璃片770至玻璃片772及表面改質層790以控制玻璃片770至玻璃片772之間的接合。另外,堆疊760可包括安置在堆疊之頂部及底部之覆蓋片780、781,且可包括覆蓋物與相鄰玻璃片之間的表面改質層790。
如第8圖所示,玻璃片770至玻璃片772中之每一者包括第一主要表面776及第二主要表面778。玻璃片可由任何適合玻璃材料(例如,鋁矽酸鹽玻璃、硼矽酸鹽玻璃或鋁硼矽酸鹽玻璃)製成。另外,玻璃可含鹼金屬或可不含鹼金屬。玻璃片770至玻璃片772中之每一者可具有相同組成物,或該等片可具有不同組成物。進一步地,玻璃片可為任何適合類型。換言之,舉例而言,玻璃片770至玻璃片772可為上述所有載具,可為上述所有薄片或可替代地為載具及薄片。當相比針對薄片,大量退火針對載具需要不同時間-溫度循環時,具有載具之堆疊及薄片之單獨堆疊是有利的。或者,在適當表面改質層材料及放置之情況下,可能需要有具有交替載具及薄片之堆疊,藉此若需要,載具與薄片之對(亦即,形成物件之彼等對)可成批彼此共價接合用於之後的處理,而同時保留相鄰物件彼此分離之能力。又進一步地,在堆疊中可存在任何適合數目之玻璃片。換言之,儘管在第7圖及 第8圖中僅圖示三個玻璃片770-772,但堆疊760中可包括任何適合數目之玻璃片。
在任何特定堆疊760中,任何一個玻璃片可不包括表面改質層,包括一個表面改質層或兩個表面改質層。舉例而言,如第8圖所示,片770不包括表面改質層,片771包括在片771之第二主要表面778上的一個表面改質層790,且片772包括兩個表面改質層790,其中一個此表面改質層在片之主要表面776、778中之每一者上。
覆蓋片780、781可為將適當地承受(例如,不僅就時間及溫度而言,而且關於類似釋氣之其他相關考慮)給定製程的時間-溫度循環的任何材料。有利地,覆蓋片可由與處理之玻璃片相同的材料製成。當覆蓋片780、781存在且為在使堆疊通過給定時間-溫度循環後將不理想地與玻璃片接合之材料時,表面改質層790可適當地包括在玻璃片771與覆蓋片781之間及/或玻璃片772與覆蓋片780之間。當存在於覆蓋物與玻璃片之間時,表面改質層可在覆蓋物上(如以覆蓋物781及相鄰片771所示),可在玻璃片上(如以覆蓋物780及片772所示),或可在覆蓋物及相鄰片兩者上(未圖示)。或者,若覆蓋片780、781存在但為將不與相鄰片771、772接合之材料,則表面改質層790不需要存在於覆蓋物與玻璃片之間。
在堆疊中的相鄰片之間存在界面。舉例而言,在玻璃片770至玻璃片772中之相鄰玻璃片之間,界定有界面,亦即,在片770與片771之間存在界面791,且在片770與片 772之間存在界面792。另外,當存在覆蓋片780、781時,在覆蓋物781與片771之間存在界面793,以及在片772與覆蓋物780之間存在界面794。
為了控制在相鄰玻璃片之間的給定界面791、792處或在玻璃片與覆蓋片之間的給定界面793、794處的接合,可使用表面改質層790。舉例而言,如圖所示,在每一界面791、792處,在面向彼界面的主要表面中之至少一者上存在表面改質層790。舉例而言,針對界面791,玻璃片771之第二主要表面778包括表面改質層790以控制片771與相鄰片770之間的接合。儘管未圖示,片770之第一主要表面776上亦可包括表面改質層790以控制與片771之接合,亦即在面向任何特定界面的主要表面中之一者上可存在表面改質層。
可針對面向彼特定界面791-794的主要表面776、778選擇在任何給定界面791-794處的特定表面改質層790(及任何相關聯表面改質處理,例如在將特定表面改質層塗覆至彼表面之前對特定表面的熱處理,或對可與表面改質層接觸之表面之表面熱處理)以控制相鄰片之間的接合且從而達成堆疊760所經受的給定時間-溫度循環的所需結果。
若需要在達400℃之溫度下對玻璃片770至玻璃片772之堆疊進行大量退火且在退火製程後將玻璃片中之每一者彼此分離,則可使用根據實例2a、實例2c、實例2d、實例2e、實例3a、實例3b或實例4b至實例4e中之任一者之材料以及任何相關聯表面預理一起控制在任何特定界面(例如界面791)處的接合。更具體而言,片770之第一表面776將被 視為表2至表4中的「薄玻璃」,而片771之第二表面778將被視為表2至表4中的「載具」,或反之亦然。隨後可基於所需壓縮度、堆疊中片之數目以及片之大小及厚度選擇具有達400℃之溫度的適合時間-溫度循環以遍及堆疊達成必需的時間-溫度。
類似地,若需要在達600℃之溫度下對玻璃片770至玻璃片772之堆疊進行大量退火且在退火製程後將玻璃片中之每一者彼此分離,則可使用根據實例2a、實例2e、實例3a、實例3b、實例4c、實例4d、實例4e中之任一者之材料以及任何相關聯表面預理一起控制在任何特定界面(例如界面791)處的接合。更具體而言,片770之第一表面776將被視為表2至表4中的「薄玻璃」,而片771之第二表面778將被視為表2至表4中的「載具」,或反之亦然。隨後可基於所需壓縮度、堆疊中片之數目以及片之大小及厚度選擇具有達600℃之溫度的適合時間-溫度循環以遍及堆疊達成必需的時間-溫度。
進一步地,可藉由適當地配置片之堆疊及每一對片之間的表面改質層來執行大量退火及整體物件形成。若需要在達400℃之溫度下對玻璃片770至玻璃片772之堆疊進行大量退火,且隨後使各對相鄰片彼此成批共價接合以形成物件2,則可選擇適合材料及相關聯表面預理以控制接合。舉例而言,在周邊周圍(或在其他所需接合區域40處),可使用以下各者控制待形成為物件2之玻璃片(例如片770及771)對之間的界面處的接合:(i)在片770、771之周邊周圍(或其他 所需接合區域40),根據實例2c、實例2d、實例4b中之任一者之材料與任何相關聯表面預理一起;及(ii)在片770、771之內部區域(亦即,(i)中處理的周邊之內部區域,或在需要將一個片與另一片分離之所需受控接合區域50中)上,根據實例2a、實例2e、實例3a、實例3b、實例4c、實例4d、實例4e中之任一者之材料與任何相關聯表面預理一起。在此情況下,隨後可在達600℃之溫度下執行受控接合區域50中的裝置處理。
可針對彼此相容性適當地選擇材料及熱處理。舉例而言,材料2c、2d或4b中之任一者可用於具有根據針對受控接合區域的實例2a之材料之接合區域40。或者,可適當地控制用於接合區域及受控接合區域之熱處理以最小化一個區域中的熱處理之效應,該效應不利地影響相鄰區域中所需接合程度。
在針對堆疊中的玻璃片適當地選擇表面改質層790及相關聯熱處理後,可將彼等片適當地排列成堆疊且隨後加熱至400℃以對堆疊中之所有片進行大量退火而不使該等片彼此永久接合。隨後,可將堆疊加熱至600℃以在相鄰片對之所需接合區域中形成共價接合以形成具有接合區域及受控接合區域之圖案之物件2。可使用實例2a、實例2e、實例3a、實例3b、實例4c、實例4d、實例4e之材料及相關聯熱處理控制待藉由接合區域40共價接合以形成物件2之一對片與形成分離但相鄰的物件2的另一對此等片之間的界面處的接合,以使得相鄰物件2將不會彼此共價接合。以控制相鄰物 件之間的接合之此相同方式,可控制物件與存在於堆疊中的任何覆蓋片之間的接合。
又進一步地,類似於上文,可在不預先對堆疊760退火之情況下自彼同一堆疊760成批形成物件2。相反,在針對堆疊中的所需受控接合配置該等片以成批產生物件之前,該等片可能已經單獨退火,或在不同堆疊中退火且自堆疊分離。僅自大量退火及隨後自一個且同一個堆疊成批形成物件的緊接之上文所描述方式省略大量退火。
儘管上文僅詳細解釋控制界面791處的接合之方式,但該方式當然可在界面792處,或針對可存在於特定堆疊中的任何其他界面(如在堆疊中不止三個玻璃片之情況下,或當存在將不理想地接合至玻璃片之覆蓋片時)完成。進一步地,儘管可在存在的任何界面791、792、793、794處使用同一控制接合的方式,但亦可在不同界面處使用上述控制接合之方式中之不同方式以產生關於所需接合類型之相同或不同結果。
在大量退火或成批形成物件2之以上製程中,當HMDS用作控制界面處的接合之材料且HMDS暴露於堆疊之外圍時,應在需要防止HMDS之區域中的共價接合時在不含氧的氣氛中執行高於約400℃之加熱。換言之,若HMDS暴露於氣氛中足以氧化HMDS之一定量氧(在高於約400℃之溫度下),則在HMDS已經氧化的任何此類區域中的接合將變成相鄰玻璃片之間的共價接合。其他烷烴矽烷(例如,乙基、丙基、丁基、或甾醇矽烷)在較高溫度(例如,高於約 400℃)下同樣可受暴露於氧之影響。類似地,若使用用於表面改質層之其他材料,則應選擇用於大量退火之環境以使得材料將不隨退火之時間-溫度循環降解。如本文中所使用,不含氧可意謂以體積計小於1000ppm之氧濃度,更較佳地以體積計小於100ppm之氧濃度。
一旦片之堆疊已經大量退火,個別片即可自堆疊分離。可處理個別片(例如,藉由氧電漿、在≧400℃之溫度下於氧環境中加熱,或藉由化學氧化、SC1或SC2)以移除表面改質層790。個別片可按需用作(例如)電子裝置基板(例如,OLED、FPD或PV裝置)。
大量退火或大量處理之上述方法具有以經濟方式維持潔淨片表面之優勢。更具體而言,該等片不需要自始至終保持在潔淨環境中(如潔淨室退火窯中)。相反,堆疊可在潔淨環境中形成且隨後在標準退火窯(亦即,潔淨度未經控制的退火窯)中進行處理,而由於該等片之間無流體流動,該等片表面不被顆粒弄髒。因此,片表面經保護不受使片堆疊退火之環境影響。退火後,可容易地將片堆疊輸送至另一處理區域(在相同或不同設施中),因為該等片維持某一黏合度,而在足夠力下保持彼此分離而不損害該等片。換言之,玻璃製造商(例如)可對玻璃片之堆疊進行組裝及退火,且隨後作為堆疊運送該等片,其中該等片在運送期間保持在一起(而無需擔心該等片在運輸中分離),在到達該等片之目的地後,可由消費者將片與堆疊分離,該消費者可單獨或以較小群組使用該等片。一旦需要分離,即可再次在潔淨環境 中處理片堆疊(必要時在洗滌堆疊後)。
大量退火之實例
玻璃基板在自熔合拉伸製程接收時即可使用。熔合拉伸玻璃組成物(以莫耳%計)為:SiO2(67.7)、Al2O3(11.0)、B2O3(9.8)、CaO(8.7)、MgO(2.3)、SrO(0.5)。使用HF以200nm深的基準件/標藉由微影法圖案化七(7)個0.7mm厚×150mm直徑的熔合拉伸玻璃基板。作為表面改質層的二(2)nm之電漿沉積氟聚合物經塗佈在所有玻璃基板之所有接合表面上,亦即,塗佈面向另一基板的基板之每一表面,於是每一片表面之所得表面能為近似35mJ/m2。將7個經塗佈個別玻璃基板放置在一起以形成單個厚基板(被稱為「玻璃堆疊」)。在氮氣沖洗管式爐中對玻璃堆疊進行退火,該爐在15分鐘時段內自30℃升溫至590℃,在590℃下保持30分鐘,隨後在50分鐘時段內降至約230℃,隨後自爐移除玻璃堆疊且在約10分鐘內冷卻至約30℃之室溫。冷卻後,自爐移除基板且使用剃刀楔(razor wedge)容易地將基板分為個別片(亦即,樣本未全部或部分永久接合)。藉由將玻璃基準件與非退火石英參考進行比較在每一個別基板上量測壓縮。發現個別基板壓縮約185ppm。基板中之兩者作為個別樣本(未堆疊在一起)經歷上述第二退火循環(590℃/保持30分鐘)。再次量測壓縮,且發現基板歸因於第二熱處理進一步壓縮小於10ppm(實際上為0ppm至2.5ppm)(第二熱處理後玻璃尺寸相較於初始玻璃尺寸之改變減去第一熱處理後玻璃尺寸之改變)。因此,發明者已證實,個別玻璃片可經 塗佈、堆疊、在高溫下熱處理(以達成壓縮)、冷卻、分離為單獨片且在第二熱處理後具有<10ppm且甚至<5ppm之尺寸改變(相較於第一熱處理後玻璃片之大小)。
儘管使用氮氣沖洗上述退火實例中的爐,但視退火溫度及特定環境中表面改質層材料在彼等溫度下之穩定性而定,退火爐亦可使用其他氣體(包括空氣、氬氣、氧氣、CO2或以上之組合)沖洗。作為惰性氣氛之替代,爐在上述退火時可為真空環境。
另外,儘管未圖示,但玻璃可以捲軸形式(而不是片形式)進行退火。換言之,適合表面改質層可形成於玻璃帶之一側或兩側上,且隨後將該帶捲起。整個捲筒可經受如上針對片所述之相同處理,於是將在一個玻璃卷層(wrap)不會黏貼至相鄰卷層之情況下對整個捲軸之玻璃進行退火。在展開時,可藉由任何適合製程移除表面改質層。
釋氣
典型晶圓接合應用中使用的聚合物黏合劑通常為10-100微米厚且在聚合物黏合劑之溫度極限下或接近溫度極限時失去聚合物黏合劑之質量之約5%。對於從厚聚合物膜釋出之此等材料,容易藉由質譜法量化質量損失或釋氣之量。另一方面,量測自大約為10nm厚或更薄之薄表面處理(例如,上述電漿聚合物或自組裝單層表面改質層以及熱解聚矽氧油薄層)之釋氣更具有挑戰性。對於此等材料,質譜法不夠敏感。然而,存在量測釋氣之許多其他方式。
量測少量釋氣之第一種方式係基於表面能量測的且 將參考第9圖加以描述。為了執行此測試,可使用第9圖所示的設置。其上具有待測試表面改質層之第一基板或載具900展示表面902,亦即,在組成物及厚度上與待測試之表面改質層30相對應的表面改質層。第二基板或覆蓋物910經放置以使得基板或覆蓋物之表面912緊密靠近但未接觸載具900之表面902。表面912為未經塗佈表面,亦即,製造覆蓋物之裸材料之表面。間隔物920放置在載具900與覆蓋物910之間的各個點處以將載具900與覆蓋物910保持彼此間隔的關係。間隔物920應足夠厚以將覆蓋物910與載具900分離以允許材料自一者至另一者之移動,但應足夠薄以使得在測試來自腔室之污染量期間最小化表面902及912上的氣氛。載具900、間隔物920及覆蓋物910一起形成測試物件901。
在組裝測試物件901之前,量測裸表面912之表面能,如量測表面902之表面能一般,該表面902亦即其上提供表面改質層之載具900之表面。藉由將由S.Wu(1971)開發的理論模型擬合至三種測試液體(水、二碘甲烷及十六烷)之三個接觸角來量測極性分量及分散分量兩者之如第10圖所示的表面能。(參考:S.Wu,J.Polym.Sci.C,34,19,1971)。
組裝後,將測試物件901放置至加熱腔室930且加熱經歷時間-溫度循環。在大氣壓力及流動N2氣體下執行加熱,亦即,以2標準升/分鐘之速率以箭頭940之方向流動。
在加熱循環期間,藉由表面902之表面能之改變證明表面902之改變(包括歸因於例如蒸發、熱解、分解、聚合、與載具的反應及除濕的表面改質層之改變)。表面902 之表面能自身之改變不一定意謂表面改質層已經釋氣,但確實指示在彼溫度下材料之總體不穩定性,因為歸因於例如上述機制,材料之特徵在改變。因而,表面902之表面能改變越少,表面改質層越穩定。另一方面,由於表面912與表面902緊密靠近,自表面902釋氣之任何材料將收集在表面912上且將改變表面912之表面能。因此,表面912之表面能之改變為存在於表面902上的表面改質層之釋氣指標。
因而,針對釋氣之一個測試使用覆蓋物表面912之表面能之改變。具體而言,若存在≧10mJ/m2的表面912之表面能改變,則存在釋氣。此量值的表面能改變與可導致膜黏合之損失或材料性質及裝置效能之降級之污染相一致。≦5mJ/m2之表面能之改變接近表面能量測之再現性及表面能之不均勻性。此微小改變與最低限度釋氣相一致。
在產生第10圖之結果之測試期間,載具900、覆蓋物910及間隔物920由Eagle XG玻璃(可自Corning Incorporated,Corning,NY獲得的無鹼金屬鋁硼矽酸鹽顯示器級玻璃)製成,但並非必須如此。載具900及覆蓋物910直徑為150mm、厚為0.63mm。一般而言,載具910及覆蓋物920將由分別與載具10及薄片20相同的材料製成,針對該等材料,需要釋氣測試。在此測試期間,矽間隔為0.63mm厚、2mm寬及8cm長,從而在表面902及表面912之間形成0.63mm之間隙。在此測試期間,腔室930併入MPT-RTP600s快速熱處理設備中,該設備以9.2℃/分鐘之速率自室溫至測試極限溫度循環,在測試極限溫度下保持達如圖表中示為「退火 時間」的不同時間,且隨後以爐速率冷卻至200℃。在烘箱已冷卻至200℃後,移除測試物件,且在測試物件已冷卻至室溫後,再次量測每一表面902及912之表面能。因而,藉由實例,使用針對材料#1測試至450℃之極限溫度的關於覆蓋物表面能之改變之資料(線1003),收集資料如下。0分鐘處的資料點展示75mJ/m2(毫焦耳/平方米)之表面能,且該資料點為裸玻璃(亦即,尚未進行時間-溫度循環)之表面能。一分鐘處的資料點指示如下執行時間-溫度循環後量測的表面能:將物件901(在載具900上具有用作表面改質層以呈現表面902之材料#1)放置在室溫及大氣壓力下之加熱腔室930中;使用2標準升/分鐘之N2氣體流動以9.2℃/分鐘之速率將腔室加熱至450℃之測試極限溫度,且在450℃之測試極限溫度下保持達1分鐘;隨後允許腔室以1℃/分鐘之速率冷卻至300℃,且隨後自腔室930移除物件901;隨後允許物件冷卻至室溫(無N2流動氣氛);隨後在線1003上量測且繪製表面912之表面能作為點達1分鐘。隨後以類似方式決定材料#1(線1003、1004)之剩餘資料點以及材料#2(線1203、1204)、材料#3(線1303、1304)、材料#4(線1403、1404)、材料#5(線1503、1504)及材料#6(線1603及1604)之資料點,其中退火時間之分鐘數對應於測試極限溫度(適當地為450℃或600℃)下的保持時間。除了在每一時間-溫度循環後量測表面902之表面能之外,以類似方式決定代表相應表面改質層材料(材料#1至材料#6)之表面902之表面能的線1001、1002、1201、1202、1301、1302、1401、1402、1501、1502、 1601及1602之資料點。
針對下文闡述之六種不同材料執行上述組裝製程及時間-溫度循環,且結果用圖表表示於第10圖中。在六種材料中,材料#1至材料#4對應於上述表面改質層材料。材料#5及材料#6為比較實例。
材料#1為CHF3-CF4電漿聚合氟聚合物。此材料與上文實例3b中的表面改質層相一致。如第10圖所示,線1001及線1002展示載具之表面能未顯著改變。因而,此材料在450℃至600℃之溫度下非常穩定。另外,如線1003及線1004所示,覆蓋物之表面能亦未顯著改變,亦即,改變≦5mJ/m2。因此,不存在與450℃至600℃之此材料相關聯之釋氣。
材料#2為苯矽烷,即自苯基三乙基矽烷之1%甲苯溶液沉積且在190℃下於真空烘箱中固化30分鐘之自組裝單層(SAM)。此材料與上文實例4c中的表面改質層相一致。如第10圖所示,線1201及線1202指示載具上的表面能之某種改變。如上所述,此情況指示表面改質層之某種改變,且比較地,材料#2較材料#1略不穩定。然而,如線1203及線1204所示,載具之表面能之改變≦5mJ/m2,展示表面改質層之改變未導致釋氣。
材料#3為五氟苯基矽烷,即自五氟苯基三乙氧基矽烷之1%甲苯溶液沉積且在190℃下於真空烘箱中固化30分鐘之SAM。此材料與上文實例4e中的表面改質層相一致。如第10圖所示,線1301及線1302指示載具上的表面能之某種改變。如上所述,此情況指示表面改質層之某種改變,且比較 地,材料#3較材料#1略不穩定。然而,如線1303及線1304所示,載具之表面能之改變≦5mJ/m2,展示表面改質層之改變未導致釋氣。
材料#4為在140℃下在YES HMDS烘箱中自蒸氣沉積之六甲基二矽氮烷(HMDS)。此材料與上文表2之實例2b中的表面改質層相一致。如第10圖所示,線1401及線1402指示載具上的表面能之某種改變。如上所述,此情況指示表面改質層之某種改變,且比較地,材料#4較材料#1略不穩定。另外,材料#4之載具之表面能之改變大於材料#2及材料#3中之任一者之改變,指示(比較地)材料#4較材料#2及材料#3略不穩定。然而,如線1403及線1404所示,載具之表面能之改變≦5mJ/m2,展示表面改質層之改變未導致影響覆蓋物之表面能之釋氣。然而,此情況與HMDS釋氣之方式相一致。換言之,HMDS釋放氨氣及水,氨氣及水不影響覆蓋物之表面能,且可不影響某一電子製造設備及/或處理。另一方面,當釋氣之產物經截留於薄片與載具之間時,可能存在其他問題,如下文關於第二釋氣測試所述。
材料#5為縮水甘油氧基丙基矽烷,即自縮水甘油氧基丙基三乙氧基矽烷之1%甲苯溶液沉積且在190℃下於真空烘箱中固化30分鐘之SAM。此材料為比較實例材料。儘管載具之表面能存在相對較小改變(如線1501及線1502所示),但覆蓋物之表面能存在顯著改變(如線1503及線1504所示)。換言之,儘管材料#5在載具表面上相對穩定,但材料#5實際上將大量材料釋氣至覆蓋物表面上,藉此覆蓋物表面 能改變≧10mJ/m2。儘管在600℃下在10分鐘結束時的表面能在10mJ/m2內,但彼時間期間的改變確實超過10mJ/m2。參見例如1分鐘及5分鐘處的資料點。儘管不希望受理論之約束,但對於分解且離開覆蓋物表面之釋氣材料中之一些,表面能在自5分鐘至10分鐘內之略微上升是很可能的。
材料#6為DC704,即藉由將5ml Dow Corning 704擴散泵油四甲基四苯基三矽氧烷(可自Dow Corning獲得)分配至載具上、將該載具放置在空氣中的500℃熱板上達8分鐘來製備的聚矽氧塗層。可見冒煙之結束表明樣本預理之完成。在以上述方式預理樣本後,執行上述釋氣測試。此材料為比較實例材料。如第10圖所示,線1601及線1602指示載具上的表面能之某種改變。如上所述,此情況指示表面改質層之某種改變,且比較地,材料#6相比材料#1較不穩定。另外,如線1603及線1604所表明,載具之表面能之改變≧10mJ/m2,展示顯著釋氣。更特定而言,在450℃之測試極限溫度下,10分鐘的資料點展示表面能約15mJ/m2之減少,且針對1分鐘及5分鐘處的點展示表面能之甚至更大的減少。類似地,在600℃測試極限溫度下循環期間,覆蓋物之表面能之改變(覆蓋物之表面能之減少)在10分鐘資料點處為約25mJ/m2,在5分鐘處略多,且在1分鐘處略少。但總之,在測試之整個範圍內,此材料展示大量釋氣。
顯著地,針對材料#1至材料#4,貫穿時間-溫度循環的表面能指示覆蓋物表面保持在與裸玻璃之表面能一致的表面能,亦即,沒有收集自載具表面釋氣的材料。在材料#4之 情況下,如關於表2所述,預理載具及薄片表面之方式使得在物件(經由表面改質層與載具接合在一起之薄片)是否將捱過FPD處理方面存在巨大差異。因而,儘管第10圖中所示之材料#4之實例可能未釋氣,但此材料可能或可能不會捱過400℃或600℃測試,如關於表2之論述所述。
量測少量釋氣之第二方式係基於經組裝物件(亦即,薄片經由表面改質層接合至載具之經組裝物件),且該第二方式使用百分比氣泡面積之改變決定釋氣。換言之,在物件之加熱期間,載具與薄片之間形成的氣泡指示表面改質層之釋氣。如上文關於第一釋氣測試所述,難以量測非常薄的表面改質層的釋氣。在此第二測試中,薄片之釋氣可藉由薄片與載具之間的強黏合來限制。然而,≦10nm厚之層(例如,電漿聚合材料、SAM及經熱解聚矽氧油表面處理)仍可在熱處理期間產生氣泡,儘管該等層之絕對質量損失較小。且在薄片與載具之間產生氣泡可造成關於圖案產生、光微影處理及/或至薄片上之裝置處理期間之對準的問題。另外,在薄片與載具之間的接合區域之邊界處產生氣泡可造成關於來自一個製程的製程流體污染下游製程的問題。≧5之%氣泡面積改變為顯著的(指示釋氣),且為不理想的。另一方面,≦1之%氣泡面積改變為不顯著的且指示尚不存在釋氣。
以手動接合在1000級潔淨室中接合之薄玻璃之平均氣泡面積為1%。經接合載具中的%氣泡為載具、薄玻璃片及表面預理之潔淨度之函數。由於此等初始瑕疵在熱處理後充當氣泡生長的成核位點,熱處理後小於1%的氣泡面積之任 何改變在樣本預理之可變性內。為了執行此測試,使用具有透明度單元的市售桌上型掃描器(Epson Expression 10000XL Photo)以緊接接合之後形成接合薄片及載具的區域之第一掃描影像。使用利用508dpi(50微米/像素)及24位元RGB之標準Epson軟體來掃描部件。影像處理軟體首先藉由(如必要)將樣本之不同區段之影像縫合成單一影像且移除掃描器假影(藉由使用在掃描器中無樣本之情況下執行之校準參考掃描)來準備影像。隨後使用標準影像處理技術(諸如定限、填孔、腐蝕/膨脹及斑點分析)來分析經接合區域。亦可以類似方式使用更新的Epson Expression 11000XL Photo。在傳輸模式下,在經掃描影像中可見接合區域中的氣泡且可決定氣泡面積的值。隨後,將氣泡面積與總接合面積(亦即,薄片與載具之間的總重疊面積)比較以計算接合區域中的氣泡相對於總接合面積之%面積。隨後在N2氣氛下在300℃、450℃及600℃之測試極限溫度下於MPT-RTP600s快速熱處理系統中對樣本進行熱處理達10分鐘。具體而言,執行的時間-溫度循環包括:在室溫及大氣壓力下將物件插入加熱腔室中;隨後以9℃/分鐘之速率將腔室加熱至測試極限溫度;在測試極限溫度下將腔室保持達10分鐘;隨後以爐速率將腔室冷卻至200℃;自腔室移除物件且允許物件冷卻至室溫;隨後使用光學掃描器對物件進行第二次掃描。隨後如上計算第二掃描之%氣泡面積且與第一掃描之%氣泡面積比較以決定%氣泡面積之改變(Δ%氣泡面積)。如上所述,≧5%之氣泡面積改變為顯著的且指示釋氣。由於原始%氣泡面積的可變性,% 氣泡面積的改變經選擇作為量測標準。換言之,歸因於薄片及載具經預理後及薄片及載具接合前的處置及清潔度,大多數表面改質層在第一掃描中具有約2%之氣泡面積。然而,在材料之間可發生變化。關於第一釋氣測試方法闡述之相同材料#1-6再次用於此第二釋氣測試方法中。在此等材料中,材料#1至材料#4在第一掃描中展現約2%氣泡面積,而材料#5及材料#6在第一掃描中展示顯著更大的氣泡面積,亦即,約4%。
將參考第11圖及第12圖描述第二釋氣測試之結果。材料#1至材料#3之釋氣測試結果圖示於第11圖中,而材料#4至材料#6之釋氣測試結果圖示於第12圖中。
材料#1之結果在第11圖中圖示為方形資料點。如自圖式可見,針對300℃、450℃及600℃之測試極限溫度,%氣泡面積的改變接近零。因此,材料#1在此等溫度下未展示釋氣。
材料#2之結果在第11圖中圖示為菱形資料點。如自圖式可見,針對450℃及600℃之測試極限溫度,%氣泡面積的改變小於1。因此,材料#2在此等溫度下未展示釋氣。
材料#3之結果在第11圖中圖示為三角形資料點。如自圖式可見,類似材料#1之結果,針對300℃、450℃及600℃之測試極限溫度,%氣泡面積的改變接近零。因此,材料#1在此等溫度下未展示釋氣。
材料#4之結果在第12圖中圖示為圓形資料點。如自圖式可見,%氣泡面積的改變針對300℃之測試極限溫度接 近零,但在450℃及600℃之測試極限溫度下針對一些樣本接近1%,且在450℃及600℃之測試極限溫度下針對彼相同材料之其他樣本為約5%。材料#4之結果非常不一致,且視以HMDS材料預理薄片及載具表面以用於接合之方式而定。視預理樣本之方式而定的樣本執行之方式與關於上文表2闡述的此材料之實例及相關聯論述相一致。注意,根據上文所闡述之分離測試,針對此材料,在450℃及600℃測試極限溫度具有接近1%之%氣泡面積改變之樣本不允許薄片自載具分離。換言之,薄片與載具之間的強黏合可能已限制氣泡產生。另一方面,具有接近5%之%氣泡面積改變之樣本不允許薄片自載具分離。因而,沒有釋氣的樣本在將載具及薄片黏貼在一起(防止薄片自載具移除)之溫度處理後具有黏合增強之不理想結果,而允許薄片及載具之移除之樣本具有釋氣之不理想結果。
材料#5之結果在第12圖中圖示為三角形資料點。如自圖式可見,針對300℃之測試極限溫度,%氣泡面積的改變為約15%,且大大超過針對450℃及600℃之更高測試極限溫度之改變。因此,材料#5在此等溫度下展示顯著釋氣。
材料#6之結果在第12圖中圖示為方形資料點。如自圖式可見,針對300℃之測試極限溫度,%氣泡面積的改變超過2.5%,且針對450℃及600℃之測試極限溫度超過5%。因此,材料#6在450℃及600℃之測試極限溫度下展示顯著釋氣。
結論
應強調,本發明之上述實施例(特別是任何「較佳」實施例)僅為實施之可能實例,僅經闡述用於對本發明之各種原理之清晰理解。可在不實質上脫離本發明之精神及各種原理之情況下對本發明之上述實施例作出許多變化及修改。所有此等修改及變化意欲包括本文中本揭示案及本發明之範疇內且藉由以下申請專利範圍保護。
舉例而言,儘管許多實施例之表面改質層30圖式且論述為形成於載具10上,但表面改質層可相反或另外形成於薄片20上。換言之,實例4及實例3中闡述之材料可塗覆至載具10、至薄片20或至載具10及薄片20兩者將接合在一起的面上。
進一步地,儘管一些表面改質層30描述為控制接合強度以在甚至400℃或600℃之溫度下處理物件2後允許薄片20自載具10移除,但當然可在相較於物件通過之特定測試之溫度更低之溫度下處理物件2且仍達成自載具10移除薄片20之相同能力而不損害薄片20或載具10。
又進一步地,儘管受控接合概念在本文中已描述為用於載具及薄片,但在某些情況下,受控接合概念適用於控制玻璃、陶瓷或玻璃陶瓷之較厚片之間的接合,其中可能需要將片(或片之部分)彼此分開。
再進一步地,儘管受控接合概念在本文中已描述為對玻璃載具及玻璃薄片有用,但載具可由其他材料(例如,陶瓷、玻璃陶瓷或金屬)製成。類似地,可控制地接合至載具之片可由其他材料(例如,陶瓷或玻璃陶瓷)製成。
根據本申請案之各種上述概念可以任何及所有不同組合方式彼此組合。藉由實例,可根據以下態樣組合各種概念。
根據第一態樣,提供一種玻璃物件,該玻璃物件包含:載具,該載具具有載具接合表面;表面改質層,該表面改質層安置在載具接合表面上,其中表面改質層經配置以使得當載具接合表面與玻璃片接合表面接合,同時表面改質層在該載具接合表面與該玻璃片接合表面之間時,在藉由在以9.2℃/分鐘之速率自室溫至600℃循環、在600℃之溫度下保持達10分鐘且隨後以1℃/分鐘冷卻至300℃的腔室中加熱而使物件經受溫度循環及隨後自腔室移除物件且允許物件冷卻至室溫之後,載具及片在一者經保持而另一者經受重力時不彼此分離,在溫度循環期間不存在自載具接合表面之釋氣,且片可與載具分離而不使載具及片中之較薄者破裂成兩個或更多個碎片。
根據第二態樣,提供一種玻璃物件,該玻璃物件包含:載具,該載具具有載具接合表面;片,該片具有片接合表面;表面改質層,該表面改質層安置在載具接合表面及片接合表面中之一者上,載具接合表面與片接合表面接合,同時表面改質層在該載具接合表面與該片接合表面之間,其中將片接合至載具之 表面能具有一特徵,即,在藉由在以9.2℃/分鐘之速率自室溫至600℃循環、在600℃之溫度下保持達10分鐘且隨後以1℃/分鐘冷卻至300℃的腔室中加熱而使物件經受溫度循環及隨後自腔室移除物件且允許物件冷卻至室溫之後,載具及片在一者經保持而另一者經受重力時不彼此分離,在溫度循環期間不存在自表面改質層之釋氣,且該片可與載具分離而不使載具及片中之較薄者破裂成兩個或更多個碎片。
根據第三態樣,提供態樣1、態樣2或態樣58至態樣61中之任一者之玻璃物件,其中在氮氣中執行加熱。
根據第四態樣,提供態樣1至態樣3或態樣58至態樣61中之任一者之玻璃物件,其中將片接合至載具之表面能具有一特徵,即,物件通過真空相容性測試。
根據第五態樣,提供態樣4之玻璃物件,其中在溫度測試之前執行真空相容性測試。
根據第六態樣,提供態樣1至態樣5或態樣58至態樣61中之任一者之玻璃物件,其中將片接合至載具之表面能具有一特徵,即,物件通過濕式處理相容性測試。
根據第七態樣,提供態樣1至態樣6或態樣58至態樣61中之任一者之玻璃物件,其中片至載具之接合具有一特徵,即,物件捱過超音波處理而不使片自載具分層,其中超音波處理包含第一步驟:將物件放入具有≧50℃之溫度之第一流體槽及使物件經受≧100kHz之超音波能達10分鐘。
根據第八態樣,提供態樣7之玻璃物件,其中超音波處理進一步包含第二步驟:將物件放入具有≧50℃之溫度 之第二流體槽及使物件經受≧100kHz之超音波能達10分鐘。
根據第九態樣,提供態樣8之玻璃物件,其中超音波處理進一步包含第三步驟:將物件放入具有≧50℃之溫度之第三流體槽及使物件經受≧70kHz之超音波能達10分鐘。
根據第十態樣,提供態樣9之玻璃物件,其中超音波處理進一步包含第四步驟:將物件放入具有≧50℃之溫度之第四流體槽及使物件經受≧100kHz之超音波能達10分鐘。
根據第十一態樣,提供態樣1至態樣10或態樣58至態樣61中之任一者之玻璃物件,其中載具包含玻璃。
根據第十二態樣,提供態樣1至態樣11或態樣58至態樣61中之任一者之玻璃物件,其中無任何表面改質層之載具具有≦2nm之平均表面粗糙度Ra。
根據第十三態樣,提供態樣1至態樣12或態樣58至態樣61中之任一者之玻璃物件,其中載具具有200微米至3mm之厚度。
根據第十四態樣,提供態樣1至態樣13或態樣58至態樣61中之任一者之玻璃物件,其中該片包含玻璃。
根據第十五態樣,提供態樣1至態樣14或態樣58至態樣61中之任一者之玻璃物件,其中無任何表面改質層之片具有≦2nm之平均表面粗糙度Ra。
根據第十六態樣,提供態樣1至態樣15或態樣58至態樣61中之任一者之玻璃物件,其中該片具有≦300微米之厚度。
根據第十七態樣,提供態樣1至態樣16或態樣58 至態樣61中之任一者之玻璃物件,其中表面改質層具有0.1nm至100nm之厚度。
根據第十八態樣,提供態樣1至態樣16或態樣58至態樣61中之任一者之玻璃物件,其中表面改質層具有0.1nm至10nm之厚度。
根據第十九態樣,提供態樣1至態樣16或態樣58至態樣61中之任一者之玻璃物件,其中表面改質層具有0.1nm至2nm之厚度。
根據第二十態樣,提供態樣1至態樣19或態樣58至態樣61中之任一者之玻璃物件,其中載具為包含具有各自在≦0.05重量%之位準下之砷及銻的不含鹼金屬之鋁矽酸鹽或硼矽酸鹽或鋁硼矽酸鹽玻璃之玻璃。
根據第二十一態樣,提供態樣1至態樣20或態樣58至態樣61中之任一者之玻璃物件,其中載具及片中之每一者之大小為100mm×100mm或更大。
根據第二十二態樣,提供態樣1至態樣21或態樣58至態樣61中之任一者之玻璃物件,其中表面改質層包含以下中之一者:a)電漿聚合氟聚合物;及b)芳族矽烷。
根據第二十三態樣,提供態樣22之玻璃物件,其中當表面改質層包含電漿聚合氟聚合物時,表面改質層為以下中之一者:電漿聚合聚四氟乙烯;及自具有≦40% C4F8之CF4-C4F8混合物沉積之電漿聚合氟聚合物表面改質層。
根據第二十四態樣,提供態樣22之玻璃物件,其中當表面改質層包含芳族矽烷時,表面改質層為以下中之一者:苯基三乙氧基矽烷;二苯基二乙氧基矽烷;及4-五氟苯基三乙氧基矽烷。
根據第二十五態樣,提供態樣22之玻璃物件,其中當表面改質層包含芳族矽烷時,表面改質層含有氯苯基或氟苯基、矽基。
根據第二十六態樣,提供一種製造玻璃物件之方法,該方法包含以下步驟:獲得具有接合表面之載具,控制載具接合表面之表面能以使得當載具與具有接合表面之玻璃片接合時,在片接合表面與載具接合表面之間引起共價接合的表面能之分量具有一特徵,即,在藉由在以9.2℃/分鐘之速率自室溫至600℃循環、在600℃之溫度下保持達10分鐘且隨後以1℃/分鐘冷卻至300℃的腔室中加熱而使經接合片及載具物件經受溫度循環及隨後自腔室移除物件且允許物件冷卻至室溫之後,該載具及片在一者經保持而另一者經受重力時不彼此分離,在溫度循環期間不存在自載具接合表面之釋氣,且片可與載具分離而不使載具及片中之較薄者破裂成兩個或更多個碎片。
根據第二十七態樣,提供一種製造玻璃物件之方法,該方法包含以下步驟:獲得具有接合表面之載具,獲得具有片接合表面之片, 藉由將片接合表面安置在載具接合表面上來將片接合至載具,控制載具接合表面及片接合表面之表面能以使得在片接合表面與載具接合表面之間引起共價接合的表面能之分量具有一特徵,即,在藉由在以9.2℃/分鐘之速率自室溫至600℃循環、在600℃之溫度下保持達10分鐘且隨後以1℃/分鐘冷卻至300℃的腔室中加熱而使經接合片及載具物件經受溫度循環及隨後自腔室移除物件且允許物件冷卻至室溫之後,載具及片在一者經保持而另一者經受重力時不彼此分離,在溫度循環期間不存在來自載具接合表面與片接合表面之間的界面之釋氣,且片可與載具分離而不使載具及片中之較薄者破裂成兩個或更多個碎片。
根據第二十八態樣,提供態樣26、態樣27或62至態樣65中之任一者之方法,其中在氮氣中執行加熱。
根據第二十九態樣,提供態樣26至態樣28或態樣62至態樣65中之任一者之方法,其中將片接合至載具之表面能具有一特徵,即,物件通過真空相容性測試。
根據第三十態樣,提供態樣29之方法,其中在溫度測試之前執行真空相容性測試。
根據第三十一態樣,提供態樣26至態樣30或態樣62至態樣65中之任一者之方法,其中將片接合至載具之表面能具有一特徵,即,物件通過濕式處理相容性測試。
根據第三十二態樣,提供態樣26至態樣31或態樣62至態樣65中之任一者之方法,其中片與載具之間的接合具 有一特徵,即,物件捱過超音波處理而不會使片自載具分層,其中超音波處理包含第一步驟:將物件放入具有≧50℃之溫度之第一流體槽及使物件經受≧100kHz之超音能達10分鐘。
根據第三十三態樣,提供態樣32之方法,其中超音波處理進一步包含第二步驟:將物件放入具有≧50℃之溫度之第二流體槽及使物件經受≧100kHz之超音波能達10分鐘。
根據第三十四態樣,提供態樣33之方法,其中超音波處理進一步包含第三步驟:將物件放入具有≧50℃之溫度之第三流體槽及使物件經受≧70kHz之超音波能達10分鐘。
根據第三十五態樣,提供態樣34之方法,其中超音波處理進一步包含第四步驟:將物件放入具有≧50℃之溫度之第四流體槽及使物件經受≧100kHz之超音波能達10分鐘。
根據第三十六態樣,提供態樣26至態樣35或態樣62至態樣65中之任一者之方法,其中載具包含玻璃。
根據第三十七態樣,提供態樣26至態樣36或態樣62至態樣65中之任一者之方法,其中無任何表面改質層之載具具有≦2nm之平均表面粗糙度Ra。
根據第三十八態樣,提供態樣26至態樣37或態樣62至態樣65中之任一者之方法,其中載具具有200微米至3mm之厚度。
根據第三十九態樣,提供態樣26至態樣28或態樣62至態樣65中之任一者之方法,其中該片包含玻璃。
根據第四十態樣,提供態樣26至態樣39或態樣62至態樣65中之任一者之方法,其中無任何表面改質層之片具 有≦2nm之平均表面粗糙度Ra。
根據第四十一態樣,提供態樣26至態樣40或態樣62至態樣65中之任一者之方法,其中該片具有≦300微米之厚度。
根據第四十二態樣,提供態樣26至態樣41或態樣62至態樣65中之任一者之方法,其中表面改質層具有0.1nm至100nm之厚度。
根據第四十三態樣,提供態樣26至態樣41或態樣62至態樣65中之任一者之方法,其中表面改質層具有0.1nm至10nm之厚度。
根據第四十四態樣,提供態樣26至態樣41或態樣62至態樣65中之任一者之方法,其中表面改質層具有0.1nm至2nm之厚度。
根據第四十五態樣,提供態樣26至態樣44或態樣62至態樣65中之任一者之方法,其中載具及片中之至少一者為包含具有各自在≦0.05重量%之位準下之砷及銻的不含鹼金屬之鋁矽酸鹽或硼矽酸鹽或鋁硼矽酸鹽玻璃之玻璃。
根據第四十六態樣,提供態樣26至態樣45或態樣62至態樣65中之任一者之方法,其中載具及片中之每一者之大小為Gen 1或更大。
根據第四十七態樣,提供態樣26至態樣46或態樣62至態樣65中之任一者之方法,其中表面改質層包含以下中之一者:a)電漿聚合氟聚合物;及 b)芳族矽烷。
根據第四十八態樣,提供態樣47之方法,其中當表面改質層包含電漿聚合氟聚合物時,表面改質層為以下中之一者:電漿聚合聚四氟乙烯;及自具有≦40% C4F8之CF4-C4F8混合物沉積之電漿聚合氟聚合物表面改質層。
根據第四十九態樣,提供態樣47之方法,其中當表面改質層包含芳族矽烷時,表面改質層為以下中之一者:苯基三乙氧基矽烷;二苯基二乙氧基矽烷;及4-五氟苯基三乙氧基矽烷。
根據第五十態樣,提供態樣47之方法,其中當表面改質層包含芳族矽烷時,表面改質層含有氯苯基或氟苯基、矽基。
根據第五十一態樣,提供一種對玻璃片進行退火之方法,該方法包含以下步驟:堆疊複數個玻璃片,玻璃片中之每一者具有兩個主要表面,以使得界面界定在複數個玻璃片中之相鄰玻璃片之間,其中在面向界面中之一者之主要表面中之至少一者上安置表面改質層;將玻璃片之堆疊暴露於足以壓縮玻璃片之中每一者之時間-溫度循環,其中表面改質層足以貫穿時間-溫度循環控制界定界面中之一者的堆疊中之相鄰玻璃片之間的接合,其中接合經控制具有一力,該力使得在一個片經保持且另一片經受重力時該片不與另一片分離,但使得該等片可分離而不使該等玻璃片 中之相鄰玻璃片中之一者破裂成兩個或更多個碎片,且不存在自界面之釋氣。
根據第五十二態樣,提供態樣51之方法,其中時間-溫度循環包括≧400℃但小於玻璃片之應變點之溫度。
根據第五十三態樣,提供態樣51之方法,其中時間-溫度循環包括≧600℃但小於玻璃片之應變點之溫度。
根據第五十四態樣,提供態樣51至53中之任一者之方法,其中表面改質層為HMDS、電漿聚合氟聚合物及芳族矽烷中之一者。
根據第五十五態樣,提供態樣54之方法,其中當表面改質層包含電漿聚合氟聚合物時,表面改質層為以下中之一者:電漿聚合聚四氟乙烯;及自具有≦40% C4F8之CF4-C4F8混合物沉積之電漿聚合氟聚合物表面改質層。
根據第五十六態樣,提供態樣54之方法,其中當表面改質層包含芳族矽烷時,表面改質層為以下中之一者:苯基三乙氧基矽烷;二苯基二乙氧基矽烷;及4-五氟苯基三乙氧基矽烷。
根據第五十七態樣,提供態樣54之方法,其中在不含氧的環境中執行時間-溫度循環。
根據第五十八態樣,提供一種玻璃物件,該玻璃物件包含:載具,該載具具有載具接合表面;表面改質層,該表面改質層安置在載具接合表面上,其中表面改質層經配置以使得當載具接合表面與玻璃片接合表 面接合,同時表面改質層在該載具接合表面與該玻璃片接合表面之間時,在藉由在以9.2℃/分鐘之速率自室溫至400℃循環、在400℃之溫度下保持達10分鐘且隨後以1℃/分鐘冷卻至300℃的腔室中加熱而使物件經受溫度循環及隨後自腔室移除物件且允許物件冷卻至室溫之後,載具及片在一者經保持而另一者經受重力時不彼此分離,且該片可與載具分離而不使載具及片中之較薄者破裂成兩個或更多個碎片,且進一步地,其中表面改質層經配置以使得當載具接合表面與玻璃片接合表面接合,同時表面改質層在該載具接合表面與該玻璃片接合表面之間以形成物件時,在藉由在以9.2℃/分鐘之速率自室溫至450℃循環、在450℃之溫度下保持達10分鐘且隨後以爐速率冷卻至200℃的腔室中加熱而使物件經受第二溫度循環及隨後自腔室移除物件且允許物件冷卻至室溫之後,表面改質層在該第二溫度循環期間未釋氣。
根據第五十九態樣,提供一種玻璃物件,該玻璃物件包含:載具,該載具具有載具接合表面;片,該片具有片接合表面;表面改質層,該表面改質層安置在載具接合表面及片接合表面中之一者上,載具接合表面與片接合表面接合,同時表面改質層在該載具接合表面與該片接合表面之間,其中將片接合至載具的表面能具有一特徵,即,在藉由在以9.2℃/分鐘之速率自室溫至400℃循環、在400℃之溫度下保持達10分鐘且隨後以1 ℃/分鐘冷卻至300℃的腔室中加熱而使物件經受溫度循環及隨後自腔室移除物件且允許物件冷卻至室溫之後,載具及片在一者經保持而另一者經受重力時不彼此分離,且該片可與載具分離而不使載具及片中之較薄者破裂成兩個或更多個碎片,且進一步地,其中表面改質層經配置以使得當載具接合表面與玻璃片接合表面接合,同時表面改質層在該載具接合表面與該玻璃片接合表面之間以形成物件時,在藉由在以9.2℃/分鐘之速率自室溫至450℃循環、在450℃之溫度下保持達10分鐘且隨後以爐速率冷卻至200℃的腔室中加熱而使物件經受第二溫度循環及隨後自腔室移除物件且允許物件冷卻至室溫之後,表面改質層在第二溫度循環期間未釋氣。
根據第六十態樣,提供態樣1、2、58或59中之任一者之玻璃物件,其中根據釋氣測試#2,釋氣經界定為≧5之%氣泡面積改變。
根據第六十一態樣,提供根據態樣1、態樣2或態樣58至態樣60中之任一者之玻璃物件,其中根據釋氣測試#1,釋氣經界定為在450℃(或600℃)之測試極限溫度下覆蓋物之表面能≧15mJ/m2之改變。
根據第六十二態樣,提供一種製造玻璃物件之方法,該方法包含以下步驟:獲得具有接合表面之載具,控制載具接合表面之表面能以使得當載具與具有接合表面之玻璃片接合時,在片接合表面與載具接合表面之間引起共價接合的表面能之分量具有一特徵,即,在藉由在以9.2℃ /分鐘之速率自室溫至400℃循環、在400℃之溫度下保持達10分鐘且隨後以1℃/分鐘冷卻至300℃的腔室中加熱而使經接合片及載具物件經受溫度循環及隨後自腔室移除物件且允許物件冷卻至室溫之後,該載具及片在一者經保持而另一者經受重力時不彼此分離,且片可與載具分離而不使載具及片中之較薄者破裂成兩個或更多個碎片,及進一步控制載具接合表面,以使得當載具接合表面與玻璃片接合表面接合以形成物件時,在藉由在以9.2℃/分鐘之速率自室溫至450℃循環、在450℃之溫度下保持達10分鐘且隨後以爐速率冷卻至200℃的腔室中加熱而使物件經受第二溫度循環及隨後自腔室移除物件且允許物件冷卻至室溫之後,載具接合表面在第二溫度循環期間未釋氣。
根據第六十三態樣,提供一種製造玻璃物件之方法,該方法包含以下步驟:獲得具有接合表面之載具,獲得具有片接合表面之片,藉由將片接合表面安置在載具接合表面上來將片接合至載具,控制載具接合表面及片接合表面之表面能以使得在片接合表面及載具接合表面之間引起共價接合的表面能之分量具有一特徵,即,在藉由在以9.2℃/分鐘之速率自室溫至400℃循環、在400℃之溫度下保持達10分鐘且隨後以1℃/分鐘冷卻至300℃的腔室中加熱而使經接合片及載具物件經受溫度循環及隨後自腔室移除物件且允許物件冷卻至室溫之後,載 具及片在一者經保持而另一者經受重力時不彼此分離,且該片可與載具分離而不使載具及片中之較薄者破裂成兩個或更多個碎片,及控制片接合表面與載具接合表面之間的界面以使得在藉由在以9.2℃/分鐘之速率自室溫至450℃循環、在450℃之溫度下保持達10分鐘且隨後以爐速率冷卻至200℃的腔室中加熱而使經接合片及載具物件經受第二溫度循環及隨後自腔室移除物件且允許物件冷卻至室溫之後,載具接合表面及片接合表面在第二溫度循環期間未釋氣。
根據第六十四態樣,提供態樣26、27、62或63中之任一者之方法,其中根據釋氣測試#2,釋氣經界定為≧5之%氣泡面積改變。
根據第六十五態樣,提供根據態樣26、態樣27或態樣62至態樣64中之任一者之方法,其中根據釋氣測試#1,釋氣界定為在450℃(或600℃)之測試極限溫度下覆蓋物之表面能≧15mJ/m2之改變。
2‧‧‧玻璃物件
8‧‧‧厚度
10‧‧‧載具
12‧‧‧第一表面
14‧‧‧接合表面
16‧‧‧周邊
18‧‧‧厚度
20‧‧‧薄片
22‧‧‧第一表面
24‧‧‧接合表面
26‧‧‧周邊
28‧‧‧厚度
30‧‧‧表面改質層
38‧‧‧厚度

Claims (18)

  1. 一種玻璃物件,該玻璃物件包含:一載具,該載具具有一載具接合表面;一片,該片具有一片接合表面;一表面改質層,該表面改質層安置在該載具接合表面及該片接合表面中之一者上,該載具接合表面與該片接合表面接合,同時該表面改質層在該載具接合表面與該片接合表面之間,其中將該片接合至該載具的表面能具有一特徵,即,在藉由在以9.2℃/分鐘之一速率自室溫至400℃、在400℃之一溫度下保持達10分鐘且隨後以1℃/分鐘冷卻至300℃循環的一腔室中加熱而使該物件經受一溫度循環、隨後自該腔室移除該物件且允許該物件冷卻至室溫之後,在該載具及該片的一者經保持而另一者經受重力時不彼此分離,且該片可與該載具分離而不使該載具及該片中之較薄者破裂成兩個或更多個碎片,且進一步地,其中該表面改質層經配置以使得當該載具接合表面與一玻璃片接合表面接合,同時該表面改質層在該載具接合表面與該玻璃片接合表面之間以形成一物件時,在藉由在以9.2℃/分鐘之一速率自室溫至450℃、在450℃之一溫度下保持達10分鐘且隨後以爐速率冷卻至200℃循環的一腔室中加熱而使該物件經受一第二溫度循環、隨後自該腔室移除該物件且允許該物件冷卻至室溫之後,該表面改質層在該第二溫度循環期間未釋氣。
  2. 如請求項1所述之玻璃物件,其中該加熱在氮氣中執行。
  3. 如請求項1所述之玻璃物件,其中該表面改質層具有0.1nm至100nm之一厚度。
  4. 如請求項1所述之玻璃物件,其中該表面改質層包含以下中之一者:a)一電漿聚合氟聚合物,及b)一芳族矽烷。
  5. 如請求項4所述之玻璃物件,其中當該表面改質層包含一電漿聚合氟聚合物時,該表面改質層為以下中之一者:電漿聚合聚四氟乙烯;及自具有≦40% C4F8之CF4-C4F8混合物沉積之一電漿聚合氟聚合物表面改質層。
  6. 如請求項4所述之玻璃物件,其中當該表面改質層包含一芳族矽烷時,該表面改質層為以下中之一者:苯基三乙氧基矽烷;二苯基二乙氧基矽烷;及4-五氟苯基三乙氧基矽烷。
  7. 如請求項4所述之玻璃物件,其中當該表面改質層包含一芳族矽烷時,該表面改質層含有氯苯基或氟苯基、矽基。
  8. 如請求項1所述之玻璃物件,其中根據釋氣測試#2,釋氣經界定為≧5的一%氣泡面積改變。
  9. 如請求項1所述之玻璃物件,其中根據釋氣測試#1,釋氣經界定為在450℃之一測試極限溫度下該覆蓋物之表面能≧15mJ/m2之一改變。
  10. 一種製造一玻璃物件之方法,該方法包含以下步驟:獲得具有一接合表面之一載具,獲得具有一片接合表面之一片;藉由將該片接合表面安置在該載具接合表面上來將該片接合至該載具,控制該載具接合表面之該表面能及該片接合表面之該表面能,以使得在該片接合表面及該載具接合表面之間引起共價接合的該表面能之一分量具有一特徵,即,在藉由在以9.2℃/分鐘之一速率自室溫至400℃、在400℃之一溫度下保持達10分鐘且隨後以1℃/分鐘冷卻至300℃循環的一腔室中加熱而使該經接合之片及載具物件經受一溫度循環、隨後自該腔室移除該物件且允許該物件冷卻至室溫之後,該載具及片在一者經保持而另一者經受重力時不彼此分離,且該片可與該載具分離而不使該載具及該片中之該較薄者破裂成兩個或更多個碎片,及控制該片接合表面與該載具接合表面之間的界面以使得在藉由在以9.2℃/分鐘之一速率自室溫至450℃、在450℃之一溫度下保持達10分鐘且隨後以爐速率冷卻至200℃循環的一腔室中加熱而使該經接合之片及載具物件經受一第二溫度 循環、隨後自該腔室移除該物件且允許該物件冷卻至室溫之後,該載具接合表面及片接合表面在該第二溫度循環期間未釋氣。
  11. 如請求項10所述之方法,其中該加熱在氮氣中執行。
  12. 如請求項10所述之方法,其中該表面改質層具有0.1nm至100nm之一厚度。
  13. 如請求項10所述之方法,其中該表面改質層包含以下中之一者:a)一電漿聚合氟聚合物,及b)一芳族矽烷。
  14. 如請求項13所述之方法,其中當該表面改質層包含一電漿聚合氟聚合物時,該表面改質層為以下中之一者:電漿聚合聚四氟乙烯;及自具有≦40% C4F8之CF4-C4F8混合物沉積之一電漿聚合氟聚合物表面改質層。
  15. 如請求項13所述之方法,其中當該表面改質層包含一芳族矽烷時,該表面改質層為以下中之一者:苯基三乙氧基矽烷;二苯基二乙氧基矽烷;及4-五氟苯基三乙氧基矽烷。
  16. 如請求項13所述之方法,其中當該表面改質層包含一芳 族矽烷時,該表面改質層含有氯苯基或氟苯基、矽基。
  17. 如請求項10所述之方法,其中根據釋氣測試#2,釋氣經界定為≧5的一%氣泡面積改變。
  18. 如請求項10所述之方法,其中根據釋氣測試#1,釋氣經界定為在450℃之一測試極限溫度下該覆蓋物之表面能≧15mJ/m2之一改變。
TW103134783A 2013-10-07 2014-10-06 用於玻璃片與載具的受控接合的玻璃物件及方法 TW201529298A (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201361887681P 2013-10-07 2013-10-07

Publications (1)

Publication Number Publication Date
TW201529298A true TW201529298A (zh) 2015-08-01

Family

ID=51743571

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103134783A TW201529298A (zh) 2013-10-07 2014-10-06 用於玻璃片與載具的受控接合的玻璃物件及方法

Country Status (8)

Country Link
US (1) US20150099110A1 (zh)
EP (1) EP3055263A1 (zh)
JP (1) JP2017500259A (zh)
KR (1) KR20160066039A (zh)
CN (1) CN106103371A (zh)
SG (1) SG11201602714YA (zh)
TW (1) TW201529298A (zh)
WO (1) WO2015054098A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI757257B (zh) * 2015-11-19 2022-03-11 美商康寧公司 玻璃物件以及黏合玻璃片與載體之方法

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10543662B2 (en) 2012-02-08 2020-01-28 Corning Incorporated Device modified substrate article and methods for making
US9725357B2 (en) 2012-10-12 2017-08-08 Corning Incorporated Glass articles having films with moderate adhesion and retained strength
JP6323957B2 (ja) 2012-10-12 2018-05-16 コーニング インコーポレイテッド 残留強度を有する物品
US9340443B2 (en) 2012-12-13 2016-05-17 Corning Incorporated Bulk annealing of glass sheets
TWI617437B (zh) 2012-12-13 2018-03-11 康寧公司 促進控制薄片與載體間接合之處理
US10086584B2 (en) 2012-12-13 2018-10-02 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
US10014177B2 (en) 2012-12-13 2018-07-03 Corning Incorporated Methods for processing electronic devices
US10510576B2 (en) 2013-10-14 2019-12-17 Corning Incorporated Carrier-bonding methods and articles for semiconductor and interposer processing
JP6770432B2 (ja) 2014-01-27 2020-10-14 コーニング インコーポレイテッド 薄いシートの担体との制御された結合のための物品および方法
SG11201608442TA (en) 2014-04-09 2016-11-29 Corning Inc Device modified substrate article and methods for making
WO2016187186A1 (en) * 2015-05-19 2016-11-24 Corning Incorporated Articles and methods for bonding sheets with carriers
CN117534339A (zh) 2015-06-26 2024-02-09 康宁股份有限公司 包含板材和载体的方法和制品
US9756641B2 (en) * 2015-07-07 2017-09-05 Qualcomm Incorporated Dynamic signaling of LTE-TDD configurations in the presence of D2D transmissions
CN109562985A (zh) * 2016-08-10 2019-04-02 康宁股份有限公司 利用静电卡盘和范德华力涂覆玻璃基材的设备和方法
TW202216444A (zh) * 2016-08-30 2022-05-01 美商康寧公司 用於片材接合的矽氧烷電漿聚合物
TWI810161B (zh) 2016-08-31 2023-08-01 美商康寧公司 具以可控制式黏結的薄片之製品及製作其之方法
TWI767948B (zh) 2016-10-31 2022-06-21 美商康寧公司 層狀可彎曲耐刺穿玻璃物件及製造方法
TWI766041B (zh) * 2017-06-14 2022-06-01 美商康寧公司 控制壓實的方法
CN111372903A (zh) 2017-11-20 2020-07-03 康宁股份有限公司 使用阳离子表面活性剂和/或有机盐的玻璃对的临时结合
JP7431160B2 (ja) 2017-12-15 2024-02-14 コーニング インコーポレイテッド 基板を処理するための方法および結合されたシートを含む物品を製造するための方法
US11035807B2 (en) 2018-03-07 2021-06-15 General Electric Company Thermal interposer for a cryogenic cooling system
KR20220092722A (ko) * 2020-12-24 2022-07-04 삼성디스플레이 주식회사 윈도우, 그 윈도우의 제조 방법, 및 그 윈도우를 포함하는 표시 장치
CN117800583B (zh) * 2024-03-01 2024-05-14 潍坊盛辉玻璃有限公司 一种玻璃生产用切割平台

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101242951B (zh) * 2005-08-09 2012-10-31 旭硝子株式会社 薄板玻璃层压体以及利用薄板玻璃层压体的显示装置的制造方法
US9847243B2 (en) * 2009-08-27 2017-12-19 Corning Incorporated Debonding a glass substrate from carrier using ultrasonic wave
WO2011024690A1 (ja) * 2009-08-27 2011-03-03 旭硝子株式会社 フレキシブル基材-支持体の積層構造体、支持体付き電子デバイス用パネル、および電子デバイス用パネルの製造方法
CN102574371B (zh) * 2009-10-20 2015-10-07 旭硝子株式会社 玻璃层叠体、带支承体的显示装置用面板、显示装置用面板、显示装置及它们的制造方法
US9156230B2 (en) * 2010-01-12 2015-10-13 Nippon Electric Glass Co., Ltd. Glass film laminate without adhesive
US10155361B2 (en) * 2011-11-09 2018-12-18 Corning Incorporated Method of binding nanoparticles to glass
TWI617437B (zh) * 2012-12-13 2018-03-11 康寧公司 促進控制薄片與載體間接合之處理
EP2932496A4 (en) * 2012-12-13 2016-11-02 Corning Inc GLASS AND METHOD FOR PRODUCING GLASS ARTICLES
US10086584B2 (en) * 2012-12-13 2018-10-02 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI757257B (zh) * 2015-11-19 2022-03-11 美商康寧公司 玻璃物件以及黏合玻璃片與載體之方法

Also Published As

Publication number Publication date
EP3055263A1 (en) 2016-08-17
JP2017500259A (ja) 2017-01-05
US20150099110A1 (en) 2015-04-09
SG11201602714YA (en) 2016-05-30
CN106103371A (zh) 2016-11-09
KR20160066039A (ko) 2016-06-09
WO2015054098A1 (en) 2015-04-16

Similar Documents

Publication Publication Date Title
TW201529298A (zh) 用於玻璃片與載具的受控接合的玻璃物件及方法
TWI601699B (zh) 用於玻璃片與載具的受控接合之玻璃物件及方法
TWI604955B (zh) 處理oled元件之方法
US10538452B2 (en) Bulk annealing of glass sheets
JP6434096B2 (ja) ガラスシートのバルクアニール
US20150329415A1 (en) Glass and methods of making glass articles
JP2016508106A (ja) シートとキャリアとの間の結合を制御するための促進された加工