CN106133899B - 用于半导体和插入物加工的载体粘结方法和制品 - Google Patents

用于半导体和插入物加工的载体粘结方法和制品 Download PDF

Info

Publication number
CN106133899B
CN106133899B CN201480068280.8A CN201480068280A CN106133899B CN 106133899 B CN106133899 B CN 106133899B CN 201480068280 A CN201480068280 A CN 201480068280A CN 106133899 B CN106133899 B CN 106133899B
Authority
CN
China
Prior art keywords
carrier
sheet material
bonding
temperature
thin slice
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201480068280.8A
Other languages
English (en)
Other versions
CN106133899A (zh
Inventor
D·G·伊妮克斯
J·T·基奇
A·B·肖瑞
W·P·托马斯三世
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Corning Inc
Original Assignee
Corning Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Corning Inc filed Critical Corning Inc
Publication of CN106133899A publication Critical patent/CN106133899A/zh
Application granted granted Critical
Publication of CN106133899B publication Critical patent/CN106133899B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/486Via connections through the substrate with or without pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/14Mountings, e.g. non-detachable insulating substrates characterised by the material or its electrical properties
    • H01L23/15Ceramic or glass substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68318Auxiliary support including means facilitating the separation of a device or wafer from the auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • H01L2221/68331Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding of passive members, e.g. die mounting substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68345Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during the manufacture of self supporting substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68381Details of chemical or physical process used for separating the auxiliary support from a device or wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/83052Detaching layer connectors, e.g. after testing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/8385Bonding techniques using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/053Oxides composed of metals from groups of the periodic table
    • H01L2924/054313th Group
    • H01L2924/05432Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/053Oxides composed of metals from groups of the periodic table
    • H01L2924/054414th Group
    • H01L2924/05442SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/10251Elemental semiconductors, i.e. Group IV
    • H01L2924/10253Silicon [Si]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1027IV
    • H01L2924/10271Silicon-germanium [SiGe]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1032III-V
    • H01L2924/10329Gallium arsenide [GaAs]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1032III-V
    • H01L2924/1033Gallium nitride [GaN]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12041LED
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1432Central processing unit [CPU]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/146Mixed devices
    • H01L2924/1461MEMS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/156Material
    • H01L2924/15786Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • H01L2924/15788Glasses, e.g. amorphous oxides, nitrides or fluorides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/20Parameters
    • H01L2924/201Temperature ranges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/20Parameters
    • H01L2924/201Temperature ranges
    • H01L2924/20107Temperature range 250 C=<T<300 C, 523.15K =<T< 573.15K
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/20Parameters
    • H01L2924/201Temperature ranges
    • H01L2924/2011Temperature range 400 C=<T<450 C, 673.15K =<T< 723.15K
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/20Parameters
    • H01L2924/206Length ranges
    • H01L2924/2064Length ranges larger or equal to 1 micron less than 100 microns
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/20Parameters
    • H01L2924/206Length ranges
    • H01L2924/20641Length ranges larger or equal to 100 microns less than 200 microns
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/20Parameters
    • H01L2924/206Length ranges
    • H01L2924/20642Length ranges larger or equal to 200 microns less than 300 microns

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Recrystallisation Techniques (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Pressure Welding/Diffusion-Bonding (AREA)
  • Laminated Bodies (AREA)

Abstract

经由表面改性层(30)将薄片(20)布置在载体(10)上,以形成制品(2),其中,制品可经受高温加工(如FEOL半导体加工),而不发生脱气并且将薄片维持在载体上,不在加工过程中发生分离,但是在室温剥离作用力之后发生分离,使得薄片和载体中较薄的那个保持完好。可以在薄片上形成具有孔(60)的阵列(50)的插入物(56),装置(66)形成在插入物上。或者,薄片可以是基材,在FEOL加工期间,在其上形成半导体电路。

Description

用于半导体和插入物加工的载体粘结方法和制品
背景技术
本申请要求2013年10月14日提交的美国临时申请系列第61/890524号的优先权,本文以该申请为基础并将其全文通过引用结合于此。
发明领域
本发明一般地涉及与较薄的基材发生粘结并进行去除的载体,从而实现较薄基材的加工。更具体地,本发明涉及用于将晶片与载体粘结进行半导体和/或插入物加工,然后在此类加工之后将晶片从载体脱粘结的方法和设备。
背景技术
通过在半导体晶片上或者其中形成有源器件,来制造半导体装置。半导体晶片可包括例如,玻璃、硅、多晶硅、单晶硅、氧化硅、氧化铝,它们的组合,和/或类似物质。通常在单个晶片上制造成百上千的集成电路(IC)或模。通常来说,在晶片上依次沉积并图案化多层绝缘、传导和半导体材料层,以形成IC。最上形成的材料层中的一种通常包括用于粘结垫的层,其使得与下方有源区域和晶片内的组件产生电连接。
在IC形成之后,可以使得晶片经受背侧加工。背侧加工可包括使得晶片变薄,从而制备用于封装的晶片。例如,在一些技术中,背侧加工可包括形成与贯穿基材的孔的电连接,所述贯穿基材的孔形成通过晶片来提供背侧接触。在该例子中,晶片的背侧通过诸如研磨之类的工艺变薄,从而在晶片的背侧上使得导电孔发生暴露。这种晶片的变薄工艺会对晶片的边缘造成损坏,并且会使得晶片在后续穿的晶片传输和加工过程中甚至更为易碎和易于受损。
为了帮助减轻这些类型的损坏,通常使得载体与晶片附连。采用粘合剂来对该载体进行附连,并且旨在能够通过对载体进行操作从而对晶片进行操作。此外,载体的强度增加对晶片进行支撑,从而由于传输和/或加工引起的应力不会损坏晶片。
发明内容
典型的载体可以是采用粘合剂与晶片附连的玻璃基材。但是,发现在加工过程中,晶片可能发生弯曲,并且典型的载体不能提供足够的支撑来防止弯曲。由于晶片翘曲的结果,加工可能失败和/或引起报警状态。IC制造的第一部分(其中,有源晶体管、电阻器和RC电路,以及与晶体管互联的局部配线在半导体中图案化)是所谓的生产线前道(FEOL)工序。FEOL工序还可包括:井形成;栅模块形成;源模块和漏模块形成;DRIE(干反应性离子蚀刻);PVD,Ti或Cu或者其他;CVD TiN或其他;PECVD SiO2或其他;电镀Cu(或其他);Cu(或其他)退火;度量衡法(metrology)(X射线或其他);Cu(或其他)CMP(化学机械抛光);Cu(H2O2+H2SO4)+Ti(DHF)湿蚀刻;喷溅粘附层(Ti或其他);喷溅晶种层(Cu或其他);平版印刷(光刻胶、曝光、剥光(strip)、蚀刻Cu)。由于与FEOL工序相关的部分高温(例如,≥500℃,在一些情况下500-650℃,以及在一些情况下高至700℃)工艺,无法使用许多基于粘合剂的解决方案,因为他们可能无法保持粘结和/或它们可能脱气产生污染物。许多粘合剂甚至在低得多的温度(例如约为300℃)就发生脱气。IC制造中,在横跨单个芯片的较长距离进行连接并且到达离开芯片位置的粗配线与晶片上的配线互联的制造线部分是所谓的生产线后道(BEOL)配线。BEOL加工还可包括以下一种或多种:形成接触件、绝缘层、互联配线、RF屏蔽、钝化、ESD保护、粘结垫和其他用于芯片-封装解决方案的粘结位点。虽然BEOL加工温度通常低于FEOL加工温度,但是电介质沉积通常发生在350-450℃,并且大多数的粘合剂在这些较低温度下发生脱气。此外,大多数的临时粘合剂具有高CTE,这与晶片和载体材料是失配的,并且难以在去除它们的同时将精巧微结构留在晶片上保持完好。此外,粘合剂与晶片和/或载体材料之间的CTE错配可能导致晶片不合乎希望的弯曲。此外,当与载体粘结时,粘合剂可能自身找到进入插入物的孔中的道路,并且不合乎希望地防止至少部分孔的金属化。
因此,需要改进的载体-基材解决方案,其能够耐受加工条件,特别是FEOL加工的高温要求。此外,能够耐受FEOL的苛刻条件并且还在其后提供易于脱粘结的载体-基材解决方案会实现能够从开始时就使用较薄的初始基材,从而减轻对于背端薄化的需求。也就是说,现有常规半导体工具设计成以大于或等于约500微米来加工晶片。但是,对于载体支撑晶片的情况,总厚度仅需要在工具的加工厚度范围内。因此,例如,可以使用厚度为400微米的载体来支撑100微米的晶片,将该组合在现有的半导体工具中进行加工。对于本发明的解决方案,归因于甚至在高温加工之后实现容易分离的受控粘结,可以将100微米的晶片用作基材,从而避免了浪费和在晶片上形成器件之后的薄化的潜在产率下降。耐受FEOL加工的能力会实现从厚度≤200微米(例如,200、190、180、170、160、150、140、130、120、110、100、90、80、70、60、50、40、30或20微米)的晶片开始载体-基材解决方案。该厚度(例如≤200微米)的晶片能够与载体附连,加工,然后从载体去除。例如,当使用多晶硅或单晶硅晶片作为基材时,这会是主要优势,因为可以避免非常昂贵材料的去除和浪费;可以以其刚形成的厚度来对材料进行简单加工。
此外,3D IC技术已经被半导体工业广泛地接受作为主要的技术趋势来改善半导体性能,而不需要甚至更为昂贵的先进平版印刷解决方案或者不需要更大的芯片尺寸来容纳更多的电路。用于3D IC的该技术依赖于薄化的硅IC,并且还依赖于插入物来对直接位于平面构造(2.5D IC)和堆叠薄化IC(3D IC)中的单个插入物上的IC之间的电信号进行再分布。
这些插入物可以由多晶硅、单晶硅或者玻璃制造,通过将路径长度从数毫米降低到数微米,实现了通讯速度的急剧改善。这种新技术的领先应用是例如现场可编程栅阵列(FPGA),赛灵思公司(Xilinx)(美国加利福尼亚州圣何塞(San Jose,CA,USA))制造的高端专用功能。
插入物的特征是厚度约为50-100um,现今的尺度是200-300mm OD,长期来看,倾向于更大尺寸的面板。孔(通过所述孔在金属化之后对电信号进行加工)是5-150um OD,密度通常为1-20个孔每平方毫米,这取决于设计和应用。将插入物限定为是薄的,因为厚的插入物导致不可接受的形状因子(高度)和性能(热)阻碍。薄通常指的是约为100微米,但是通常不超过200微米。另一方面,半导体的国际技术路线图(ITRS)允许低至50um的厚度。再次,这些厚度的基材通常无法在现有工具中进行加工。因而,本文预期了使用载体的优势,其甚至可以在高温加工过程中与晶片保持附连,并且在此类加工之后仍然能够容易地脱除晶片。
虽然插入物技术是新的,但是主要的插入物基材是单晶硅,玻璃兴起作为替代品。玻璃的吸引力在于性能和成本,但是现在还不存在解决方案来实现玻璃的这些优点。本发明的理念将能够实现各种薄基材(包括硅和玻璃)以及在各种条件下(包括FEOL和BEOL)加工作为晶片,以提供各种器件(包括IC、RC电路和插入物)。
本发明的粘结解决方案能够通过所有现有要求的加工步骤,以高产率和低加工时间,以最终厚度玻璃以及薄化硅的薄形式来进行加工。在薄晶片在通过金属化、分布层放置进行加工之后,其可发生脱粘结,留下薄化且经过加工的插入物和/或IC完好。此外,使用已经薄化(约为≤200微米)的硅晶片的载体,实现了在其上加工任意器件之前对晶片进行印刷。因此,可以降低成本和/或改善产率。
鉴于此,需要一种薄片-载体制品,其能够耐受FEOL加工的严格条件,包括高温加工(不发生会与其将要用于的半导体或显示器制造工艺不相容的脱气),还实现从载体去除整个薄片面积(一次性全部去除,或者分区段去除)。本说明书描述了控制载体和薄片之间的粘附的方式,从而产生临时粘结,其足够强从而可以通过FEOL加工(包括高温加工),但是足够弱从而可以实现片材与载体的去粘结,甚至在是高温加工之后。更具体地,本文提供了表面改性层(包括各种材料和相关的表面热处理),其可以提供在薄片和/或载体上,以同时控制薄片和载体之间的室温范德华和/或氢键合以及高温共价粘合。甚至更具体地,可以控制室温粘结,从而足以在真空加工、湿加工和/或超声清洁加工期间,将薄片和载体保持在一起。与此同时,可以控制高温共价键合,从而防止在高温加工过程中薄片和载体之间的永久粘结,并且维持足够的粘结以防止高温加工过程期间的脱层。在替代实施方式中,表面改性层可用于产生各种受控粘结区域(其中,载体和片材在各个加工过程中(包括真空加工、湿加工和/或超声清洁加工)保持足够的粘结)。此外,一些表面改性层提供了载体和片材之间的粘结控制,同时降低了FPD(例如LTPS)加工环境中的苛刻条件(包括例如高温和/或真空加工)下的脱气排放。
在以下的详细描述中给出了本发明的附加特征和优点,其中的部分特征和优点对本领域的技术人员而言由所述内容而容易理解,或按文字描述和附图实施各个方面而被认识。应理解,前面的一般性描述和以下的详细描述都只是各个方面的示例,用来提供理解要求保护的本发明的性质和特性的总体评述或框架。
所含附图用于进一步理解本发明的原理,附图被结合在本说明书中并构成说明书的一部分。附图图示说明了本发明的一个或多个实施方式,并与说明书一起用来说明例如本发明的原理和操作。应理解,在本说明书和附图中揭示的各种特征可以以任意和所有的组合使用。作为非限制性的例子,可以按以下的方面中所述,将各种特征相互组合:
根据第1个方面,提供了一种制品,该制品包括:
具有载体粘结表面的载体;
其中具有至少一个孔的片材,所述片材还包括片材粘结表面;
表面改性层;
所述载体粘结表面与所述片材粘结表面粘结,所述表面改性层位于其间,其中,所述表面改性层具有该特性:在使得制品经受如下温度循环之后(所述温度循环是通过如下方式进行的:在室中加热,以9.2℃每分钟的速率从室温循环到500℃,在500℃的温度保持10分钟,然后以炉速率冷却至300℃,以及然后从室取出制品并使得制品冷却至室温),载体和片材不发生相互分离,(如果举起其中一个而另一个经受重力作用力的情况下),以及片材可以与载体发生分离(当在室温下进行分离时,载体和片材中较薄的那个没有破裂成两块或者更多块)。
根据第2个方面,提供了一种制品,该制品包括:
具有载体粘结表面的载体;
其中具有至少一个孔的片材,所述片材还包括片材粘结表面;
表面改性层;
所述载体粘结表面与所述片材粘结表面粘结,所述表面改性层位于其间,其中,所述表面改性层具有该特性:在使得制品经受如下温度循环之后(所述温度循环是通过如下方式进行的:在室中加热,以9.2℃每分钟的速率从室温循环到400℃,在400℃的温度保持10分钟,然后以炉速率冷却至300℃,以及然后从室取出制品并使得制品冷却至室温),载体和片材不发生相互分离,(如果举起其中一个而另一个经受重力作用力的情况下),根据测试#2没有从表面改性层发生脱气,以及片材可以与载体发生分离(当在室温下进行分离时,载体和片材中较薄的那个没有破裂成两块或者更多块)。
根据第3个方面,提供了第1或第2个方面的制品,所述片材包括硅、石英、蓝宝石、陶瓷或玻璃。
根据第4个方面,提供了第1个方面的制品,片材厚度≤200微米。
根据第5个方面,提供了一种制品,该制品包括:
具有载体粘结表面的载体;
晶片片材,其包括≤200微米的厚度,片材还包括片材粘结表面,片材包括硅、石英或蓝宝石;
表面改性层;
所述载体粘结表面与所述片材粘结表面粘结,所述表面改性层位于其间,其中,所述表面改性层具有该特性:在使得制品经受如下温度循环之后(所述温度循环是通过如下方式进行的:在室中加热,以9.2℃每分钟的速率从室温循环到500℃,在500℃的温度保持10分钟,然后以炉速率冷却至300℃,以及然后从室取出制品并使得制品冷却至室温),载体和片材不发生相互分离,(如果举起其中一个而另一个经受重力作用力的情况下),以及片材可以与载体发生分离(当在室温下进行分离时,载体和片材中较薄的那个没有破裂成两块或者更多块)。
根据第6个方面,提供了一种制品,该制品包括:
具有载体粘结表面的载体;
晶片片材,其包括≤200微米的厚度,片材还包括片材粘结表面,片材包括硅、石英或蓝宝石;
表面改性层;
所述载体粘结表面与所述片材粘结表面粘结,所述表面改性层位于其间,其中,所述表面改性层具有该特性:在使得制品经受如下温度循环之后(所述温度循环是通过如下方式进行的:在室中加热,以9.2℃每分钟的速率从室温循环到400℃,在400℃的温度保持10分钟,然后以炉速率冷却至300℃,以及然后从室取出制品并使得制品冷却至室温),载体和片材不发生相互分离,(如果举起其中一个而另一个经受重力作用力的情况下),根据测试#2没有从表面改性层发生脱气,以及片材可以与载体发生分离(当在室温下进行分离时,载体和片材中较薄的那个没有破裂成两块或者更多块)。
根据第7个方面,提供了第5或第6个方面的制品,片材在其中还包括至少一个孔。
根据第8个方面,提供了第1-4、7个方面中任一项的制品,所述至少一个孔的直径≤150微米。
根据第9个方面,提供了第1-4、7、8个方面中任一项的制品,所述至少一个孔在其中包括导电材料。
根据第10个方面,提供了第1-9个方面中任一项的制品,片材包括与片材粘结表面相反的器件表面,所述器件表面包括选自下组的器件阵列:集成电路;MEMS;CPU;微传感器;功率半导体;发光二极管;光子电路;插入物;嵌入式无源器件;以及制造在硅、硅-锗、砷化镓和氮化镓上或者由硅、硅-锗、砷化镓和氮化镓制造的微器件。
根据第11个方面,提供了第1-9个方面中任一项的制品,片材包括与片材粘结表面相反的器件表面,所述器件表面包括选自下组的至少一种结构:焊接凸起;金属柱状物;金属柱;互联路径;互联线;绝缘氧化物层;以及由选自下组的材料形成的结构:硅、多晶硅、二氧化硅、(氧)氮化硅、金属、低k电介质、聚合物电介质、金属氮化物和金属硅化物。
根据第12个方面,提供了第1-11方面中任一项的制品,其中,在氮气中进行加热。
根据第13个方面,提供了第1、3-5、7-12个方面中任一项的制品,其中,在加热过程中,没有来自表面改性层的脱气,其中,来自表面改性层的脱气定义为以下至少一种:
(a)根据脱气测试#1,在600℃的测试限温度,覆盖的表面能变化≥15mJ/m2;以及
(b)根据脱气测试#2,在600℃的测试限温度,气泡面积的%变化≥5。
根据第14个方面,提供了第1-13个方面中任一项的制品,表面改性层包括以下一种:
a)等离子体聚合化的含氟聚合物;以及
b)芳族硅烷。
根据第15个方面,提供了第1-14个方面中任一项的制品,表面改性层的厚度是0.1-100nm。
根据第16个方面,提供了第1-15个方面中任一项的制品,载体包括玻璃。
根据第17个方面,提供了第1-16个方面中任一项的制品,其中,载体和片材中的至少一个的粘结表面包括≥100平方厘米的面积。
根据第18个方面,提供了制造插入物的方法,该方法包括:
获得具有载体粘结表面的载体;
获得其中具有至少一个孔的片材,片材还包括片材粘结表面,其中,载体粘结表面和片材粘结表面中的至少一个包括在其上的表面改性层;
将具有粘结表面和表面改性层的载体和片材粘结,以形成制品;
使得制品经受生产线前道(FEOL)工序,其中,在FEOL加工之后,载体和片材没有相互分离(如果举起其中一个而另一个经受重力作用力的情况下);
在没有使得载体和片材中较薄的那个破裂成两块或更多块的情况下,从载体去除片材。
根据第19个方面,提供了第18个方面的方法,片材包括硅、石英、蓝宝石、陶瓷或玻璃。
根据第20个方面,提供了第18个方面的方法,片材厚度≤200微米。
根据第21个方面,提供了一种对硅晶片片材进行加工的方法,所述方法包括:
获得具有载体粘结表面的载体;
获得厚度≤200微米的晶片片材,片材包括硅、石英或蓝宝石,片材还包括片材粘结表面,其中,载体粘结表面和片材粘结表面中的至少一个包括在其上的表面改性层;
将具有粘结表面和表面改性层的载体和片材粘结,以形成制品;
使得制品经受生产线前道(FEOL)工序,其中,在FEOL工序之后,载体和片材没有相互分离(如果举起其中一个而另一个经受重力作用力的情况下);
在没有使得载体和片材中较薄的那个破裂成两块或更多块的情况下,从载体去除片材。
根据第22个方面,提供了第21个方面的方法,片材在其中还包括至少一个孔。
根据第23个方面,提供第18-22个方面中任一项的方法,其中,FEOL加工包括500-700℃的加工室温度。
根据第24个方面,提供了第18-22个方面中任一项的方法,其中,FEOL加工包括以下至少一种:DRIE(干反应性离子蚀刻);PVD;CVD TiN;PECVD SiO2;电镀Cu;Cu退火;度量衡法;Cu CMP;Cu(H2O2+H2SO4)+Ti(DHF)湿蚀刻;喷溅粘附层;喷溅晶种层;平版印刷(光刻胶、曝光、剥光(strip)、蚀刻Cu)。
根据第25个方面,提供了第18-20、22-24个方面中任一项的方法,所述至少一个孔的直径≤150微米。
根据第26个方面,提供了第18-20、22-25个方面中任一项的方法,所述至少一个孔在其中包括导电材料。
根据第27个方面,提供了第18-26个方面中任一项的方法,片材包括与片材粘结表面相反的器件表面,所述器件表面包括选自下组的器件阵列:集成电路;MEMS;CPU;微传感器;功率半导体;发光二极管;光子电路;插入物;嵌入式无源器件;以及制造在硅、硅-锗、砷化镓和氮化镓上或者由硅、硅-锗、砷化镓和氮化镓制造的微器件。
根据第28个方面,提供了第18-26个方面中任一项的方法,片材包括与片材粘结表面相反的器件表面,所述器件表面包括选自下组的至少一种结构:焊接凸起;金属柱状物;金属柱;互联路径;互联线;绝缘氧化物层;以及由选自下组的材料形成的结构:硅、多晶硅、二氧化硅、(氧)氮化硅、金属、低k电介质、聚合物电介质、金属氮化物和金属硅化物。
根据第29个方面,提供了第18-28方面中任一项的方法,其中,在氮气中进行加热。
根据第30个方面,提供了第18-29个方面中任一项的方法,其中,在加热过程中,没有来自表面改性层的脱气,其中,来自表面改性层的脱气定义为以下至少一种:
(a)根据脱气测试#1,在600℃的测试限温度,覆盖的表面能变化≥15mJ/m2;以及
(b)根据脱气测试#2,在600℃的测试限温度,气泡面积的%变化≥5。
根据第31个方面,提供了第18-30个方面中任一项的方法,表面改性层包括以下一种:
a)等离子体聚合化的含氟聚合物;以及
b)芳族硅烷。
根据第32个方面,提供了第18-31个方面中任一项的方法,表面改性层的厚度是0.1-100nm。
根据第33个方面,提供了第18-32个方面中任一项的方法,载体包括玻璃。
根据第34个方面,提供了第18-33个方面中任一项的方法,其中,载体和片材中的至少一个的粘结表面包括≥100平方厘米的面积。
附图说明
图1是制品的侧视示意图,所述制品具有与薄片粘结的载体,它们之间具有表面改性层。
图2是图1的制品的分解和部分剖视图。
图3是二氧化硅上的表面羟基浓度与温度的关系图。
图4是SC1清洁的玻璃片的表面能与退火温度的关系图。
图5是沉积到玻璃片上的含氟聚合物薄膜的表面能与制造该膜的组分材料中的一种的百分比的关系图。
图6是测试设定的示意图。
图7是(图6的测试设定的不同部分的)表面能与不同条件下各种材料的时间的总图。
图8是对于各种材料,%气泡区域变化与温度的关系图。
图9是对于各种材料,%气泡区域变化与温度的另一关系图。
图10是具有插入物的薄片和载体的俯视图。
图11是图10中的薄片和载体沿线11-11的横截面图。
图12是类似于图11的横截面图,但是在薄片上布置有额外的器件。
具体实施方式
在以下的详述中,为了说明而非限制,给出了说明具体细节的示例性实施方式,以提供对本发明的各个原理的充分理解。但是,对于本领域普通技术人员显而易见的是,在从本说明书获益后,可以以不同于本文详述的其它实施方式实施本发明。此外,可能省略了对于众所周知的器件、方法和材料的描述,以免混淆本发明的各个原理的描述。最后,在任何适用的情况下,相同的附图标记表示相同的元件。
本文中,范围可以表示为从“约”一个具体值和/或到“约”另一个具体值的范围。当表示这样一个范围的时候,另一个实施方式包括从一个特定值和/或到另一个特定值。类似地,当使用前缀“约”表示数值为近似值时,应理解,具体数值形成另一个实施方式。还应理解的是,每个范围的端点值在与另一个端点值有关和与另一个端点值无关时,都是有意义的。
本文所用的方向术语,例如上、下、左、右、前、后、顶、底,仅仅是参照绘制的附图而言,并不用来表示绝对的取向。
除非另有表述,否则都不旨在将本文所述的任意方法理解为需要使其步骤以具体顺序进行。因此,当方法权利要求实际上没有陈述为其步骤遵循一定的顺序或者其没有在权利要求书或说明书中以任意其他方式具体表示步骤限于具体的顺序,都不旨在暗示该任意特定顺序。这样同样适用于任何可能的未明确表述的解释依据,包括:关于设置步骤或操作流程的逻辑;由语法结构或标点获得的一般含义;说明书所述的实施方式的数量或种类。
如本文中所用,单数形式的“一个”、“一种”和“该”包括复数指代形式,除非文中另有明确说明。因此,例如,提到的一种“组件”包括具有两种或更多种这类组件的方面,除非文本中有另外的明确表示。
希望能够从载体去除薄片或其部分,从而对不止一块薄片基材进行加工。本文提供了制品和方法,其实现了使得薄片通过高温加工来进行加工,(其中,高温加工是在≥400℃的温度进行加工,并且可以取决于制造的装置类型发生变化,例如在无定形硅或无定形氧化铟镓锌(IGZO)背板加工中温度高至约450℃,在晶体IGZO加工中高至约500-550℃,或者在典型LTPS加工过程中高至约600-650℃),并且仍然能够在不损坏薄片或载体(例如,载体和薄片之一破裂或碎裂成两片或更多片)的情况下,容易地从载体去除薄片。虽然提到了具体工艺,但是它们仅仅是具有特定温度要求的示例性工艺。当然,如果薄片和载体可用于上述工艺中的任意一种,则它可用于具有相似温度要求的不同工艺。
如图1和2所示,制品2具有厚度8,并且其包括具有厚度18的载体10,具有厚度28的薄片20(即,厚度≤300微米,包括但不限于如下厚度,例如10-50微米、50-100微米、100-150微米、150-300微米、300、250、200、190、180、170、160、150、140、130、120、110、100、90、80、70、60、50、40、30、20或者10微米),以及具有厚度38的表面改性层30。制品2设计成虽然薄片20自身≤300微米,但是允许在设计用于更厚的片材(即,约为≥.4mm,例如.4mm、.5mm、.6mm、.7mm、.8mm、.9mm或者1.0mm)的装置中加工薄片20。也就是说,(作为厚度18、28和38的总和的)厚度8设计成相当于更厚片材的厚度,对于所述更厚片材,其设计成用例如设计成基材片上布置电子器件组件的设备的片设备进行加工。例如,如果加工设备设计成用于700微米片材,并且薄片的厚度28为300微米,则选择厚度18为400微米,假定厚度38是可忽略不计的。也就是说,表面改性层30没有按比例显示;相反地,仅仅是出于示意性目的,其被极大地放大了。此外,在剖视图中显示表面改性层。实际上,表面改性层会被均匀地布置在粘结表面14上。通常,厚度38会是纳米级的,例如0.1-2.0或高至10nm,以及在一些情况下可高至100nm。可以通过偏振光椭圆率测量仪测量厚度38。此外,可以通过表面化学分析,例如通过西姆斯飞行时间质谱(ToF Sims mass spectrometry),来检测表面改性层的存在。因此,厚度38对于制品厚度8的贡献是可以忽略的,并且可以在计算确定用于加工具有厚度28的给定薄片20的载体10的合适厚度18时忽略掉。但是,对于表面改性层30具有任意明显厚度38的程度的情况下,可以考虑其来确定对于薄片20的给定厚度28的载体10的厚度18,以及用于设计加工设备的给定厚度。
载体10具有第一表面12、粘结表面14、周界16和厚度18。此外,载体10可以是任意合适材料,包括例如玻璃。载体不一定是玻璃,作为替代,可以是陶瓷、玻璃-陶瓷或者金属(因为可以以下文关于玻璃载体所述的类似方式来控制表面能和/或粘结)。如果是由玻璃制造的话,则载体10可以是任意合适的组成,包括铝硅酸盐、硼硅酸盐、铝硼硅酸盐、钠钙硅酸盐,并且取决于其最终用途,可以是含碱性或者不含碱性的。厚度18可以约为0.2-3mm或更大,例如0.2、0.3、0.4、0.5、0.6、0.65、0.7、1.0、2.0或3mm,或者更大,并且会取决于厚度28和厚度38(当如上文所述其是不可忽略的情况)。此外,可以由单层制造载体10(如所示),或者由粘结在一起的多层(包括多片薄片)制造。此外,载体可以是Gen 1尺寸或更大,例如Gen 2、Gen 3、Gen 4、Gen5、Gen 8或更大(例如片尺寸为100mm x 100mm至3m x 3m,或更大)。
薄片20具有第一表面22、粘结表面24、周界26和厚度28。周界16和26可以是任意合适形状,可以是相互相同或者可以是相互不同的。此外,薄片20可以是任意合适的材料,包括:例如,硅、多晶硅、单晶硅、蓝宝石、石英、玻璃、陶瓷或者玻璃-陶瓷。当由玻璃制造时,则载体20可以是任意合适的组成,包括铝硅酸盐、硼硅酸盐、铝硼硅酸盐、钠钙硅酸盐,并且取决于其最终用途,可以是含碱性或者不含碱性的。薄片的热膨胀系数可以与载体的热膨胀系数较为紧密匹配,以防止在提升温度下的加工过程中制品的翘曲。薄片20的厚度28可以小于或等于300微米,如上文所述。此外,薄片可以是Gen 1尺寸或更大,例如Gen 2、Gen 3、Gen 4、Gen 5、Gen 8或更大(例如片尺寸为100mm x 100mm至3m x 3m,或更大)。
制品2不仅需要具有在现有设备中进行加工的正确厚度,其还会需要能够经受住进行加工所处的苛刻环境。例如,加工可以包括湿超声、真空和高温(例如,≥400℃)加工。如上文所述,对于一些加工,温度可能≥500℃,或者≥600℃,以及高至650℃。
为了能够经受住制品2将要进行加工的苛刻环境,粘结表面14应该与粘结表面24粘结,该粘结具有足够的强度从而使得薄片20不与载体10发生分离。并且该强度应该维持通过加工,使得在加工过程中,薄片20不与载体10发生分离。此外,为了能够从载体10去除薄片20(从而可以重新使用载体10),粘结表面14与粘结表面24的粘结不应该过于牢固,其方式如下:通过初始设计的粘结作用力,和/或通过由于例如当制品经受高温(例如≥400℃的温度)下的加工时可能发生的由于初始设计的粘结作用力发生改性而产生的粘结作用力。表面改性层30可用于控制粘结表面14和粘结表面24之间的粘结强度,从而同时实现这些目的。通过如下方式实现受控的粘结作用力:控制范德华(和/或氢键)键合以及共价吸引能对于总粘附能的贡献,其是通过调节薄片20和载体10的极性和非极性表面能分量得以控制。该受控的粘结足够强,能够经受住加工(包括湿加工、超声加工、真空加工和热加工(包括温度≥400℃,在一些情况下,加工温度≥500℃或≥600℃以及高至650℃)),并且通过施加足够的分离作用力以及不会引起薄片20和/或载体10的灾难性的损坏的作用力仍是可去粘结的。此类去粘结实现了去除薄片20以及其上制造的器件,并且还实现了载体10的再次使用。
虽然表面改性层30显示为薄片20和载体10之间的固体层,但是不一定是这种情况。例如,层30可以约为0.1-2nm厚,并且可能没有完全覆盖粘结表面14的每一处。例如,覆盖率可以≤100%,1-100%,10-100%,20-90%,或者50-90%。在其他实施方式中,层30可以高至10nm厚,或者在其他实施方式中,甚至高至100nm厚。表面改性层30可以被认为是布置在载体10和薄片20之间,即使它可能不与载体10和薄片20中的一个或另一个发生接触。在任意情况下,表面改性层30的一个重要方面在于,其改变了粘结表面14与粘结表面24发生粘结的能力,从而控制了载体10和薄片20之间的粘结强度。表面改性层30的材料和厚度以及粘结表面14、24在粘结之前的处理,可以被用于控制载体10和薄片20之间的粘结强度(粘合能)。
通常来说,根据“A theory for the estimation of surface and interfacialenergies.I.derivation and application to interfacial tension(表面和界面能的评估理论I,界面张力的推导和应用)”,L.A.Girifalco和R.J.Good,J.Phys.Chem.,第61期,第904页,两个表面之间的粘合能如下:
W=γ1212 (1)
其中γ1、γ2和γ12分别是表面1、表面2的表面能以及表面1和2的界面能。单个表面能通常是如下两者的组合:色散分量γd和极性分量γp
γ=γdp (2)
当粘合主要是由于伦敦色散力(γd)和极性作用力(例如氢键,γp)时,可以通过上文所述的Girifalco和R.J.Good,以如下方式给出界面能:
在将(3)代入(1)之后,粘合能可以近似计算如下:
在上式(4)中,仅仅考虑了粘合能的范德华(和/或氢键)键合分量。它们包括极性-极性相互作用(基桑力(Keesom))、极性-非极性相互作用(德拜力(Debye))以及非极性-非极性相互作用(伦敦力(London))。但是,也可能存在其他引力能,例如共价键和静电结合。因此,作为更一般化形式,上式写作:
其中wc和we是共价粘合能和静电粘合能。共价粘合能是相当普遍的,在硅晶片粘合中,晶片的初始氢键对被加热到较高温度,将许多或全部的硅烷醇-硅烷醇氢键转化成Si-O-Si共价键。虽然初始、室温氢键产生约为100-200mJ/m2的粘合能(其允许粘结表面的分离),在高温(约为400-800℃)加工过程中实现的完全共价键合晶片对具有约为1000-3000mJ/m2的粘合能(其不允许粘结表面的分离);相反地,两块晶片是作为整体的。另一方面,如果两个表面都完美涂覆有低表面能材料(例如含氟聚合物),厚度足够大从而屏蔽下方基材的影响,则粘合能会是涂覆材料的粘合能,并且会是非常低的,导致粘结表面14、24之间的低粘附或者没有粘附,从而无法在载体10上加工薄片20。考虑两种极端情况:(a)两个标准清洁1(本领域已知为SC1)清洁的、充满硅烷醇基团的玻璃表面在室温下通过氢键合结合在一起(粘合能约为100-200mJ/m2),之后通过加热至高温,其将硅烷醇转化为共价Si-O-Si键(粘合能变成1000-3000mJ/m2)。后者的这种粘合能对于待分离的玻璃表面对过高;以及(b)完美地涂覆具有低表面能(约为12mJ/m2每个表面)的含氟聚合物的两个玻璃表面在室温粘结,并加热至高温。在后者(b)的情况下,不仅表面没有粘结(因为当表面放置在一起的时候,约为24mJ/m2的总粘合能过低),它们在高温下也没有粘结,因为不存在或者存在过少的极性反应基团。在这两种极端情况之间,存在例如50-1000mJ/m2的粘合能范围,其可以产生所需程度的受控粘结。因此,本发明的发明人发现了提供产生处于这两种极端情况之间的粘合能的表面改性层30的各种方式,从而可以产生受控粘结,其足以维持相互粘结的基材对(例如,载体10和薄片20)通过FPD加工的苛刻条件,但是其程度(即使是在例如≥400℃的高温加工之后)也能够允许在完成加工之后分离薄片20与载体10。此外,可以通过机械作用力,以至少不使得薄片20发生灾难性损坏(优选还使得载体10也不发生灾难性损坏)的方式,进行从载体10分离薄片20。
等式(5)描述了粘合能是四个表面能参数加上共价能和静电能(如果存在的话)的函数。
可以通过表面改性剂(即,表面改性层30和/或粘结之前的表面热处理)的明智选择来实现合适的粘合能。可以通过如下方式获得合适的粘合能:选择粘结表面14和/或粘结表面24的化学改性剂,其进而同时控制范德华(和/或氢键,这些术语在本说明书全文可互换使用)粘合能以及由于高温加工(例如,约为≥400℃)产生的可能的共价键合粘合能。例如,选取SC1清洁过的玻璃的粘结表面(其初始充满具有表面能的高极性分量的硅烷醇基团)并对其涂覆低能含氟聚合物,通过极性和非极性基团,控制了表面的部分覆盖。这不仅提供了对于室温下的初始范德华(和/或氢键)键合的控制,还提供了较高温下的共价键合的程度/度的控制。进行室温下的初始范德华(和/或氢键)键合的控制,从而提供一个表面与另一个表面的粘结,以实现真空和或旋转-冲洗-干燥(SRD)型加工,在一些情况下,还提供了一个表面与另一个表面的易于形成的结合,其中,所述易于形成的结合可以在室温下进行,而不用像用刮板或者用减压环境来压制薄片20至载体10那样,在薄片20的整个面积上施加外部施加的作用力。也就是说,初始范德华键合至少提供了将薄片和载体保持在一起的最小的粘结程度,从而使得如果当举着其中一个而另一个经受重力时,他们不会分离。在大多数情况下,初始范德华(和/或氢键)键合的程度会是如下:制品还可通过真空、SRD和超声加工,而不使得薄片与载体分离。通过表面改性层30(包括其制造材料和/或向其施加的表面的表面处理)和/或通过粘结表面在它们粘结在一起之前的热处理,将范德华(和/或氢键)键合以及共价相互作用都保持在合适水平的这种精密控制,实现了所需的粘合能,其允许薄片20与载体10在整个FPD型加工中是粘结的,与此同时,在FPD型加工之后,(通过避免损坏薄片20和/或载体的合适的作用力)实现薄片20与载体10的分离。此外,在合适的情况下,可以向一个或两个玻璃表面施加静电荷,以提供另一水平的粘合能的控制。
高温加工,(FPD加工,例如p-Si和氧化物TFT制造)通常涉及高于400℃、高于500℃以及一些情况下大于或等于600℃、高至650℃的温度下的热加工,这会导致薄片20与载体10在不存在表面改性层30的情况下发生玻璃与玻璃的粘结。因此,控制Si-O-Si键合的形成产生了可再次使用的载体。控制Si-O-Si键合在提升的温度下的形成的一种方法是降低待结合的表面上的表面羟基的浓度。
如图3所示,其是二氧化硅上的表面羟基浓度与温度关系的厄尔曲线(R.K.Iller,“二氧化硅化学”,威利国际科学,纽约,1979(Wiley-Interscience,New York,1979)),每平米纳米上羟基(OH基团)的数量随着表面温度的增加而减少。因此,加热二氧化硅表面(类似于玻璃表面,例如粘结表面14和/或粘结表面24)降低了表面羟基的浓度,降低了两个玻璃表面上的羟基会发生相互作用的可能性。这种表面羟基浓度的下降进而降低了每单位面积形成的Si-O-Si键,降低了粘合力。但是,消除表面羟基需要高温下(高于750℃以完全消除表面羟基)的长退火时间。如此长的退火时间和高的退火温度导致昂贵工艺,并且其是不现实的,因为这可能高于通常显示器玻璃的应变点。
通过如上分析,本发明的发明人发现可以通过平衡以下三个概念,来制造适用于高温加工(例如,FPD加工,包括LTPS加工)的包含薄片和载体的制品:
(1)通过控制初始室温粘结来改性载体和/或薄片粘结表面,其可以通过控制范德华(和/或氢键)键合来完成,从而产生中等粘合能(例如,在表面粘结之前每个表面>40mJ/m2的表面能)以促进初始室温粘结,并且足以经受住非高温FPD加工,例如真空加工、SRD加工和/或超声加工;
(2)以如下方式进行载体和/或薄片的表面改性:其对于经受高温加工是热稳定的,不发生会导致分层和/或装置制造中不可接受的污染(例如,对于可能使用制品的半导体和/或显示器制造工艺是不可接受的污染物)的脱气;以及
(3)可以通过控制载体表面羟基浓度、以及在提升温度下(例如≥400℃的温度)下能够形成强共价键的其他物质的浓度,来控制高温粘结,从而可以将载体和薄片的粘结表面之间的粘结能控制成使得即使是在高温加工(特别是通过500-650℃的热加工)之后,载体和薄片之间的粘合力保持在允许以至少不会损坏薄片(优选不会损坏薄片或载体)的分离作用力,将薄片与载体去粘结,并且仍足以维持载体和薄片之间的粘结使得它们在加工过程中不发生分层。
此外,本发明的发明人发现,使用表面改性层30,连同合适的粘结表面准备,可以平衡上述概念,从而容易地实现受控的粘结区域,即这样的粘结区域,其提供薄片20和载体10之间充分的室温粘结,以允许在FPD型加工(包括真空加工和湿加工)中加工制品2,并且还控制了薄片20和载体10(甚至是在≥400℃的升高的温度下)的共价键合,从而允许在制品2完成了高温加工(例如FPD型加工或LTPS加工)之后,从载体10去除薄片20(至少不会损坏薄片,优选也不会损坏载体)。为了评估可能的粘结表面准备和表面改性层(其会提供适用于高温加工的从载体完全分离薄片),使用一系列测试来对它们的适用性分别进行评估。不同的FPD应用具有不同的要求,但是LTPS和氧化物TFT加工看上去是目前为止最为严格的,因此,选择这些工艺中代表性步骤的测试,因为它们对于制品2是所希望的应用。真空加工、湿清洁(包括SRD和超声类型加工)以及湿蚀刻对于许多FPD应用是常见的。通常,Si TFT制造要求高至320℃的加工。在氧化物TFT工艺中,使用400℃的退火,而在LTPS加工中,使用超过600℃的结晶和掺杂剂活化步骤。因此,使用如下5种测试来评估特定的粘结表面准备和表面改性层30会允许薄片20与载体10在整个FPD加工中保持粘结,同时在此类加工(包括≥400℃的温度下的加工)之后,允许从载体10去除薄片20(而不损坏薄片20和/或载体10)的可能性。依次进行测试,将样品从一个测试前进到下一个测试,除非存在会不允许后续测试的失效类型。
(1)真空测试。在(购自英国纽波特的SPTS公司(SPTS,Newport,UK))的STS多路PECVD负载闭锁装置(STS Multiplex PECVD loadlock)中,进行真空相容性测试,通过软泵阀,用Ebara A10S干泵(购自加利福尼亚州萨克拉门托市荏原技术有限公司(EbaraTechnologies Inc.,Sacramento,CA))对负载闭锁装置进行泵送。将样品放在负载闭锁装置中,然后在45秒内,将负载闭锁装置从大气压泵送下降到70毫托。失效,在下表的“真空”列中表示为符号“F”,如果符合以下情况的话则视作失效:(a)载体和薄片之间的粘合发生损耗(通过裸眼视觉观察,如果薄片离开载体或者薄片与载体部分脱粘结,则视为发生失效);(b)在载体和薄片之间存在气泡(通过裸眼视觉观察确定,在加工之前和之后对样品进行拍照,然后进行对比,如果对于裸眼看得到的尺度,缺陷的尺寸增加,则确定发生失效);或者(c)薄片相对于载体发生移动(通过裸眼视觉观察确定,在测试之前和之后进行拍照,如果存在粘结缺陷(例如气泡)的移动、或者如果边缘脱粘结或者存在薄片在载体上的移动,则视为发生失效)。在下表中,“真空”列中的符号“P”表示样品通过前述标准,没有失效。
(2)湿加工测试。采用(购自加利福尼亚州圣克拉拉市的应用材料公司(AppliedMaterials,Santa Clara,CA))的Semitool型SRD-470S,进行湿加工相容性测试。测试由如下构成:60秒的500rpm冲洗,以500rpm进行Q-清洗至15莫姆,500rpm的10秒吹扫,1800rpm的90秒干燥,以及暖流氮气下的2400rpm的180秒干燥。失效,在下表的“SRD”列中表示为符号“F”,如果符合以下情况的话则视作失效:(a)载体和薄片之间的粘合发生损耗(通过裸眼视觉观察,如果薄片离开载体或者薄片与载体部分脱粘结,则视为发生失效);(b)在载体和薄片之间存在气泡(通过裸眼视觉观察确定,在加工之前和之后对样品进行拍照,然后进行对比,如果对于裸眼看得到的尺度,缺陷的尺寸增加,则确定发生失效);或者(c)薄片相对于载体发生移动(通过裸眼视觉观察确定,在测试之前和之后对样品进行拍照,如果存在粘结缺陷(例如气泡)的移动、或者如果边缘脱粘结或者存在薄片在载体上的移动,则视为发生失效);或者(d)薄片下方的水渗透(50倍光学显微镜的视觉观察确定,如果可以观察到液体或残留,则确定发生失效)。在下表中,“SRD”列中的符号“P”表示样品通过前述标准,没有失效。
(3)至400℃温度的测试。采用(购自加利福尼亚州圣克拉拉市的Alwin21公司(Alwin21,Santa Clara,CA))的Alwin21Accuthermo610RTP,进行400℃加工相容性测试。将粘结有薄片的载体在室内进行如下循环加热:以6.2℃/分钟从室温到400℃,在400℃保持600秒,以及以1℃/分钟冷却到300℃。然后将载体和薄片冷却至室温。失效,在下表的“400℃”列中表示为符号“F”,如果符合以下情况的话则视作失效:(a)载体和薄片之间的粘合发生损耗(通过裸眼视觉观察,如果薄片离开载体或者薄片与载体部分脱粘结,则视为发生失效);(b)在载体和薄片之间存在气泡(通过裸眼视觉观察确定,在加工之前和之后对样品进行拍照,然后进行对比,如果对于裸眼看得到的尺度,缺陷的尺寸增加,则确定发生失效);或者(c)载体和薄片之间粘合的增加,该粘合的增加阻碍了在不损坏薄片或载体的情况下使得薄片与载体发生去粘结(通过在薄片和载体之间插入剃刀片,和/或将2-3”附着到100平方毫米薄玻璃的一片KaptonTM条(1”宽x 6”长,(购自纽约州湖沙克的圣戈班性能塑料公司(Saint Gobain Performance Plastic,Hoosik NY)的K102系列)粘到薄片并拉动条),如果在尝试分离薄片和载体的时候薄片或载体发生损坏,或者通过任一去粘结方法无法使得薄片和载体去粘结,则视为发生失效。此外,在薄片与载体粘结之后以及在热循环之前,在代表性样品上进行去粘结测试,以确定特定的材料(包括任意相关表面处理)不允许薄片与载体在温度循环之前发生去粘结。在下表中,“400℃”列中的符号“P”表示样品通过前述标准,没有失效。
(4)至600℃温度的测试。采用Alwin21Accuthermo610RTP进行600℃加工相容性测试。将薄片和载体在室内进行如下循环加热:以9.5℃/分钟从室温到600℃,在600℃保持600秒,然后以1℃/分钟冷却到300℃。然后将载体和薄片冷却至室温。失效,在下表的“600℃”列中表示为符号“F”,如果符合以下情况的话则视作失效:(a)载体和薄片之间的粘合发生损耗(通过裸眼视觉观察,如果薄片离开载体或者薄片与载体部分脱粘结,则视为发生失效);(b)在载体和薄片之间存在气泡(通过裸眼视觉观察确定,在加工之前和之后对样品进行拍照,然后进行对比,如果对于裸眼看得到的尺度,缺陷的尺寸增加,则确定发生失效);或者(c)载体和薄片之间粘合的增加,该粘合的增加阻碍了在不损坏薄片或载体的情况下使得薄片与载体发生去粘结(通过在薄片和载体之间插入剃刀片,和/或将如上所述的KaptonTM条粘到薄片并拉动条),如果在尝试分离薄片和载体的时候薄片或载体发生损坏,或者通过任一去粘结方法无法使得薄片和载体去粘结,则视为发生失效。此外,在薄片与载体粘结之后以及在热循环之前,在代表性样品上进行去粘结测试,以确定特定的材料(以及任意相关表面处理)确实允许薄片与载体在温度循环之前发生去粘结。在下表中,“600℃”列中的符号“P”表示样品通过前述标准,没有失效。
(5)超声测试。通过在四罐线中清洁制品来进行超声相容性测试,其中使得制品从罐#1至罐#4依次在每个罐中进行处理。4个罐每个的罐尺寸为18.4”L x 10”W x 15”D。两个清洁罐(#1和#2)含有50℃的DI水中的1%的半清洁KG(Semiclean KG)(购自日本横滨的横滨油脂工业有限公司(Yokohama Oils and Fats Industry Co.,Ltd.,Japan))。用NEYprosonik 2 104kHz超声产生器(购自纽约州詹姆斯敦黑石-NEY超声公司(Blackstone-NEYUltrasonics,Jamestown,NY))振动清洁罐#1,用NEY prosonik 2 104kHz超声产生器振动清洁罐#2。两个清洗罐(罐#3和罐#4)含有50℃的DI水。用NEY sweepsonik2D 72kHz超声产生器振动清洗罐#3,用NEY sweepsonik 2D 104kHz超声产生器振动清洗罐#4。罐#1-4每个进行10分钟的过程,之后将样品从罐#4取出之后进行旋转清洁干燥(SRD)。失效,在下表的“超声”列中表示为符号“F”,如果符合以下情况的话则视作失效:(a)载体和薄片之间的粘合发生损耗(通过裸眼视觉观察,如果薄片离开载体或者薄片与载体部分脱粘结,则视为发生失效);(b)在载体和薄片之间存在气泡(通过裸眼视觉观察确定,在加工之前和之后对样品进行拍照,然后进行对比,如果对于裸眼看得到的尺度,缺陷的尺寸增加,则确定发生失效);或者(c)形成其他粗缺陷(50倍光学显微镜的视觉观察确定,如果在薄片和载体之间俘获了之前未观察到的颗粒,则视为发生失效);或者(d)薄片下方的水渗透(50倍光学显微镜的视觉观察确定,如果可以观察到液体或残留,则确定发生失效)。在下表中,“超声”列中的符号“P”表示样品通过前述标准,没有失效。此外,在下表中,“超声”列中的空白表示没有对样品以这种方式进行测试。
通过加热经由羟基减少来准备粘结表面
通过对具有载体10和薄片20但是其间没有表面改性层30的制品2进行加工,来证实用表面改性层30对粘结表面14、24的一个或多个进行改性从而使得制品2能够成功地经受FPD加工(即,在加工过程中,薄片20与载体10保持粘结,并且在包括高温加工的加工之后,还可以与载体10分离)的益处。具体来说,首先尝试通过加热来减少羟基基团,但是没有使用表面改性层30,来制备粘结表面14、24。清洁载体10和薄片20,将粘结表面14和24相互粘结,然后对制品2进行测试。用于制备进行粘结的玻璃的典型清洁过程是SC1清洁过程,其中,将玻璃在稀过氧化氢和碱(通常是氢氧化铵,但是也可使用氢氧化四甲基铵溶液,例如JT Baker JTB-100或JTB-111)中进行清洁。清洁从粘结表面去除了颗粒,使得表面能是已知的,即它提供了表面能的基线。清洁方式不一定是SC1,也可使用其他类型的清洁,如可能对于表面上的硅烷醇基团仅仅具有非常小影响的清洁类型。各个测试结果如下表1所示。
通过对薄玻璃片和玻璃载体进行简单清洁来产生牢固、但是可分离的初始室温或范德华和/或氢键,所述薄玻璃片为100平方毫米x100微米厚,所述玻璃载体是150mm直径的单平均平坦(SMF)晶片,厚度为0.50或0.63mm,它们分别包括Eagle显示器玻璃(购自纽约州康宁市康宁有限公司(Corning Incorporated,Corning,NY)的平均表面粗糙度Ra约为0.2nm的无碱性铝硼硅酸盐玻璃)。在该例子中,玻璃在40:1:2的DI水:JTB-111:过氧化氢的65℃浴中清洁10分钟。薄玻璃或者玻璃载体可以在400℃的氮气中退火10分钟或者不在其中进行退火,以去除残留水,下表1的“载体”列或者“薄玻璃”列中的符号“400℃”表示样品在400℃的氮气中退火10分钟。FPD加工相容性测试证实,该SC1-SC1初始、室温粘结是机械牢固的,足以通过真空、SRD和超声测试。但是,在大于或等于400℃进行加热在薄玻璃和载体之间产生了永久粘结,即无法在不损伤薄玻璃片和/或载体的情况下从载体去除薄玻璃片。甚至对于实施例1c也是这种情况,其中载体和薄玻璃分别具有退火步骤来降低表面羟基浓度。因此,上文所述的仅通过加热来准备粘结表面14、24,然后使得载体10和薄片12在没有表面改性层30的情况下粘结,对于(温度会≥400℃的)加工不是合适的受控粘结。
表1:SC1处理的玻璃粘结表面的加工相容性测试
实施例 载体 薄玻璃 真空 SRD 400C 600C 超声
1a SC1 SC1 P P F F P
1b SC1,400C SC1 P P F F P
1c SC1,400C SC1,400C P P F F P
通过减少羟基和表面改性层来准备粘结表面
可以将通过例如热处理进行的羟基减少和表面改性层30一起使用,来控制粘结表面14、24的相互作用。例如,可以控制粘结表面14、24的粘结能(同时有由于极性/色散能分量所导致的室温下的范德华和/或氢键合,以及由于共价能分量的高温下的共价键合),从而提供不同的粘结强度,从室温粘结(其难以实现简单的室温粘结并在高温加工之后分离粘结表面)到在高温加工之后防止表面在不发生损坏的情况下分离的情况。在一些应用中,可能希望没有粘结或者具有非常弱的粘结。在其他应用中,例如为高温工艺提供载体(其中,可能实现≥500℃或者≥600℃,以及高至650℃的加工温度),希望在室温下具有充分的范德华和/或氢键合,以初始地使得薄片和载体置于一起,并且还防止或限制高温共价键合。对于其他应用,可能希望具有充分的室温粘结,以初始地使得薄片和载体置于一起,并且还在高温下建立强共价键合。虽然不希望受到理论的限制,但是相信,在一些情况下,表面改性层可用于控制当薄片和载体初始放置在一起时的室温粘结,而表面上的羟基基团的减少(例如,通过对表面进行加热或者通过羟基基团与表面改性层的反应),可用于控制共价键合,特别是处于高温时。
用于表面改性层30的材料可以为粘结表面14、24提供表面仅产生弱粘结的能量(例如,能量<40mJ/m2,从一个表面测得,包括极性和色散分量)。在一个例子中,六甲基二硅氮烷(HMDS)可用于通过与表面羟基反应留下三甲基甲硅烷基(TMS)封端的表面,来产生该低能量表面。HMDS作为表面改性层可以与表面加热一起使用,来减少羟基浓度,以控制室温和高温粘结。通过分别为粘结表面14、24选择合适的粘结表面制备,可以实现具有一定能力范围的制品。更具体地,出于高温加工的兴趣,可以在薄片20和载体10之间实现合适的粘结,从而经受(或通过)真空SRD、400℃(部分a和c)和600℃(部分a和c)加工测试中的每一项。
在一个例子中,在对薄玻璃和载体都进行SC1清洁然后是HMDS处理之后,产生了弱粘结表面,其在室温下以范德华(和/或氢键合)粘结是具有挑战性的。施加机械作用力,以使得薄玻璃与载体粘结。如表2的实施例2a所示,该粘结足够弱到在真空测试和SRD加工中观察到载体的偏折,在400℃和600℃热加工中观察到(可能是由于脱气导致的)气泡,以及在超声加工之后观察到颗粒缺陷。
在另一个例子中,仅仅一个表面(在所引述实施例中是载体)的HMDS处理产生强室温粘合,其经受住了真空和SRD加工。但是,400℃以及更高的热加工使得薄玻璃与载体发生永久粘结。这并非是出乎意料的,因为通过Sindorf和Maciel的J.Phys.Chem.1982,86,5208-5219,已经计算出二氧化硅上的三甲基甲硅烷基基团的最大表面覆盖是2.8/nm2,并且通过Suratwala等人在Journal of Non-Crystalline Solids(非晶固体期刊)316(2003)349–363测出为2.7/nm2,相比较对于完全羟基化二氧化硅的羟基浓度为4.6-4.9/nm2。也就是说,虽然三甲基甲硅烷基基团确实与一些表面羟基结合,但是仍然会留下一些未结合的羟基。因此,会预期给予足够的时间和温度,表面硅烷醇基团的缩合使得薄玻璃与载体永久粘结。
通过在HMDS暴露之前加热玻璃表面来减少表面羟基浓度,可以产生不同的表面能,导致表面能的极性分量的增加。这同时降低了在高温下形成共价Si-O-Si键合的驱动力并且导致更为牢固的室温粘结,例如范德华(和/或氢)键合。图4显示在退火之后以及在HMDS处理之后的Eagle显示器玻璃载体的表面能。在HMDS暴露之前,退火温度的增加通过增加极性贡献(线404),增加了HMDS暴露之后总的(极性和色散)表面能(线402)。还看出,通过热处理,色散贡献(线406)对于总表面能而言,很大程度上保持不变。虽然不希望受到理论的限制,但是增加极性分量,从而增加HMDS处理之后的表面中的总能量,看上去是由于因为被HMDS覆盖的子单层TMS,使得甚至在HMDS处理之后仍有一些暴露的玻璃表面区域。
在实施例2b中,在真空中,将薄玻璃片在150℃的温度加热一小时,之后与具有HMDS涂层的未经热处理的载体粘结。薄玻璃片的该热处理不足以阻碍薄玻璃片与载体在≥400℃的温度下发生永久粘结。
如表2的实施例2c-2e所示,改变HMDS暴露之前的玻璃表面的退火温度,可以改变玻璃表面的粘结能,从而控制玻璃载体和薄玻璃片之间的粘结。
在实施例2c中,载体在温度为190℃的真空中退火一小时,之后进行HMDS暴露,以提供表面改性层30。此外,薄玻璃片在450℃的真空中退火一小时,之后与载体粘结。所得到的制品经受住了真空、SRD和400℃测试(部分a和c,但是没有通过部分b,因为气泡增加),但是未通过600℃测试。因此,虽然相比于实施例2b增加了对于高温粘结的抗性,但是这不足以产生用于≥600℃温度下的加工(其中,可以从载体去除所有薄片)的制品。
在实施例2d中,载体在温度为340℃的真空中退火一小时,之后进行HMDS暴露,以提供表面改性层30。再次,薄玻璃片在450℃的真空中退火一小时,之后与载体粘结。结果类似于实施例2c那样,制品经受住了真空、SRD和400℃测试(部分a和c,但是没有通过部分b,因为气泡增加),但是未通过600℃测试。
如实施例2e所示,薄玻璃和载体都在450℃的真空中退火一小时,之后将载体暴露于HMDS,然后使得载体与薄玻璃片粘结,改善了对于永久粘结的温度抗性。两个表面在450℃的退火防止了600℃的RTP退火10分钟之后的永久粘结,也就是说,该样品通过600℃加工测试(部分a和c,但是没有通过部分b,因为气泡增加;对于400℃具有类似结果)。
表2:HMDS表面改性层的加工相容性测试
在上述实施例2a-2e中,载体和薄片分别是Eagle玻璃,其中,载体是150mm直径、630微米厚的SMF晶片,薄片是100平方毫米、100微米厚。通过脉冲气相沉积,在(购自加利福尼亚圣何塞的产量工程系统公司(Yield Engineering Systems,San Jose CA))的YES-5HMDS烘箱中施加HMDS,其是一层原子层厚度(即约为0.2-1nm),但是表面覆盖可能小于一层单层,即部分表面羟基没有被HMDS覆盖,如Maceil和上文所述。由于表面改性层的小厚度,几乎没有会引起装置制造中的污染的脱气风险。此外,如表2的“SC1”符号所示,在热处理和任意后续HMDS处理之前,载体和薄片分别采用SC1过程进行清洁。
实施例2a和实施例2b的对比显示,可以通过改变包含表面改性层的表面数量,来控制薄片和载体之间的粘结能。控制粘结能可用于控制两个粘结表面之间的粘结力。此外,实施例2b-2e的对比显示,可以通过改变粘结表面在施加表面改性材料之前所经受的热处理的参数,来控制表面的粘结能。此外,热处理可用于减少表面羟基数量,从而控制共价键合程度,特别是高温下的共价键合。
可以将以不同方式来控制粘结表面上的表面能的其他材料用于表面改性层30,从而控制两个表面之间的室温和高温粘结力。例如,如果对粘结表面中的一个或两个进行改性,以产生与表面改性层的中等粘结力,所述表面改性层覆盖或者是空间位阻了诸如羟基之类的物质,以防止在提升的温度下在载体和薄片之间形成强永久共价键,则可以从载体完全地去除薄片。产生可调节的表面能并对表面羟基进行覆盖以防止形成共价键的一种方式是沉积等离子体聚合物膜,例如含氟聚合物膜。等离子体聚合在大气压或减压以及来自源气体的等离子体激发(DC或RF平行板,电感耦合等离子体(ICP)电子回旋共振(ECR下游微波或RF等离子)下沉积聚合物薄膜,所述源气体是例如碳氟化合物源(包括CF4、CHF3、C2F6、C3F6、C2F2、CH3F、C4F8、氯氟碳或氢氯氟碳)、烃类(例如烷烃,包括甲烷、乙烷、丙烷、丁烷;烯烃,包括乙烯、丙烯;炔烃,包括乙炔;以及芳烃,包括苯、甲苯)、氢气和其他气体源(例如SF6)。等离子体聚合产生高度交联材料层。反应条件和源气体的控制可以用来控制膜厚度、密度和化学性质,以将官能团调节至所需用途。
图5显示利用(购自英国牛津郡牛津仪器公司(Oxford Instruments,OxfordshireUK))的Oxford ICP380蚀刻工具,从CF4-C4F8混合物沉积的等离子体聚合含氟聚合物(PPFP)膜的总表面能(线502),其包括极性分量(线504)和色散分量(线506)。将膜沉积到Eagle玻璃片上,光谱椭圆对称法显示膜厚为1-10nm。从图5可以看出,用含有小于40%的C4F8的等离子体聚合含氟聚合物膜处理的玻璃载体展现出>40mJ/m2的表面能,并且产生在室温下薄玻璃和载体之间通过范德华或氢键键合的受控粘结。当初始在室温下粘结载体和薄玻璃时,观察到促进的粘结。也就是说,当将薄片放置到载体上,并在一点对它们进行压制时,波阵面移动穿过载体,但是速度低于其上不具有表面改性层的SC1处理表面所观察到的。受控粘结足以经受住所有标准FPD工艺,包括真空加工、湿加工、超声加工和高至600℃的热加工,也就是说,该受控粘结通过600℃加工测试,没有发生薄玻璃与载体的移动或脱层。通过如上文所述用剃刀片和/或KaptonTM带进行剥离,来完成脱粘结。(如上文所述沉积的)两种不同PPFP膜的加工相容性如表3所示。形成的实施例3a的PPFP1具有C4F8/(C4F8+CF4)=0,也就是说,是由CF4/H2而非C4F8形成的;沉积的实施例3b的PPFP 2具有C4F8/(C4F8+CF4)=0.38。这两种类型的PPFP膜都经受住了真空、SRD、400℃和600℃加工测试。但是,在对PPFP 2进行20分钟的超声清洁之后观察到分层,表明没有足够的粘合力以经受此类加工。但是,对于不需要超声加工的一些应用而言,PPFP 2的表面改性层可能是可用的。
表3:PPFP表面改性层的加工相容性测试
实施例 载体 薄玻璃 真空 SRD 400C 600C 超声
3a PPFP1 SC1,150C P P P P P
3b PPFP2 SC1,150C P P P P F
在上述实施例3a和3b中,载体和薄片分别是Eagle玻璃,其中,载体是150mm直径、630微米厚的SMF晶片,薄片是100平方毫米、100微米厚。由于表面改性层的小厚度,几乎没有会引起装置制造中的污染的脱气风险。此外,由于表面改性层看上去没有发生分解,同样地,也就不用说脱气的风险了。此外,如表3所示,在150℃真空热处理1小时之前,载体分别采用SC1过程进行清洁。
同样可以将以不同方式来控制表面能的其他材料用作表面改性层,从而控制薄片和载体之间的室温和高温粘结力。例如,通过对载体和/或玻璃薄片进行硅烷处理,可以产生能够产生受控粘结的粘结表面。对硅烷进行选择,从而产生合适的表面能,从而对于应用具有足够的热稳定性。可以通过如下工艺对待处理的载体或薄片进行处理:例如,O2等离子体或UV-臭氧,以及进行SC1或标准清洁2(SC2,本领域已知)的清洁来去除会干扰硅烷与表面硅烷醇基团反应的有机物和其他杂质(例如金属)。可以使用基于其他化学品的清洗,例如HF或H2SO4清洗化学品。可以对载体或薄片进行加热,以控制施加硅烷之前的表面羟基浓度(如上文关于HMDS的表面改性层所述),和/或可以在施加硅烷之后进行加热,以完成硅烷与表面羟基的缩合。可以使得硅烷化之后的未反应的羟基基团的浓度在粘结之前是足够低的,从而防止在≥400℃的温度下薄片和载体之间的永久粘结,即,以形成受控粘结。该方法如下所述。
实施例4a
然后用甲苯中1%的十二烷基三乙氧基硅烷(DDTS)对其粘结表面经过O2等离子体和SC1处理的玻璃载体进行处理,在150℃的真空中退火1小时,完成缩合。DDTS处理的表面展现出45mJ/m2的表面能。如表4所示,(经过SC1清洁和400℃真空加热1小时的)玻璃薄片与其上具有DDTS表面改性层的载体粘结表面发生粘结。该制品经受住了湿加工和真空加工测试,但是没有通过超过400℃的热加工,没有由于硅烷的热分解在载体下方形成气泡。对于所有的线性烷氧基和氯烷基硅烷R1xSi(OR2)y(Cl)z,其中x=1-3,y+z=4-x(排除甲基、二甲基和三甲基硅烷的情况(x=1-3,R1=CH3),其产生良好热稳定性的涂层),都预期有这种热分解。
实施例4b
然后用甲苯中1%的3,3,3三氟丙基三乙氧基硅烷(TFTS)对其粘结表面经过O2等离子体和SC1处理的玻璃载体进行处理,在150℃的真空中退火1小时,完成缩合。TFTS处理的表面展现出47mJ/m2的表面能。如表4所示,(经过SC1清洁然后400℃真空加热1小时的)玻璃薄片与其上具有TFTS表面改性层的载体粘结表面发生粘结。该制品经受住了真空、SRD和400℃加工测试,没有发生玻璃薄片与玻璃载体的永久粘结。但是,由于硅烷的热分解,600℃测试产生了载体下方形成的气泡。由于丙基有限的热稳定性,这并非出乎意料的。虽然该样品由于气泡没有通过600℃测试,该实施例的材料和热处理可以用于可以容忍气泡及其不利影响(例如表面平坦度的下降或者波度增加)的一些应用。
实施例4c
然后用甲苯中1%的苯基三乙氧基硅烷(PTS)对其粘结表面经过O2等离子体和SC1处理的玻璃载体进行处理,在200℃的真空中退火1小时,完成缩合。PTS处理的表面展现出54mJ/m2的表面能。如表4所示,(经过SC1清洁然后400℃真空加热1小时的)玻璃薄片与其上具有PTS表面改性层的载体粘结表面发生粘结。该制品经受住了真空加工、SRD加工和高至600℃的热加工,没有发生玻璃薄片与玻璃载体的永久粘结。
实施例4d
然后用甲苯中1%的二苯基二乙氧基硅烷(DPDS)对其粘结表面经过O2等离子体和SC1处理的玻璃载体进行处理,在200℃的真空中退火1小时,完成缩合。DPDS处理的表面展现出47mJ/m2的表面能。如表4所示,(经过SC1清洁然后400℃真空加热1小时的)玻璃薄片与其上具有DPDS表面改性层的载体粘结表面发生粘结。该制品经受住了真空和SRD测试,以及高至600℃的热加工,没有发生玻璃薄片与玻璃载体的永久粘结。
实施例4e
然后用甲苯中1%的4-五氟苯基三乙氧基硅烷(PFPTS)对其粘结表面经过O2等离子体和SC1处理的玻璃载体进行处理,在200℃的真空中退火1小时,完成缩合。PFPTS处理的表面展现出57mJ/m2的表面能。如表4所示,(经过SC1清洁然后400℃真空加热1小时的)玻璃薄片与其上具有PFPTS表面改性层的载体粘结表面发生粘结。该制品经受住了真空和SRD测试,以及高至600℃的热加工,没有发生玻璃薄片与玻璃载体的永久粘结。
表4:硅烷表面改性层的加工相容性测试
在上述实施例4a-4e中,载体和薄片分别是Eagle玻璃,其中,载体是150mm直径、630微米厚的SMF晶片,薄片是100平方毫米、100微米厚。硅烷层是自装配单层(SAM),因而厚度约为小于约2nm。在上述实施例中,采用具有芳基或烷基非极性尾端和单、二或三醇盐头基团的有机硅烷产生SAM。它们与玻璃上的硅烷醇表面反应,与有机官能团直接附连。非极性头基团之间的较弱相互作用使得有机层有机化。由于表面改性层的小厚度,几乎没有会引起装置制造中的污染的脱气风险。此外,由于实施例4c、4d和4e中的表面改性层看上去没有发生分解,同样地,也就不用说脱气的风险了。此外,如表4所示,在400℃真空热处理1小时之前,玻璃薄片分别采用SC1过程进行清洁。
从实施例4a-4e的对比可以看出,将粘结表面的表面能控制到大于40mJ/m2从而有助于初始室温粘结,不仅仅是出于产生能够经受住FPD加工的受控粘结的考虑,还实现了在不造成损坏的情况下从载体去除薄片。具体来说,从实施例4a-4e看出,载体分别具有大于40mJ/m2的表面能,其有助于初始室温粘结,从而使得制品经受住真空和SRD加工。但是,实施例4a和4b没有通过600℃加工测试。如上文所述,对于某些应用,使得粘结经受住高温加工(对于制品设计使用来说合适的工艺,例如,≥400℃、≥500℃或≥600℃、高至650℃),并且不使得粘结劣化至不足以将薄片和载体保持在一起,以及控制此类高温下发生的共价键合从而在薄片和载体之间不存在永久键合也是重要的。如表4中的例子所示,芳族硅烷(具体来说,苯基硅烷)可用于提供受控键合,其会有助于初始室温粘结,以及会耐受高温加工并且仍然允许在不造成损坏的情况下从载体去除薄片。
上文所述的实施例4、3和2中的分离是在室温下进行的,没有加入任意其他热能或化学能来改性薄片和载体之间的粘结界面。仅有的能量输入是机械牵拉和/或剥离力。
可以将如上实施例3和4中所述的材料施加到载体、薄片,或者同时施加到载体和薄片表面,将它们粘结在一起。
脱气
用于典型晶片粘结应用的聚合物粘合剂通常厚10-100微米,在其温度限或温度限附近损失其质量的约5%。对于从厚的聚合物膜发展来的此类材料,容易通过质谱对质量损耗或脱气进行定量化。另一方面,测量厚度小于或等于约10nm的薄表面处理的脱气更具有挑战性,例如上文所述的等离子体聚合物或自装配单层表面改性层以及热解硅油的薄层。对于此类材料,质谱的灵敏度不够。但是,存在许多其他方式来测量脱气。
测量少量脱气的第一种方式是基于表面能测量,将参照图6进行描述。为了进行该测试,可以使用如图6所示的设定。其上具有待测试的表面改性层的第一基材或载体900存在表面902,即对应于待测试的表面改性层30的组成和厚度的表面改性层。放置第二基材或覆盖910,使其表面912紧密靠近载体900的表面902,但是不与其发生接触。表面912是未涂覆的表面,即制得覆盖的材料的裸表面。在载体900和覆盖910之间的各点处放置隔离物,从而将它们保持分开的关系。隔离物应该足够厚,从而将覆盖910与载体900分开,以实现材料的相互移动,但是隔离物应该足够薄从而在测试期间,室气氛对于表面902和912的污染量被最小化。载体900、隔离物920和覆盖910一起形成测试制品901。
在组装测试制品901之前,测量裸表面912的表面能,作为表面902(即其上提供有表面改性层的载体900的表面)的表面能。表面能如图7所示,通过将三种测试液体(水、二碘甲烷和十六烷)的三个接触角与S.Wu(1971)建立的理论模型拟合,来同时测量极性分量和色散分量。(参见S.Wu,J.Polym.Sci.C,34,19,1971)
在组装之后,将测试制品901放入加热室930中,加热通过时间-温度循环。在大气压和流动N2气体(即,以箭头940的方向,以2标准升每分钟的速率流动)条件下,进行加热。
在加热循环过程中,表面902的变化(包括由于例如蒸发、热解、分解、聚合、与载体反应以及去湿导致的表面改性层的变化)通过表面902的表面能的变化得以证实。表面902的表面能自身的变化不一定意味着表面改性层已经发生脱气,但是表明的是材料在该温度下的整体不稳定性,因为由于例如上文所述的机制导致其特性的变化。因此,表面902的表面能变化越小,表面改性层越稳定。另一方面,因为表面912与表面902的紧密接近,从表面902脱气的任意材料会被收集在表面912上并会改变表面912的表面能。因此,表面912的表面能的变化是表面902上存在的表面改性层的脱气的代理。
因此,脱气的一种测试使用覆盖表面912的表面能的变化。具体来说,如果表面912的表面能的变化≥10mJ/m2,则存在脱气。该大小的表面能变化与会导致膜粘附损失或者材料性质和装置性能裂化的污染相符合。≤5mJ/m2的表面能变化接近于表面能测量的可重复性和表面能的不均匀性。该小的变化与最小脱气相符合。
在产生图7的结果的测试中,载体900、覆盖910和隔离物920由Eagle XG玻璃(购自纽约州康宁市康宁有限公司的无碱性铝硼硅酸盐显示器等级玻璃)制得,但是不一定是这种情况。载体900和覆盖910的直径为150mm,厚为0.63mm。通常,载体910和覆盖920分别是由希望进行脱气测试的载体10和薄片20的相同材料制得的。在该测试过程中,硅隔离物厚0.63mm、宽2mm且长8cm,从而在表面902和912之间形成0.63mm的间隙。在该测试过程中,在MPT-RTP600s快速热加工设备中整合室930,其以9.2℃/分钟的速率从室温循环至测试限温度,在该测试限温度保持如图“退火时间”所示的各种时间,然后以炉速率冷却至200℃。在烘箱冷却至200℃之后,取出测试制品,在测试制品冷却至室温之后,再次分别测量表面902和912的表面能。因此,举例来说,对于材料#1,线1003,测试到限值温度450℃的覆盖表面能的变化数据,数据收集如下。0分钟的数据点显示75mJ/m2(毫焦每平方米)的表面能,其是裸玻璃(即还没有进行时间-温度循环)的表面能。1分钟的数据点表明进行了如下时间-温度循环之后测得的表面能:将(在载体900上具有材料#1用作表面改性层以存在表面902的)制品901放入室温和大气压下的加热室903中;以9.2℃/分钟的速率将室加热至450℃的测试限温度,N2气体流量为2个标准升/分钟,以及在450℃的测试限温度保持1分钟;然后以1℃/分钟的速率将室冷却至300℃,然后从室930取出制品901;然后(在没有N2流动气氛的情况下)将制品冷却至室温;然后测量表面912的表面能,并绘制作为线1003上1分钟的点。然后以相同的方式确定材料#1余下的数据点(线1003、1004)以及材料#2的数据点(线1203、1204)、材料#3的数据点(线1303、1304)、材料#4的数据点(线1403、1404)、材料#5的数据点(线1503、1504)以及材料#6的数据点(线1603和1604),退火时间(分钟)对应于测试限温度(450℃或600℃,合适即可)的保持时间。以类似的方式确定表示表面改性层材料(材料#1-6)的表面902的表面能的线1001、1201、1202、1301、1302、1401、1402、1501、1502、1601和1602的数据点,不同之处在于,在每次时间-温度循环之后测量表面902的表面能。
对于如下所示的6种不同材料进行上述组装过程和时间-温度循环,结果如图7所示。在6种材料中,材料#1-4对应上文所述的表面改性层材料。材料#5和#6是比较例。
材料#1是CHF3-CF4等离子体聚合的含氟聚合物。该材料与上文的实施例3b中的表面改性层材料一致。如图7所示,线1001和1002显示载体的表面能没有明显变化。因此,该材料在450-600℃的温度时非常稳定的。此外,如线1003和1004所示,覆盖的表面能也没有明显变化,即变化≤5mJ/m2。因此,在450-600℃,没有与该材料相关的脱气。
材料#2是苯基硅烷,从1%的苯基三乙氧基硅烷的甲苯溶液沉积,并在190℃的真空烘箱中固化30分钟的自装配单层(SAM)。该材料与上文的实施例4c中的表面改性层材料一致。如图7所示,线1201和1202表明载体上的表面能的部分变化。如上所述,这表明表面改性层的部分变化,作为对比,材料#2稍微没有材料#1那么稳定。但是,如线1203和1204所示,载体的表面能的变化≤5mJ/m2,显示表面改性层的变化没有导致脱气。
材料#3是五氟苯基硅烷,从1%的五氟苯基三乙氧基硅烷的甲苯溶液沉积,并在190℃的真空烘箱中固化30分钟的SAM。该材料与上文的实施例4e中的表面改性层材料一致。如图7所示,线1301和1302表明载体上的表面能的部分变化。如上所述,这表明表面改性层的部分变化,作为对比,材料#3稍微没有材料#1那么稳定。但是,如线1303和1304所示,载体的表面能的变化≤5mJ/m2,显示表面改性层的变化没有导致脱气。
材料#4是在140℃的YES HMDS烘箱中,从蒸汽沉积的六甲基二硅氮烷(HMDS)。该材料与上文表2的实施例2b中的表面改性层材料一致。如图7所示,线1401和1402表明载体上的表面能的部分变化。如上所述,这表明表面改性层的部分变化,作为对比,材料#4稍微没有材料#1那么稳定。此外,材料#4的载体的表面能变化大于任意材料#2和#3的表面能变化,作为对比,表明材料#4稍微没有材料#2和#3那么稳定。但是,如线1403和1404所示,载体的表面能的变化≤5mJ/m2,显示表面改性层的变化没有导致影响覆盖的表面能的脱气。但是,这与HMDS脱气的方式相一致。也就是说,HMDS脱气出氨和水,其不影响覆盖的表面能,不会影响一些电子制造设备和/或工艺。另一方面,当在薄片和载体之间俘获了脱气产物时,可能存在其他问题,如下文关于第二种脱气测试所述。
材料#5是缩水甘油氧代丙基硅烷(glycidoxypropylsilane),从1%的缩水甘油氧代丙基三乙氧基硅烷的甲苯溶液沉积,并在190℃的真空烘箱中固化30分钟的SAM。这是比较例材料。虽然如线1501和1502所示,载体的表面能变化较小,但是如线1503和1504所示,覆盖的表面能变化明显。也就是说,虽然材料#5在载体表面上较为稳定,但是其确实脱气出了显著量的材料到覆盖表面上,从而覆盖表面能变化≥10mJ/m2。虽然表面能在600℃的10分钟的结束时处于10mJ/m2之内,但是在该期间的变化确实超过10mJ/m2。参见例如,1分钟和5分钟的数据点。虽然不希望受到理论的限制,表面能从5分钟到10分钟的略微上升可能是由于部分的脱气材料分解并离开覆盖表面。
材料#6是DC704,通过如下方式制备的硅酮涂料:将5mL的道康宁公司(DowCorning)704扩散泵油四甲基四苯基三硅氧烷(购自道康宁公司)分散到载体上,将其放置在空气中的500℃的热盘上,持续8分钟。将视觉可见冒烟的终止计作样品制备的完成。在以上文所述的方式制备样品之后,进行上文所述的脱气测试。这是比较例材料。如图7所示,线1601和1602表明载体上的表面能的部分变化。如上所述,这表明表面改性层的部分变化,作为对比,材料#6没有材料#1那么稳定。此外,如线1603和1604所示,载体的表面能变化≥10mJ/m2,显示明显的脱气。更具体来说,在450℃的测试限温度,10分钟的数据点显示表面能下降约15mJ/m2,对于1分钟和5分钟的数据点,表面能下降更多。类似地,在600℃测试限温度的循环过程中,对于覆盖的表面能变化,在10分钟数据点的覆盖的表面能下降约为25mJ/m2,略大于5分钟,略小于1分钟。但是,总的来说,该材料在整个测试范围显示出明显的脱气量。
明显地,对于材料#1-4,整个时间-温度循环的表面能表明覆盖表面保持与裸玻璃相一致的表面能,即没有收集到从载体表面脱气的材料。在材料#4的情况下,如关于表2所述,载体和薄片表面的制备方式(通过表面改性层使得薄片与载体粘结在一起)使得制品能否经受住FPD加工具有大差异。因此,虽然图7所示的材料#4的例子可能没有脱气,但是该材料可能经受住或者经受不住400℃或600℃测试,如关于表2所述。
测量少量脱气的第二种方式是基于自装配制品,即,通过表面改性层将薄片与载体粘结,使用百分比气泡面积的变化来确定脱气。也就是说,在制品的加热过程中,在载体和薄片之间形成的气泡表明表面改性层的脱气。如上文关于第一脱气测试所述,难以测量非常薄的表面改性层的脱气。在该第二种测试中,薄片下的脱气可能受到薄片和载体之间的强粘合的限制。但是,≤10nm的层厚(例如等离子体聚合的材料、SAM和热解硅油表面处理)仍然可能在热处理过程中产生气泡,即使它们具有较小的绝对质量损耗。并且在薄片和载体之间产生气泡可能导致图案产生的问题、光刻加工的问题和/或将器件加工到薄片上的过程中的对准问题。此外,薄片和载体之间的粘结区域的边界处的气泡可能导致来自一个工艺的加工流体污染下游工艺的问题。气泡面积百分比变化≥5是明显的,表明脱气,并且是不合乎希望的。另一方面,气泡面积百分比变化≤1是不明显的,表明不存在脱气。
手动粘结的1000级别的清洁室中,粘结的薄玻璃的平均气泡面积为1%。粘结载体中的%气泡与载体、薄片和表面制备的清洁度有关。因此这些初始缺陷起了热处理之后的气泡生产的成核点位的作用,热处理之后,气泡面积小于1%的任意变化落在样品制备的可变性范围内。为了进行该测试,使用市售可得的具有透明单元的桌面扫描仪(爱普生快速10000XL照相(Epson Expression10000XL Photo))来得到紧接粘结之后的薄片和载体的粘结区域的第一张扫描图像。采用标准爱普生软件,使用508dpi(50微米/像素)和24bit(比特)RGB对部件进行扫描。如果需要的话,图像加工软件首先通过将样品的不同区段的图像缝合成单个图像并(通过在扫描仪中没有样品的情况下扫描的校准参照)去除扫描仪人工制品来制备图像。然后采用标准图像加工技术,例如取阈值、填孔、侵蚀/膨胀和污点分析,对粘结区域进行分析。也可以相似的方式使用较新的爱普生快速11000XL照相。在透射模式中,粘结区域中的气泡在扫描图像中是视觉可见的,可以确定气泡面积的值。然后,将气泡面积与总粘结面积(即,薄片和载体之间的总重叠面积)对比,以计算粘结区域中的气泡相对于总粘结面积的%面积。然后在N2气氛下,以300℃、450℃和600℃的测试限温度,在MPT-RTP600s快速热加工系统中对样品进行热处理,持续高至10分钟。具体来说,所进行的时间-温度循环包括如下:将制品插入到室温和大气压的加热室中;然后以9℃/分钟的速率将室加热至测试限温度;将室在测试限温度保持10分钟;然后以炉速率将室冷却至200℃;从室去除制品并冷却至室温;然后用光学扫描仪第二次扫描制品。然后如上所述计算第二次扫描的%气泡面积,并与第一次扫描的%气泡面积进行对比,以确定%气泡面积的变化(Δ%气泡面积)。如上文所述,≥5%的气泡面积变化是明显的,表明脱气。由于原始%气泡面积的变化性,因此将%气泡面积变化选作测量标准。也就是说,在薄片与载体制备之后以及在它们粘结之前,由于处理和清洁度,导致大部分的表面改性层在第一次扫描中具有约2%的气泡面积。但是,各种材料之间可能存在变化。在该第二种脱气测试方法中,再次使用关于第一种脱气测试方法所述的相同材料#1-6。在这些材料中,材料#1-4在第一次扫描中展现出约为2%的气泡面积,而材料#5和#6在第一次扫描中显示出明显更大的气泡面积,即约为4%。
下面将参见图8和9描述第二种脱气测试的结果。材料#1-3的脱气测试结果如图8所示,而材料#4-6的脱气测试结果如图9所示。
材料#1的结果在图8中显示为正方形数据点。从图中可以看出,对于300℃、450℃和600℃的测试限温度,%气泡面积变化接近零。因此,材料#1在这些温度下没有显示出脱气。
材料#2的结果在图8中显示为菱形数据点。从图中可以看出,对于450℃和600℃的测试限温度,%气泡面积变化小于1。因此,材料#2在这些温度下没有显示出脱气。
材料#3的结果在图8中显示为三角形数据点。从图中可以看出,类似于材料#1的结果,对于300℃、450℃和600℃的测试限温度,%气泡面积变化接近零。因此,材料#1在这些温度下没有显示出脱气。
材料#4的结果在图9中显示为圆形数据点。从图中可以看出,对于300℃的测试限温度,%气泡面积变化接近零,但是对于某些样品,在450℃和600℃的测试限温度,其接近1%,对于相同材料的其他样品,在450℃和600℃的测试限温度,其约为5%。材料#4的结果是非常不一致的,取决于与HMDS材料粘结的薄片和载体表面的制备方式。样品所进行的方式取决于样品制备的方式,其与上表2所述的关于该材料的实施例和相关讨论相一致。应注意的是,对于该材料,在450℃和600℃测试限温度具有接近1%的气泡面积变化的样品无法实现根据上文所述的分离方法来分离薄片和载体。也就是说,薄片和载体之间的强粘合可能具有有限的气泡产生。另一方面,具有接近5%的气泡面积变化的样品确实允许薄片和载体的分离。因此,不具有脱气的样品在温度处理之后具有不合乎希望的粘合增加的结果,其使得载体和薄片粘在一起(阻碍了从载体去除薄片),而允许薄片和载体去除的样品具有不合乎希望的脱气结果。
材料#5的结果在图9中显示为三角形数据点。从图中可以看出,对于300℃的测试限温度,%气泡面积变化约为15%,大于450℃和600℃的较高测试限温度的情况。因此,材料#5在这些温度下显示出脱气。
材料#6的结果在图9中显示为正方形数据点。从图中可以看出,对于300℃的测试限温度,%气泡面积变化超过2.5%,对于450℃和600℃的测试限温度,其超过5%。因此,材料#6在450℃和600℃的测试限温度显示出明显的脱气。
用于制造电子器件
本文所述的受控粘结的一种用途是制造制品,包括具有载体和与其粘结的薄片的那些,其进而被用于制造电子器件,例如,TFT、OLED(包括有机发光材料)、PV器件、触摸传感器、插入物、集成电路、电阻器-电容器电路和显示器。
在任意情况中,现有设计用于较厚片材的电子器件加工设备可用于对玻璃制品进行加工,从而将电子器件组件或者部分电子器件布置在制品的片材上。应该将电子器件组件布置在薄片与载体通过上文所述的受控粘结进行粘结的部分上,从而甚至是在加工至制造电子器件所需的温度之后仍然可以从载体分离薄片。器件加工可包括在≥400℃、≥500℃、≥600℃或者高至650℃的温度,以及例如在一些情况下,高至700℃的温度下进行加工。如上文所述,可以选择合适的表面改性层,从而使得薄片保持能够从载体去除(甚至是在加工至此类温度之后),至少不损坏薄片,优选对薄片和载体都不造成损坏。为此,可以在任意数量的步骤中布置任意数量的电子器件组件,直至电子器件完成或者处于合适的中间阶段。制品可以在电子器件加工之前进行组装,或者可以组建成电子器件制造工艺的一部分。
器件加工可包括保持制品完好通过整个器件加工,或者可包括在过程中的一处或多处对制品进行切割。例如,器件加工可包括:在制品上形成一个电子器件组件,然后将制品切割成两个或更多个部分,然后使其经受进一步加工,即,在片材上布置额外的电子器件的组件,或者在片材的之前步骤中的沉积所存在的电子器件组件上布置额外的电子器件的组件。可以完成切割步骤,使得制品的每个部分包括与载体保持粘结的一部分薄片,或者使得仅被切割部分的子集包括此类排布。在任意经切割部分中,在该部分中的薄片的整个面积可保持与该部分中的载体的整个面积粘结。
在器件加工至完成或者中间阶段之后,可以从载体去除器件和其上布置了所述器件的薄片的部分。可以整体去除薄片,或者可以从剩余部分分离其部分,并从载体去除该部分。可以从制品以其整体去除,或者从由其切割的一个或多个部分进行去除。
用于在半导体和/或插入物加工中对薄晶片进行加工
经由表面改性层的受控粘结(包括材料和相关粘结表面热处理)的另一个用途是在载体上使用薄片,从而在需要温度≥400℃(例如,≥450℃、≥500℃、≥550℃、≥600℃)的工艺(例如,FEOL工艺)中对薄片进行加工。也就是说,薄片可以是厚度无需进行稍后薄化的情况下进行加工的晶片。如上文实施例2e、3a、3b、4c、4d和4e所示意,表面改性层(包括材料和粘结表面热处理)可以用于提供此类温度条件下的载体的再利用。具体来说,这些表面改性层可以被用于对薄片和载体的粘结区域之间重叠区域的表面能进行改性,从而可以在加工之后从载体分离整个薄片。可以一次性分离整个薄片,或者可以分区段地分离薄片,例如,首先去除部分薄片上产生的器件,然后去除任意余下部分,对载体进行清洁用于例如再次使用。在从载体去除整个薄片的情况下,如作为整体去除薄片,或者去除薄片经切割的区段(其加合作为整个薄片),可以简单地通过在其上放置另一个薄片来再次使用载体。或者,可以对载体进行清洁,并且通过再次形成表面改性层再一次地准备承载薄片。因为表面改性层防止了薄片与载体的永久粘结,它们可以被用于温度≥600℃的加工。当然,虽然这些表面改性层可以控制温度≥600℃的加工过程中的粘结表面能,但是它们也可用于产生这样的薄片和载体组合,该组合会经受住较低温度(例如,温度≥400℃,诸如≥450℃、≥500℃、≥550℃)下的加工,并且可用于此类较低温度的应用来控制粘结而不发生脱气(在实施例3a、3b、4c、4d和4e的材料的情况下),例如用于BEOL加工。此外,当制品的热加工不会超过400℃时,也可以这种方式使用实施例2c、2d、4b所示意的表面改性层。薄片可以是多晶硅或单晶硅晶片、硅晶片、玻璃、陶瓷、玻璃-陶瓷、石英、蓝宝石,厚度≤200微米,并且可以在例如≥500℃的温度进行加工,从而在FEOL加工中,在其上形成RC电路、IC或者其他电子器件。在FEOL加工之后,可以在不损坏电子器件的情况下,容易地从载体去除晶片。但是,在去除之前,晶片可经受其他较低温度的加工,例如,在BEOL加工中那样。
经由表面改性层(包含材料和相关的粘结表面热处理)的受控粘结的第二个用途是制造插入物。更具体地,通过使用表面改性层,可以形成受控粘结区域,其中,足够的分离作用力可以将薄片(或其部分)与载体分离而不会由于粘结造成薄片或载体的损坏,但是在整个加工中仍然维持足够的粘结力将薄片相对于载体保持在一起。在此情况下,薄片是插入物,其可以是由任意合适材料(包括例如硅、多晶硅、单晶硅、玻璃、陶瓷、玻璃-陶瓷、石英)制造的晶片,并且其厚度可以是例如≤200微米。
下面将参考图10-12描述插入物的例子及其制造。
参见图10,可以通过受控粘结区域40使得薄片20与载体10粘结。
在该实施方式中,载体10可以是玻璃基材或者具有与玻璃相似表面能的其他合适材料,例如,硅、多晶硅、单晶硅、陶瓷、玻璃-陶瓷、蓝宝石或石英。使用玻璃基材的优点在于,可以以较低成本获得具有最小厚度变化的平坦片材,避免了对于昂贵载体基材的需求。此外,对于玻璃而言,可以在成本有效的方式实现高质量。也就是说,可以非常廉价地制造厚度非常均匀的玻璃基材,并用作载体。但是,具有了本文的表面改性层,载体无需是具有低的总厚度变化的高精度载体,这是对于晶片需要薄化至最终厚度的情况而言。也就是说,当载体上的晶片会进行薄化时,载体对于总厚度变化必须具有非常严格的控制,因为载体的任意变化会在薄化之后呈现在经过薄化的晶片上。具有了本文的表面改性层,其能够允许当晶片已经处于最终厚度时在晶片上形成器件,载体的总厚度变化就没有那么重要了。
在该实施方式中,薄片20用于形成插入物56。片材可以是硅,包括例如多晶硅或单晶硅晶片、石英、蓝宝石、陶瓷或玻璃。片材20的厚度可以≤200微米。插入物56分别具有周界52和孔阵列50,其中,阵列50具有周界57。虽然显示了10个插入物56,但是可以在一块薄片20上布置任意合适数量(包括一个)插入物。出于方便显示,显示每个插入物56仅具有一个孔阵列50,但是不一定是这种情况;作为替代,插入物56可以具有不止一个阵列50。此外,虽然显示每个插入物具有相同的阵列数量50,但是不一定是这种情况;任意数量(包括零)的插入物可以具有相同的阵列数量50。此外,虽然阵列50通常会具有相同数量和式样的孔,但是不一定是这种情况。出于方便显示,仅在插入物56中的一个的仅一个阵列50中显示孔60,但是不一定是这种情况;即,余下的插入物56的任意一个或多个可具有一个或多个孔60的阵列50。
现参见图11,其是沿图10中的线11-11的横截面图。孔60可包括通孔或盲孔,即在片材20的厚度中终止的孔。孔60具有直径62,并且是以节距64间隔开。虽然显示直径62是相同的,但是不一定是这种情况;即,在一个插入物56上的一个阵列50或者不同阵列50中可以存在不同直径的孔。例如,直径62可以是5-150微米。类似地,虽然孔62是以相同的节距64间隔开,但是不一定是这种情况;即,在一个阵列50中,或者在一个插入物上的不同阵列50中,或者在一片薄片20的不同插入物中,可以存在不同节距。例如,节距可以使得每平方毫米存在1-20个孔,并且这会取决于插入物的设计和应用。此外,孔60的任意一个或多个中可以存在材料61。材料61可以是导电材料、电绝缘材料,或其组合。例如,可以在孔的周界上(即,在其外直径62处)形成导电材料,并且可以使用不同的导电材料或者绝缘材料来填充孔的余下部分。
现参见图12,其类似于图11,但是在插入物56上布置了器件/结构,并且与孔60相连。如图12所示,器件66可以布置在多个孔60上,并其与相连。器件66可以包括集成电路;MEMS;微传感器;功率半导体;发光二极管;光子电路;CPU;SRAM;DRAM,eDRAM;ROM,EEPROM;闪存;插入物;嵌入式无源器件;以及制造在硅、硅-锗、砷化镓和氮化镓上或者由硅、硅-锗、砷化镓和氮化镓制造的微器件。虽然仅显示了一个器件66,但是在一个插入物56上可以有任意合适数量的器件66,包括器件阵列56。或者,可以在仅仅一个孔60上布置结构68,并与其相连。结构68可包括:焊接凸起;金属柱状物;金属柱;互联路径;互联线;绝缘氧化物层;以及由选自下组的材料形成的结构:硅、多晶硅、二氧化硅、(氧)氮化硅、金属(例如,Cu、Al、W)、低k电介质、聚合物电介质、金属氮化物和金属硅化物。虽然仅显示了一个结构68,但是在一个插入物56上可以有任意合适数量的结构68,包括结构阵列56。此外,可以在器件66上布置一个或多个结构68。
在受控粘结区域40中,载体10和薄片20相互粘结,从而在交叠的整个区域上,载体10和薄片20连接,但是可以相互分离,甚至是在高温加工之后(例如,温度≥400℃,例如≥450℃、≥500℃、≥550℃、≥600℃,以及高至约650℃或者在一些情况下到达700℃的加工)。
如上文实施例2a、2e、3a、3b、4c、4d和4e所示意,表面改性层30(包括材料和粘结表面热处理)可以用于提供载体10和薄片20之间的受控粘结区域40。具体来说,可以在载体10上或者在薄片20上的阵列50的周界52内形成这些表面改性层。因此,在器件加工过程中,当在高温下加工制品2时,可以在周界52限定的区域内,在载体10和薄片20之间提供受控粘结,从而分离作用力可以分离该区域内的薄片和载体(而不造成薄片或载体的灾难性损坏),但是薄片和载体不会在加工过程(包括超声加工)中发生分层。此外,因为表面改性层的非常小的厚度,即小于100纳米、小于40纳米、小于10纳米,以及在一些情况下,约为2纳米,由于晶片和表面改性层之间的CTE失配没有对晶片造成影响(而这是较厚的粘合层中的情况,即,大于或等于约40-60微米)。此外,当需要限制薄片和载体之间的脱气时,可以使用实施例3b、4c和4e的表面改性层材料。
然后,在具有周界52的插入物56(每个分别具有孔60的阵列50)的抽取过程中,可以在加工之后以及在薄片沿着周界52分离之后,使得薄片20在周界52内的部分简单地与载体10分离。或者,薄片20(或者薄片20和载体10这两者)可以沿着线5进行切割,从而可以从载体10去除比插入物56周界52大的薄片20的区段,或者载体10的区段如同载体与薄片20一起被切割的情况那样。因为表面改性层控制了粘结能来防止薄片与载体的永久粘结,它们可以被用于温度≥600℃的加工。当然,虽然这些表面改性层可以控制温度≥600℃的加工过程期间的粘结表面能,但是它们也可用于产生这样的薄片和载体组合,该组合能够经受住较低温度(例如,温度≥400℃,诸如≥450℃、≥500℃、≥550℃)下的加工,并且可用于此类较低温度的应用。此外,当制品的热加工不会超过400℃时,在某些情况下,取决于其他工艺要求,也可以这种方式使用实施例2c、2d、4b所示意的表面改性层以控制粘结表面能。此外,如上文所述,实施例3b、4c和4e的表面改性层材料可用于关心薄片和载体之间的脱气的情况。
结论
应当强调,本发明上述实施方式、特别是任意“优选的”实施方式,仅仅是可能实现的例子,仅用来清楚理解本发明的各个原理。可以对本发明的上文所述的实施方式进行许多改变和改进,而不明显背离本发明的精神和各个原理。所有这些改变和改进旨在包括在本文和本发明的范围内,并且受到如下附权利要求书的保护。
例如,虽然许多实施方式所示和所述的表面改性层30是形成在载体10上的,但是作为替代或补充,其可以是形成在薄片20上的。也就是说,可以将实施例4和3所述的材料施加到载体10和/或薄片20的将要粘结在一起的面上。
此外,虽然一些表面改性层30描述为控制粘结强度从而允许甚至在400℃(或600℃)的温度下对制品2进行加工之后从载体10去除薄片20,但是当然也可以在比制品通过的具体测试低的那些温度下加工制品2,并且仍然实现从载体10去除薄片20而不造成薄片20或载体10损坏的相同能力。
此外,虽然本文采用载体和薄片描述了受控粘结的概念,但是在某些情况下,它们适用于控制较厚的玻璃、陶瓷或玻璃陶瓷片之间的粘结,其中可能希望片材(或其部分)相互分离。
此外,虽然本文描述了受控粘结概念可用于玻璃载体和玻璃薄片,但是载体也可由其他材料(例如,陶瓷、玻璃陶瓷或金属)制成。类似地,与载体受控粘结的片材可以由其他材料(例如陶瓷或玻璃陶瓷)制成。

Claims (13)

1.一种载体-片材制品,所述载体-片材制品包括:
具有载体粘结表面的载体,所述载体包括玻璃、陶瓷、玻璃陶瓷或金属;
其中具有至少一个孔的片材,所述片材还包括片材粘结表面;
表面改性层,其包括以下一种:a)等离子体聚合的含氟聚合物;和b)芳族硅烷;
所述载体粘结表面与所述片材粘结表面粘结,所述表面改性层位于其间,其中,所述表面改性层具有以下至少一种特性:
(i)在使得所述制品经受如下温度循环之后,所述载体和片材不发生相互分离,这是如果举起其中一个而另一个经受重力作用力的情况,以及所述片材可以与所述载体发生分离,所述载体和所述片材中较薄的那个没有破裂成两块或者更多块,这是当在室温下进行分离时的情况;所述温度循环是通过如下方式进行的:在室中加热,以9.2℃每分钟的速率从室温循环到500℃,在500℃的温度保持10分钟,然后以炉速率冷却至300℃,以及然后从所述室取出所述制品并使得所述制品冷却至室温;以及
(ii)在使得所述制品经受如下温度循环之后,所述载体和片材不发生相互分离,这是如果举起其中一个而另一个经受重力作用力的情况,根据测试#2没有来自所述表面改性层的脱气,以及所述片材可以与所述载体发生分离,所述载体和所述片材中较薄的那个没有破裂成两块或者更多块,这是当在室温下进行分离时的情况;所述温度循环是通过如下方式进行的:在室中加热,以9.2℃每分钟的速率从室温循环到400℃,在400℃的温度保持10分钟,然后以炉速率冷却至300℃,以及然后从所述室取出所述制品并使得所述制品冷却至室温。
2.如权利要求1所述的载体-片材制品,所述片材包括硅、石英、蓝宝石、陶瓷或玻璃。
3.如权利要求1或2所述的载体-片材制品,其特征在于,所述至少一个孔的直径≤150微米并且在其中包含导电材料。
4.一种载体-片材制品,所述载体-片材制品包括:
具有载体粘结表面的载体;
晶片片材,其包括≤200微米的厚度,所述片材还包括片材粘结表面,所述片材包括硅、石英或蓝宝石;
表面改性层其包括以下一种:a)等离子体聚合的含氟聚合物;和b)芳族硅烷;
所述载体粘结表面与所述片材粘结表面粘结,所述表面改性层位于其间,其中,所述表面改性层具有以下至少一种特性:
(i)在使得所述制品经受如下温度循环之后,所述载体和片材不发生相互分离,这是如果举起其中一个而另一个经受重力作用力的情况,以及所述片材可以与所述载体发生分离,所述载体和所述片材中较薄的那个没有破裂成两块或者更多块,这是当在室温下进行分离时的情况;所述温度循环是通过如下方式进行的:在室中加热,以9.2℃每分钟的速率从室温循环到500℃,在500℃的温度保持10分钟,然后以炉速率冷却至300℃,以及然后从所述室取出所述制品并使得所述制品冷却至室温;以及
(ii)在使得所述制品经受如下温度循环之后,所述载体和片材不发生相互分离,这是如果举起其中一个而另一个经受重力作用力的情况,根据测试#2没有来自所述表面改性层的脱气,以及所述片材可以与所述载体发生分离,所述载体和所述片材中较薄的那个没有破裂成两块或者更多块,这是当在室温下进行分离时的情况;所述温度循环是通过如下方式进行的:在室中加热,以9.2℃每分钟的速率从室温循环到400℃,在400℃的温度保持10分钟,然后以炉速率冷却至300℃,以及然后从所述室取出所述制品并使得所述制品冷却至室温。
5.如权利要求1或4所述的载体-片材制品,所述片材包括与所述片材粘结表面相反的器件表面,所述器件表面包括选自下组的器件阵列:集成电路;MEMS;CPU;微传感器;功率半导体;发光二极管;光子电路;插入物;嵌入式无源器件;以及制造在硅、硅-锗、砷化镓和氮化镓上或者由硅、硅-锗、砷化镓和氮化镓制造的微器件。
6.如权利要求1或4所述的载体-片材制品,其特征在于,在加热过程中,没有来自所述表面改性层的脱气,其中,来自所述表面改性层的脱气定义为以下至少一种:
(a)根据脱气测试#1,在600℃的测试限温度,覆盖的表面能变化≥15mJ/m2;以及
(b)根据脱气测试#2,在600℃的测试限温度,气泡面积的%变化≥5。
7.一种制备插入物的方法,所述方法包括:
获得具有载体粘结表面的载体,所述载体包括玻璃、陶瓷、玻璃陶瓷或金属;
获得其中具有至少一个孔的片材,所述片材还包括片材粘结表面,其中,所述载体粘结表面和所述片材粘结表面中的至少一个包括在其上的表面改性层,其中,所述表面改性层包括以下一种:a)等离子体聚合的含氟聚合物;和b)芳族硅烷;
将具有粘结表面和表面改性层的所述载体和片材粘结,以形成制品;
使得所述制品经受生产线前道(FEOL)工序,其中,在FEOL工序之后,所述表面改性层具有以下至少一种特性:
(i)在使得所述制品经受如下温度循环之后,所述载体和片材不发生相互分离,这是如果举起其中一个而另一个经受重力作用力的情况,以及所述片材可以与所述载体发生分离,所述载体和所述片材中较薄的那个没有破裂成两块或者更多块,这是当在室温下进行分离时的情况;所述温度循环是通过如下方式进行的:在室中加热,以9.2℃每分钟的速率从室温循环到500℃,在500℃的温度保持10分钟,然后以炉速率冷却至300℃,以及然后从所述室取出所述制品并使得所述制品冷却至室温;以及
(ii)在使得所述制品经受如下温度循环之后,所述载体和片材不发生相互分离,这是如果举起其中一个而另一个经受重力作用力的情况,根据测试#2没有来自所述表面改性层的脱气,以及所述片材可以与所述载体发生分离,所述载体和所述片材中较薄的那个没有破裂成两块或者更多块,这是当在室温下进行分离时的情况;所述温度循环是通过如下方式进行的:在室中加热,以9.2℃每分钟的速率从室温循环到400℃,在400℃的温度保持10分钟,然后以炉速率冷却至300℃,以及然后从所述室取出所述制品并使得所述制品冷却至室温。
8.如权利要求7所述的方法,所述片材包括硅、石英、蓝宝石、陶瓷或玻璃。
9.一种加工硅晶片片材的方法,所述方法包括:
获得具有载体粘结表面的载体,所述载体包括玻璃、陶瓷、玻璃陶瓷或金属;
获得厚度≤200微米的晶片片材,片材包括硅、石英或蓝宝石,片材还包括片材粘结表面,其中,所述载体粘结表面和所述片材粘结表面中的至少一个包括在其上的表面改性层,其中,所述表面改性层包括以下一种:a)等离子体聚合的含氟聚合物;和b)芳族硅烷;
将具有粘结表面和表面改性层的所述载体和片材粘结,以形成制品;
使得所述制品经受生产线前道(FEOL)工序,其中,在FEOL工序之后,所述表面改性层具有以下至少一种特性:
(i)在使得所述制品经受如下温度循环之后,所述载体和片材不发生相互分离,这是如果举起其中一个而另一个经受重力作用力的情况,以及所述片材可以与所述载体发生分离,所述载体和所述片材中较薄的那个没有破裂成两块或者更多块,这是当在室温下进行分离时的情况;所述温度循环是通过如下方式进行的:在室中加热,以9.2℃每分钟的速率从室温循环到500℃,在500℃的温度保持10分钟,然后以炉速率冷却至300℃,以及然后从所述室取出所述制品并使得所述制品冷却至室温;以及
(ii)在使得所述制品经受如下温度循环之后,所述载体和片材不发生相互分离,这是如果举起其中一个而另一个经受重力作用力的情况,根据测试#2没有来自所述表面改性层的脱气,以及所述片材可以与所述载体发生分离,所述载体和所述片材中较薄的那个没有破裂成两块或者更多块,这是当在室温下进行分离时的情况;所述温度循环是通过如下方式进行的:在室中加热,以9.2℃每分钟的速率从室温循环到400℃,在400℃的温度保持10分钟,然后以炉速率冷却至300℃,以及然后从所述室取出所述制品并使得所述制品冷却至室温。
10.如权利要求7或9所述的方法,其特征在于,所述FEOL工序包括以下至少一种:
(i)500-700℃的加工室温度;以及
(ii)以下至少一种:DRIE(干反应性离子蚀刻);PVD;CVD TiN;PECVD SiO2;电镀Cu;Cu退火;度量衡法;Cu CMP;Cu(H2O2+H2SO4)+Ti(DHF)湿蚀刻;喷溅粘附层;喷溅晶种层;平版印刷(光刻胶、曝光、剥光、蚀刻Cu)。
11.如权利要求7或9所述的方法,其特征在于,所述至少一个孔的直径≤150微米并且在其中包含导电材料。
12.如权利要求7或9所述的方法,所述片材包括与所述片材粘结表面相反的器件表面,所述器件表面包括以下至少一种:
(i)选自下组的器件的阵列:集成电路;MEMS;CPU;微传感器;功率半导体;发光二极管;光子电路;插入物;嵌入式无源器件;以及制造在硅、硅-锗、砷化镓和氮化镓上或者由硅、硅-锗、砷化镓和氮化镓制造的微器件;以及
(ii)选自下组的至少一种结构:焊接凸起;金属柱状物;金属柱;互联路径;互联线;绝缘氧化物层;以及由选自下组的材料形成的结构:硅、多晶硅、二氧化硅、(氧)氮化硅、金属、低k电介质、聚合物电介质、金属氮化物和金属硅化物。
13.如权利要求7或9所述的方法,其特征在于,在加热过程中,没有来自所述表面改性层的脱气,其中,来自所述表面改性层的脱气定义为以下至少一种:
(a)根据脱气测试#1,在600℃的测试限温度,覆盖的表面能变化≥15mJ/m2;以及
(b)根据脱气测试#2,在600℃的测试限温度,气泡面积的%变化≥5。
CN201480068280.8A 2013-10-14 2014-10-14 用于半导体和插入物加工的载体粘结方法和制品 Active CN106133899B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361890524P 2013-10-14 2013-10-14
US61/890,524 2013-10-14
PCT/US2014/060340 WO2015057605A1 (en) 2013-10-14 2014-10-14 Carrier-bonding methods and articles for semiconductor and interposer processing

Publications (2)

Publication Number Publication Date
CN106133899A CN106133899A (zh) 2016-11-16
CN106133899B true CN106133899B (zh) 2019-11-12

Family

ID=51799328

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201480068280.8A Active CN106133899B (zh) 2013-10-14 2014-10-14 用于半导体和插入物加工的载体粘结方法和制品

Country Status (8)

Country Link
US (1) US10510576B2 (zh)
EP (1) EP3058587B1 (zh)
JP (2) JP6873702B2 (zh)
KR (1) KR102355656B1 (zh)
CN (1) CN106133899B (zh)
SG (1) SG11201602919PA (zh)
TW (1) TWI632612B (zh)
WO (1) WO2015057605A1 (zh)

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10543662B2 (en) 2012-02-08 2020-01-28 Corning Incorporated Device modified substrate article and methods for making
US10086584B2 (en) 2012-12-13 2018-10-02 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
US10014177B2 (en) 2012-12-13 2018-07-03 Corning Incorporated Methods for processing electronic devices
US9340443B2 (en) 2012-12-13 2016-05-17 Corning Incorporated Bulk annealing of glass sheets
TWI617437B (zh) 2012-12-13 2018-03-11 康寧公司 促進控制薄片與載體間接合之處理
US10510576B2 (en) 2013-10-14 2019-12-17 Corning Incorporated Carrier-bonding methods and articles for semiconductor and interposer processing
JP6770432B2 (ja) * 2014-01-27 2020-10-14 コーニング インコーポレイテッド 薄いシートの担体との制御された結合のための物品および方法
TWI654088B (zh) * 2014-01-27 2019-03-21 美商康寧公司 用於聚合物表面與載具之受控接合之物件及方法
SG11201608442TA (en) 2014-04-09 2016-11-29 Corning Inc Device modified substrate article and methods for making
US9368436B2 (en) 2014-08-04 2016-06-14 Infineon Technologies Ag Source down semiconductor devices and methods of formation thereof
US9640419B2 (en) * 2014-08-04 2017-05-02 Infineon Technologies Ag Carrier system for processing semiconductor substrates, and methods thereof
WO2016073658A1 (en) 2014-11-05 2016-05-12 Corning Incorporated Bottom-up electrolytic via plating method
WO2016187186A1 (en) 2015-05-19 2016-11-24 Corning Incorporated Articles and methods for bonding sheets with carriers
CN117534339A (zh) 2015-06-26 2024-02-09 康宁股份有限公司 包含板材和载体的方法和制品
US10121759B2 (en) * 2015-11-04 2018-11-06 Kulicke And Soffa Industries, Inc. On-bonder automatic overhang die optimization tool for wire bonding and related methods
US10177114B2 (en) 2015-11-25 2019-01-08 Invensas Corporation Hybrid 3D/2.5D interposer
US10410883B2 (en) 2016-06-01 2019-09-10 Corning Incorporated Articles and methods of forming vias in substrates
US10794679B2 (en) 2016-06-29 2020-10-06 Corning Incorporated Method and system for measuring geometric parameters of through holes
US10134657B2 (en) 2016-06-29 2018-11-20 Corning Incorporated Inorganic wafer having through-holes attached to semiconductor wafer
US20190341320A1 (en) * 2016-08-01 2019-11-07 Corning Incorporated Glass based electronics packages and methods of forming thereof
TW202216444A (zh) 2016-08-30 2022-05-01 美商康寧公司 用於片材接合的矽氧烷電漿聚合物
TWI810161B (zh) 2016-08-31 2023-08-01 美商康寧公司 具以可控制式黏結的薄片之製品及製作其之方法
TW201822282A (zh) * 2016-09-09 2018-06-16 美商康寧公司 具有通孔的低表面粗糙度基板及其製作方法
CN106783726A (zh) * 2016-12-30 2017-05-31 苏州爱彼光电材料有限公司 复合衬底及其制备方法、半导体器件
US11078112B2 (en) 2017-05-25 2021-08-03 Corning Incorporated Silica-containing substrates with vias having an axially variable sidewall taper and methods for forming the same
US10580725B2 (en) 2017-05-25 2020-03-03 Corning Incorporated Articles having vias with geometry attributes and methods for fabricating the same
KR102492733B1 (ko) 2017-09-29 2023-01-27 삼성디스플레이 주식회사 구리 플라즈마 식각 방법 및 디스플레이 패널 제조 방법
JP7431160B2 (ja) 2017-12-15 2024-02-14 コーニング インコーポレイテッド 基板を処理するための方法および結合されたシートを含む物品を製造するための方法
US10917966B2 (en) 2018-01-29 2021-02-09 Corning Incorporated Articles including metallized vias
US11554984B2 (en) 2018-02-22 2023-01-17 Corning Incorporated Alkali-free borosilicate glasses with low post-HF etch roughness
US11035807B2 (en) 2018-03-07 2021-06-15 General Electric Company Thermal interposer for a cryogenic cooling system
US11152294B2 (en) 2018-04-09 2021-10-19 Corning Incorporated Hermetic metallized via with improved reliability
WO2020171940A1 (en) 2019-02-21 2020-08-27 Corning Incorporated Glass or glass ceramic articles with copper-metallized through holes and processes for making the same
US10748798B1 (en) * 2019-07-01 2020-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Wireless camera wafer for vacuum chamber diagnostics
US10971472B2 (en) * 2019-07-09 2021-04-06 Mikro Mesa Technology Co., Ltd. Method of liquid assisted bonding
CN111226311B (zh) 2020-01-07 2021-01-29 长江存储科技有限责任公司 金属-电介质键合方法和结构
KR20230125003A (ko) * 2020-12-23 2023-08-28 엔테그리스, 아이엔씨. 가스 저장 시스템 및 가스 저장 방법

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10034737C2 (de) * 2000-07-17 2002-07-11 Fraunhofer Ges Forschung Verfahren zur Herstellung einer permanenten Entformungsschicht durch Plasmapolymerisation auf der Oberfläche eines Formteilwerkzeugs, ein nach dem Verfahren herstellbares Formteilwerkzeug und dessen Verwendung
CN1742366A (zh) * 2002-11-29 2006-03-01 弗兰霍菲尔运输应用研究公司 用于加工晶圆的方法和设备及包括分离层和支持层的晶圆
CN102290367A (zh) * 2010-06-21 2011-12-21 布鲁尔科技公司 从载体基底中移去可逆向安装的器件晶片的方法和装置
CN102945790A (zh) * 2012-02-08 2013-02-27 日月光半导体制造股份有限公司 半导体晶圆的载体接合及分离的工艺

Family Cites Families (292)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS4625913Y1 (zh) 1967-12-08 1971-09-06
US3722181A (en) 1970-05-22 1973-03-27 Du Pont Chromatographic packing with chemically bonded organic stationary phases
IE35508B1 (en) 1971-08-11 1976-03-03 Intercontinental Chem Co Ltd Method of forming adhesive bonds between surfaces
JPS5057657A (zh) 1973-09-22 1975-05-20
GB1583544A (en) 1977-07-25 1981-01-28 Uop Inc Metal-clad laminates
US4179324A (en) 1977-11-28 1979-12-18 Spire Corporation Process for fabricating thin film and glass sheet laminate
US4397722A (en) 1981-12-31 1983-08-09 International Business Machines Corporation Polymers from aromatic silanes and process for their preparation
US4599243A (en) 1982-12-23 1986-07-08 International Business Machines Corporation Use of plasma polymerized organosilicon films in fabrication of lift-off masks
US4849284A (en) 1987-02-17 1989-07-18 Rogers Corporation Electrical substrate material
US4822466A (en) 1987-06-25 1989-04-18 University Of Houston - University Park Chemically bonded diamond films and method for producing same
US4810326A (en) 1987-08-31 1989-03-07 International Business Machines Corporation Interlaminate adhesion between polymeric materials and electrolytic copper surfaces
US5141800A (en) 1989-02-02 1992-08-25 Chemical Fabrics Corporation Method of making laminated PTFE-containing composites and products thereof
US5357726A (en) 1989-02-02 1994-10-25 Chemfab Corporation Composite materials for structural end uses
US4990462A (en) 1989-04-12 1991-02-05 Advanced Micro Devices, Inc. Method for coplanar integration of semiconductor ic devices
US5073181A (en) 1990-08-09 1991-12-17 Corning Incorporated Method of protecting glass surfaces using submicron refractory particles
CA2065918A1 (en) 1991-04-22 1992-10-23 Bradley W. Reed Liquid membrane modules with minimal effective membrane thickness and methods of making the same
CA2069038C (en) 1991-05-22 1997-08-12 Kiyofumi Sakaguchi Method for preparing semiconductor member
JPH07500122A (ja) 1991-06-14 1995-01-05 ダブリュ.エル.ゴア アンド アソシエーツ,インコーポレイティド 表面改質多孔質膨張延伸ポリテトラフルオロエチレンとその製造方法
US5222494A (en) 1991-07-31 1993-06-29 Cyberonics, Inc. Implantable tissue stimulator output stabilization system
JP3039070B2 (ja) 1991-10-09 2000-05-08 住友電気工業株式会社 弗素樹脂被覆物
FR2690279B1 (fr) 1992-04-15 1997-10-03 Picogiga Sa Composant photovoltauique multispectral.
US5482896A (en) 1993-11-18 1996-01-09 Eastman Kodak Company Light emitting device comprising an organic LED array on an ultra thin substrate and process for forming same
US5616179A (en) 1993-12-21 1997-04-01 Commonwealth Scientific Corporation Process for deposition of diamondlike, electrically conductive and electron-emissive carbon-based films
US5554680A (en) 1994-02-16 1996-09-10 E. I. Du Pont De Nemours And Company Heat-resistant perfluoroelastomer composition
JP3081122B2 (ja) 1994-07-18 2000-08-28 シャープ株式会社 基板搬送用治具及びそれを用いた液晶表示素子の製造方法
US5413940A (en) 1994-10-11 1995-05-09 Taiwan Semiconductor Manufacturing Company Process of treating SOG layer using end-point detector for outgassing
DE69606942T2 (de) 1995-09-25 2000-10-05 Dow Corning Verwendung von präkeramischen Polymeren als Klebstoffe für Elektronik
CN1074006C (zh) 1995-10-13 2001-10-31 陶氏化学公司 涂覆的塑料基材
US5661618A (en) 1995-12-11 1997-08-26 International Business Machines Corporation Magnetic recording device having a improved slider
US5888591A (en) 1996-05-06 1999-03-30 Massachusetts Institute Of Technology Chemical vapor deposition of fluorocarbon polymer thin films
US5989998A (en) 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
WO2004079826A1 (ja) 1996-10-22 2004-09-16 Mitsutoshi Miyasaka 薄膜トランジスタの製造方法、及び表示装置と電子機器
US5820991A (en) 1997-02-24 1998-10-13 Cabo; Ana M. Fused glass sheets having ceramic paint and metal foil and method of making same
DE19711459A1 (de) 1997-03-19 1998-09-24 Flachglas Automotive Gmbh Verfahren zur Herstellung einer gebogenen Verbundsicherheitsglasscheibe
JP3551702B2 (ja) 1997-05-08 2004-08-11 カシオ計算機株式会社 液晶表示素子とその駆動方法
US5972152A (en) 1997-05-16 1999-10-26 Micron Communications, Inc. Methods of fixturing flexible circuit substrates and a processing carrier, processing a flexible circuit and processing a flexible circuit substrate relative to a processing carrier
US6687969B1 (en) 1997-05-16 2004-02-10 Micron Technology, Inc. Methods of fixturing flexible substrates and methods of processing flexible substrates
JPH1126733A (ja) 1997-07-03 1999-01-29 Seiko Epson Corp 薄膜デバイスの転写方法、薄膜デバイス、薄膜集積回路装置,アクティブマトリクス基板、液晶表示装置および電子機器
EP1018153A1 (en) 1997-08-29 2000-07-12 Sharon N. Farrens In situ plasma wafer bonding method
US5966622A (en) 1997-10-08 1999-10-12 Lucent Technologies Inc. Process for bonding crystalline substrates with different crystal lattices
US6852650B2 (en) 1998-02-05 2005-02-08 Asm Japan K.K. Insulation film on semiconductor substrate and method for forming same
EP2267720A3 (en) 1998-02-23 2011-03-09 Kabushiki Kaisha Toshiba Information storage medium, information playback method and apparatus and information recording method
US6159385A (en) 1998-05-08 2000-12-12 Rockwell Technologies, Llc Process for manufacture of micro electromechanical devices having high electrical isolation
EP1048628A1 (de) 1999-04-30 2000-11-02 Schott Glas Polymerbeschichtete Dünnglasfoliensubstrate
JP3202718B2 (ja) 1999-02-23 2001-08-27 鹿児島日本電気株式会社 表示装置製造用治具及びそれを用いた表示装置の製造方法
US6387736B1 (en) 1999-04-26 2002-05-14 Agilent Technologies, Inc. Method and structure for bonding layers in a semiconductor device
US6338901B1 (en) 1999-05-03 2002-01-15 Guardian Industries Corporation Hydrophobic coating including DLC on substrate
US6824879B2 (en) 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
SG93210A1 (en) 1999-06-29 2002-12-17 Univ Singapore Method for lamination of fluoropolymer to metal and printed circuit board (pcb) substrate
TW487959B (en) 1999-08-13 2002-05-21 Semiconductor Energy Lab Laser apparatus, laser annealing method, and manufacturing method of a semiconductor device
US6674140B2 (en) 2000-02-01 2004-01-06 Analog Devices, Inc. Process for wafer level treatment to reduce stiction and passivate micromachined surfaces and compounds used therefor
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
US6528145B1 (en) 2000-06-29 2003-03-04 International Business Machines Corporation Polymer and ceramic composite electronic substrates
US6649540B2 (en) 2000-11-09 2003-11-18 The Boc Group, Inc. Organosilane CVD precursors and their use for making organosilane polymer low-k dielectric film
FR2823596B1 (fr) 2001-04-13 2004-08-20 Commissariat Energie Atomique Substrat ou structure demontable et procede de realisation
FR2823599B1 (fr) 2001-04-13 2004-12-17 Commissariat Energie Atomique Substrat demomtable a tenue mecanique controlee et procede de realisation
FR2824821B1 (fr) 2001-05-17 2003-08-29 Essilor Int Procede de preparation d'un verre apte au debordage, verre ainsi obtenu et procede de debordage d'un tel verre
US6956268B2 (en) 2001-05-18 2005-10-18 Reveo, Inc. MEMS and method of manufacturing MEMS
US7045878B2 (en) 2001-05-18 2006-05-16 Reveo, Inc. Selectively bonded thin film layer and substrate layer for processing of useful devices
JP5415658B2 (ja) 2001-05-28 2014-02-12 三菱樹脂株式会社 中間膜用粘着シート及び透明積層体
JP4036018B2 (ja) 2001-06-20 2008-01-23 昭和電工株式会社 有機発光素子および発光材料
EP1275624B1 (en) 2001-06-29 2007-08-15 Crystal Systems Inc. Antifogging product, inorganic hydrophilic hard layer forming material and process for producing antifogging lens
US6735982B2 (en) 2001-07-12 2004-05-18 Intel Corporation Processing relatively thin glass sheets
US6649212B2 (en) 2001-07-30 2003-11-18 Guardian Industries Corporation Modified silicon-based UV absorbers useful in crosslinkable polysiloxane coatings via sol-gel polymerization
TW558743B (en) 2001-08-22 2003-10-21 Semiconductor Energy Lab Peeling method and method of manufacturing semiconductor device
JP2003077187A (ja) 2001-08-30 2003-03-14 Toshiba Corp 光ディスクの製造方法
JP2003071937A (ja) 2001-09-05 2003-03-12 Toppan Printing Co Ltd 積層体及びその製造方法、並びに多層回路基板
US7033910B2 (en) 2001-09-12 2006-04-25 Reveo, Inc. Method of fabricating multi layer MEMS and microfluidic devices
US6528351B1 (en) 2001-09-24 2003-03-04 Jigsaw Tek, Inc. Integrated package and methods for making same
US6814833B2 (en) 2001-10-26 2004-11-09 Corning Incorporated Direct bonding of articles containing silicon
US6521857B1 (en) 2001-11-19 2003-02-18 Geomat Insights, Llc Plasma enhanced bonding method and device
US6699798B2 (en) 2002-04-24 2004-03-02 Intel Corporation Promoting adhesion of fluoropolymer films to semiconductor substrates
FR2874455B1 (fr) 2004-08-19 2008-02-08 Soitec Silicon On Insulator Traitement thermique avant collage de deux plaquettes
EP1363319B1 (en) 2002-05-17 2009-01-07 Semiconductor Energy Laboratory Co., Ltd. Method of transferring an object and method of manufacturing a semiconductor device
KR101005989B1 (ko) 2002-06-11 2011-01-05 코니카 미놀타 홀딩스 가부시키가이샤 표면 처리 방법 및 광학 부품
WO2004014644A1 (ja) 2002-08-07 2004-02-19 Kabushiki Kaisha Toyota Chuo Kenkyusho 密着層を備える積層体及び保護膜を備える積層体
JP2006516302A (ja) 2002-09-18 2006-06-29 フジフィルム・エレクトロニック・マテリアルズ・ユーエスエイ・インコーポレイテッド アルキル−水素シロキサンの分解を防止する添加剤
DE20215401U1 (de) 2002-10-07 2004-02-19 Schott Glas Verbund aus einem Dünnstsubsrat und einem Trägersubstrat mit lösbarem Verbindungsmittel
TW200421497A (en) 2002-11-20 2004-10-16 Reveo Inc Method and system for increasing yield of vertically integrated devices
JP2004178891A (ja) 2002-11-26 2004-06-24 Mitsubishi Electric Corp 電子放出型発光素子の製造方法
DE10353530A1 (de) 2003-11-14 2005-06-23 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Wafer mit Deckschicht und Trennschicht, Verfahren zur Herstellung eines solchen Wafers sowie Verfahren zum Dünnen bzw. Rückseitenmetallisieren eines Wafers
PT1568071T (pt) 2002-11-29 2019-06-17 Fraunhofer Ges Forschung Pastilha com camada de separação e camada de suporte e seu processo de fabrico
US6762074B1 (en) 2003-01-21 2004-07-13 Micron Technology, Inc. Method and apparatus for forming thin microelectronic dies
US7089635B2 (en) 2003-02-25 2006-08-15 Palo Alto Research Center, Incorporated Methods to make piezoelectric ceramic thick film arrays and elements
US6964201B2 (en) 2003-02-25 2005-11-15 Palo Alto Research Center Incorporated Large dimension, flexible piezoelectric ceramic tapes
TWI361814B (en) 2003-03-07 2012-04-11 Kuraray Co Plastic bonding method
US7220656B2 (en) 2003-04-29 2007-05-22 Micron Technology, Inc. Strained semiconductor by wafer bonding with misorientation
US6969166B2 (en) 2003-05-29 2005-11-29 3M Innovative Properties Company Method for modifying the surface of a substrate
JP2005014599A (ja) 2003-05-30 2005-01-20 Toyota Central Res & Dev Lab Inc 樹脂シート及びエレクトロルミネッセンス表示装置
FR2855909B1 (fr) 2003-06-06 2005-08-26 Soitec Silicon On Insulator Procede d'obtention concomitante d'au moins une paire de structures comprenant au moins une couche utile reportee sur un substrat
US20040258850A1 (en) 2003-06-18 2004-12-23 Ann Straccia Environmentally friendly reactive fixture to allow localized surface engineering for improved adhesion to coated and non-coated substrates
US20050001201A1 (en) 2003-07-03 2005-01-06 Bocko Peter L. Glass product for use in ultra-thin glass display applications
FR2859312B1 (fr) 2003-09-02 2006-02-17 Soitec Silicon On Insulator Scellement metallique multifonction
US7242041B2 (en) 2003-09-22 2007-07-10 Lucent Technologies Inc. Field-effect transistors with weakly coupled layered inorganic semiconductors
US20050069713A1 (en) 2003-09-30 2005-03-31 Rahul Gupta Capillary coating method
US6992371B2 (en) 2003-10-09 2006-01-31 Freescale Semiconductor, Inc. Device including an amorphous carbon layer for improved adhesion of organic layers and method of fabrication
US20050242341A1 (en) 2003-10-09 2005-11-03 Knudson Christopher T Apparatus and method for supporting a flexible substrate during processing
US20050081993A1 (en) 2003-10-16 2005-04-21 Ilkka Steven J. Method of bonding glass
GB0326537D0 (en) 2003-11-14 2003-12-17 Koninkl Philips Electronics Nv Flexible devices
US20050118742A1 (en) 2003-11-17 2005-06-02 Frank Henning Method for reducing the adhesive properties of MEMS and anti-adhesion-coated device
GB0327093D0 (en) 2003-11-21 2003-12-24 Koninkl Philips Electronics Nv Active matrix displays and other electronic devices having plastic substrates
US7041608B2 (en) 2004-02-06 2006-05-09 Eastman Kodak Company Providing fluorocarbon layers on conductive electrodes in making electronic devices such as OLED devices
US7147891B2 (en) 2004-02-11 2006-12-12 Dionex Corporation Polymer substrate coated with weak cationic-exchange functional layer
US7087134B2 (en) 2004-03-31 2006-08-08 Hewlett-Packard Development Company, L.P. System and method for direct-bonding of substrates
JP2005300972A (ja) 2004-04-13 2005-10-27 Seiko Epson Corp 表示装置の製造方法及び基板貼り合わせ装置
JP2006003684A (ja) 2004-06-18 2006-01-05 Nikon Corp 基板レスフィルタの製造方法
ITMI20041252A1 (it) 2004-06-22 2004-09-22 Solvay Solexis Spa Composizioni perfluoroelastomeriche
US7261793B2 (en) 2004-08-13 2007-08-28 Hewlett-Packard Development Company, L.P. System and method for low temperature plasma-enhanced bonding
US20060134362A1 (en) 2004-12-17 2006-06-22 3M Innovative Properties Company Optically clear pressure sensitive adhesive
EP1854136A1 (en) 2005-03-01 2007-11-14 Dow Corning Corporation Temporary wafer bonding method for semiconductor processing
JP2006255926A (ja) * 2005-03-15 2006-09-28 Teijin Ltd 積層フィルム
WO2006112523A1 (ja) * 2005-04-19 2006-10-26 Ube Industries, Ltd. ポリイミドフィルム積層体
US20060246218A1 (en) 2005-04-29 2006-11-02 Guardian Industries Corp. Hydrophilic DLC on substrate with barrier discharge pyrolysis treatment
US7462552B2 (en) 2005-05-23 2008-12-09 Ziptronix, Inc. Method of detachable direct bonding at low temperatures
US20070020451A1 (en) 2005-07-20 2007-01-25 3M Innovative Properties Company Moisture barrier coatings
US7166520B1 (en) 2005-08-08 2007-01-23 Silicon Genesis Corporation Thin handle substrate method and structure for fabricating devices using one or more films provided by a layer transfer process
CN101242951B (zh) 2005-08-09 2012-10-31 旭硝子株式会社 薄板玻璃层压体以及利用薄板玻璃层压体的显示装置的制造方法
US7462551B2 (en) 2005-09-30 2008-12-09 Intel Corporation Adhesive system for supporting thin silicon wafer
US8039049B2 (en) 2005-09-30 2011-10-18 Tokyo Electron Limited Treatment of low dielectric constant films using a batch processing system
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
JP2007138144A (ja) 2005-10-18 2007-06-07 Hitachi Chem Co Ltd シリカ系被膜形成用組成物
KR20070047114A (ko) 2005-11-01 2007-05-04 주식회사 엘지화학 플렉서블 기판을 구비한 소자의 제조방법 및 이에 의해제조된 플렉서블 기판을 구비한 소자
CN101305315B (zh) 2005-11-11 2010-05-19 株式会社半导体能源研究所 形成具有功能性的层的方法及半导体器件的制造方法
FR2893750B1 (fr) 2005-11-22 2008-03-14 Commissariat Energie Atomique Procede de fabrication d'un dispositif electronique flexible du type ecran comportant une pluralite de composants en couches minces.
US20070134784A1 (en) 2005-12-09 2007-06-14 Halverson Kurt J Microreplicated microarrays
KR100831562B1 (ko) 2006-03-23 2008-05-21 주식회사 엘지화학 유연성 기판 반송용 점착제 조성물
US7737035B1 (en) 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
WO2007121524A1 (en) 2006-04-20 2007-11-01 Epitactix Pty Ltd. Method of manufacture and resulting structures for semiconductor devices
CN101437772B (zh) 2006-05-08 2011-09-07 旭硝子株式会社 薄板玻璃叠层体、使用了薄板玻璃叠层体的显示装置的制造方法及支持用玻璃基板
KR101358255B1 (ko) 2006-06-27 2014-02-05 엘지디스플레이 주식회사 광경화 타입 소수성 몰드 및 그 제조방법
JPWO2008007622A1 (ja) 2006-07-12 2009-12-10 旭硝子株式会社 保護ガラス付ガラス基板、保護ガラス付ガラス基板を用いた表示装置の製造方法及び剥離紙用シリコーン
US20080044588A1 (en) 2006-08-15 2008-02-21 Sakhrani Vinay G Method for Treating a Hydrophilic Surface
US8084103B2 (en) 2006-08-15 2011-12-27 Sakhrani Vinay G Method for treating a hydrophilic surface
JP2008072087A (ja) 2006-08-16 2008-03-27 Kyoto Univ 半導体装置および半導体装置の製造方法、ならびに表示装置
WO2008044884A1 (en) 2006-10-13 2008-04-17 Sunwoo Amc Co., Ltd. Laminating film of plastic/teflon-silicon and method for preparing the same
JP5008381B2 (ja) 2006-11-15 2012-08-22 富士フイルム株式会社 可撓性基板を用いた有機エレクトロルミネッセンス発光パネルの製造方法、それにより製造された有機エレクトロルミネッセンス発光パネル、及びその製造に使用する支持基板
KR100890250B1 (ko) 2007-01-08 2009-03-24 포항공과대학교 산학협력단 플렉서블 소자의 제조 방법 및 플렉서블 표시 장치의 제조방법
US8110906B2 (en) 2007-01-23 2012-02-07 Infineon Technologies Ag Semiconductor device including isolation layer
JP5532918B2 (ja) 2007-03-12 2014-06-25 旭硝子株式会社 保護ガラス付ガラス基板を用いた表示装置の製造方法
US8399047B2 (en) 2007-03-22 2013-03-19 The Regents Of The Univeristy Of Michigan Multifunctional CVD coatings
WO2008132085A1 (de) 2007-04-26 2008-11-06 Basf Se Silane enthaltend phenothiazin-s-oxid oder phenothiazin-s,s-dioxid-gruppen und deren verwendung in oleds
KR101436115B1 (ko) 2007-04-27 2014-09-01 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 기판의 제조방법, 및 반도체장치의 제조방법
CN101679109B (zh) 2007-06-20 2011-11-09 旭硝子株式会社 采用氟化剂的氧化物玻璃的表面处理方法
KR20080113576A (ko) 2007-06-25 2008-12-31 엘지디스플레이 주식회사 액정표시장치 및 그 제조방법
US7935780B2 (en) 2007-06-25 2011-05-03 Brewer Science Inc. High-temperature spin-on temporary bonding compositions
TW200907003A (en) 2007-07-03 2009-02-16 Hitachi Chemical Co Ltd Adhesive composition and method of manufacturing thereof, adhesive member using the adhesive composition and method of manufacturing thereof, supporting member for mounting semiconductor and method of manufacturing thereof, and semiconductor apparatus an
JP2009035720A (ja) 2007-07-11 2009-02-19 Seiko Epson Corp 接合膜付き基材、接合方法および接合体
JP2009028923A (ja) 2007-07-24 2009-02-12 Seiko Epson Corp 接合方法、接合体および配線基板
JP2009028922A (ja) 2007-07-24 2009-02-12 Seiko Epson Corp 接合方法、接合体、液滴吐出ヘッドおよび液滴吐出装置
JP2009074002A (ja) 2007-09-21 2009-04-09 Seiko Epson Corp 接着シート、接合方法および接合体
US20090091025A1 (en) 2007-10-04 2009-04-09 Agency For Science, Technology And Research Method for forming and releasing interconnects
JP4710897B2 (ja) 2007-11-28 2011-06-29 セイコーエプソン株式会社 接合体の剥離方法
KR101445734B1 (ko) 2007-12-07 2014-10-01 삼성전자 주식회사 액정표시장치
KR101096142B1 (ko) 2008-01-24 2011-12-19 브레우어 사이언스 인코포레이션 캐리어 기판에 디바이스 웨이퍼를 가역적으로 장착하는 방법
JP5024087B2 (ja) 2008-02-05 2012-09-12 旭硝子株式会社 ガラス積層体、支持体付き表示装置用パネル、およびそれらの製造方法
GB0802912D0 (en) 2008-02-15 2008-03-26 Carben Semicon Ltd Thin-film transistor, carbon-based layer and method of production thereof
EP2274162A1 (en) 2008-04-08 2011-01-19 The Arizona Board Of Regents, A Body Corporate Of The State Of Arizona Acting For And On Behalf Of Arizona State University Assemblies and methods for reducing warp and bow of a flexible substrate during semiconductor processing
KR101500684B1 (ko) 2008-04-17 2015-03-10 삼성디스플레이 주식회사 캐리어 기판 및 이를 이용한 가요성 표시 장치의 제조 방법
JPWO2009128359A1 (ja) 2008-04-17 2011-08-04 旭硝子株式会社 ガラス積層体、支持体付き表示装置用パネルおよびこれらの製造方法
US7842548B2 (en) 2008-04-22 2010-11-30 Taiwan Semconductor Manufacturing Co., Ltd. Fixture for P-through silicon via assembly
KR101458901B1 (ko) 2008-04-29 2014-11-10 삼성디스플레이 주식회사 가요성 표시 장치의 제조 방법
US7960840B2 (en) 2008-05-12 2011-06-14 Texas Instruments Incorporated Double wafer carrier process for creating integrated circuit die with through-silicon vias and micro-electro-mechanical systems protected by a hermetic cavity created at the wafer level
JP5029523B2 (ja) 2008-07-14 2012-09-19 旭硝子株式会社 ガラス積層体、支持体付き表示装置用パネル、表示装置用パネル、表示装置およびこれらの製造方法
DE102008045370B4 (de) 2008-09-02 2010-07-08 Grenzebach Maschinenbau Gmbh Verfahren und Vorrichtung zum Transport großflächiger, dünner Glasplatten
WO2010051106A2 (en) 2008-09-12 2010-05-06 Arizona Board of Regents, a body corporate acting for and on behalf of Arizona State University Methods for attaching flexible substrates to rigid carriers and resulting devices
TWI354854B (en) 2008-09-15 2011-12-21 Ind Tech Res Inst Substrate structures applied in flexible electrica
JP2010107597A (ja) 2008-10-28 2010-05-13 Seiko Epson Corp 光学素子および光学素子の製造方法
US8840999B2 (en) 2008-11-19 2014-09-23 Dow Corning Toray Company, Ltd. Silicone composition and a method for preparing the same
US8211270B2 (en) 2008-11-21 2012-07-03 Nitto Denko Corporation Method of detaching attached boards from each other
DE102009022628A1 (de) 2008-12-05 2010-06-10 Evonik Goldschmidt Gmbh Verfahren zur Modifizierung von Oberflächen
TW201033000A (en) 2009-01-09 2010-09-16 Asahi Glass Co Ltd Glass laminate and manufacturing method therefor
US9063605B2 (en) 2009-01-09 2015-06-23 Apple Inc. Thin glass processing using a carrier
JP5207306B2 (ja) 2009-01-26 2013-06-12 武井電機工業株式会社 薄膜積層ガラス基板の薄膜除去方法及び装置
EP2401148B1 (en) 2009-02-27 2013-01-23 ExxonMobil Oil Corporation Plasma treated evoh multilayer film
TWI410329B (zh) 2009-03-09 2013-10-01 Ind Tech Res Inst 可撓式裝置的取下設備及其取下方法
JP5402184B2 (ja) 2009-04-13 2014-01-29 日本電気硝子株式会社 ガラスフィルムおよびその製造方法
CN101873532B (zh) 2009-04-22 2013-01-02 电信科学技术研究院 广播多播系统中发送和获取控制信息的方法、基站和ue
US8697228B2 (en) 2009-05-06 2014-04-15 Corning Incorporated Carrier for glass substrates
WO2010128611A1 (ja) * 2009-05-08 2010-11-11 日立化成工業株式会社 半導体封止用フィルム状接着剤、半導体装置及びその製造方法
CN101924067B (zh) 2009-06-09 2013-05-08 财团法人工业技术研究院 挠性膜自载板上脱离的方法及可挠式电子装置的制造方法
JP2010284869A (ja) 2009-06-11 2010-12-24 Shin-Etsu Chemical Co Ltd 接合部材
JP5594522B2 (ja) 2009-07-03 2014-09-24 日本電気硝子株式会社 電子デバイス製造用ガラスフィルム積層体
US8568184B2 (en) 2009-07-15 2013-10-29 Apple Inc. Display modules
US7987566B2 (en) 2009-07-15 2011-08-02 Sturzebecher Richard J Capacitor forming method
CN101989469A (zh) 2009-07-31 2011-03-23 群康科技(深圳)有限公司 导电板
US8048794B2 (en) 2009-08-18 2011-11-01 International Business Machines Corporation 3D silicon-silicon die stack structure and method for fine pitch interconnection and vertical heat transport
DE102009028640A1 (de) 2009-08-19 2011-02-24 Evonik Goldschmidt Gmbh Härtbare Masse enthaltend Urethangruppen aufweisende silylierte Polymere und deren Verwendung in Dicht- und Klebstoffen, Binde- und/oder Oberflächenmodifizierungsmitteln
US9847243B2 (en) * 2009-08-27 2017-12-19 Corning Incorporated Debonding a glass substrate from carrier using ultrasonic wave
WO2011024690A1 (ja) 2009-08-27 2011-03-03 旭硝子株式会社 フレキシブル基材-支持体の積層構造体、支持体付き電子デバイス用パネル、および電子デバイス用パネルの製造方法
JP5562597B2 (ja) 2009-08-28 2014-07-30 荒川化学工業株式会社 支持体、ガラス基板積層体、支持体付き表示装置用パネル、および表示装置用パネルの製造方法
JP5723776B2 (ja) 2009-09-08 2015-05-27 旭硝子株式会社 ガラス/樹脂積層体の製造方法
ES2660203T3 (es) 2009-09-11 2018-03-21 Henkel IP & Holding GmbH Composiciones para la unión de polímeros
KR101730901B1 (ko) 2009-09-18 2017-04-27 니폰 덴키 가라스 가부시키가이샤 유리 필름의 제조 방법, 유리 필름의 처리 방법 및 유리 필름 적층체
CN102574371B (zh) 2009-10-20 2015-10-07 旭硝子株式会社 玻璃层叠体、带支承体的显示装置用面板、显示装置用面板、显示装置及它们的制造方法
KR20120098640A (ko) 2009-10-20 2012-09-05 아사히 가라스 가부시키가이샤 유리 적층체 및 그의 제조 방법, 및 표시 패널의 제조 방법 및 그 제조 방법에 의해 얻어지는 표시 패널
KR20110045136A (ko) 2009-10-26 2011-05-04 주식회사 삼영테크놀로지 윈도우와 디스플레이 패널을 분리하기 위한 코팅방법
US9019211B2 (en) 2009-10-30 2015-04-28 Corning Incorporated Methods and apparatus for providing touch sensitive displays
KR20120105030A (ko) 2009-12-17 2012-09-24 세람테크 게엠베하 골 시멘트 부착을 향상시키기 위한 세라믹 기재에 대한 표면 컨디셔닝
US9156230B2 (en) 2010-01-12 2015-10-13 Nippon Electric Glass Co., Ltd. Glass film laminate without adhesive
US8695832B2 (en) * 2010-02-02 2014-04-15 Klaus Thielen Can lid and method for producing a can lid
GB201003067D0 (en) 2010-02-23 2010-04-07 Semblant Ltd Plasma-polymerized polymer coating
JP2011201977A (ja) 2010-03-24 2011-10-13 Seiko Epson Corp 接合方法
JP2011201976A (ja) 2010-03-24 2011-10-13 Seiko Epson Corp 接合方法
US8349727B2 (en) 2010-04-08 2013-01-08 Liang Guo Integrated method for high-density interconnection of electronic components through stretchable interconnects
US20110256385A1 (en) 2010-04-15 2011-10-20 Seiko Epson Corporation Bonding film-attached substrate and bonding film-attached substrate manufacturing method
JP5516046B2 (ja) 2010-05-11 2014-06-11 セイコーエプソン株式会社 接合膜転写シートおよび接合方法
JP5835214B2 (ja) 2010-05-11 2015-12-24 旭硝子株式会社 積層体の製造方法、および積層体
JP2011235556A (ja) 2010-05-11 2011-11-24 Seiko Epson Corp 励起エネルギー特定方法、励起エネルギー特定装置、接合方法および接合装置
GB2481187B (en) 2010-06-04 2014-10-29 Plastic Logic Ltd Processing substrates
KR101721414B1 (ko) 2010-06-09 2017-03-31 삼성디스플레이 주식회사 백라이트 어셈블리 및 이를 포함하는 액정표시장치
TWI432835B (zh) 2010-06-24 2014-04-01 Au Optronics Corp 可撓性顯示面板及其製造方法
DE102010025967B4 (de) 2010-07-02 2015-12-10 Schott Ag Verfahren zur Erzeugung einer Vielzahl von Löchern, Vorrichtung hierzu und Glas-Interposer
CN101916022B (zh) 2010-07-06 2012-10-10 友达光电股份有限公司 可挠性显示面板及其制造方法
JP5602529B2 (ja) 2010-07-29 2014-10-08 日本合成化学工業株式会社 積層体の製法、ガラス基板付き偏光板の製法、およびそれにより得られたガラス基板付き偏光板
US9263314B2 (en) 2010-08-06 2016-02-16 Brewer Science Inc. Multiple bonding layers for thin-wafer handling
US20120035309A1 (en) 2010-08-06 2012-02-09 Baker Hughes Incorporated Method to disperse nanoparticles into elastomer and articles produced therefrom
JP5462107B2 (ja) 2010-08-09 2014-04-02 株式会社日本製鋼所 中空成形品の製造方法および製造装置
US8846499B2 (en) 2010-08-17 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Composite carrier structure
TWI446420B (zh) 2010-08-27 2014-07-21 Advanced Semiconductor Eng 用於半導體製程之載體分離方法
US20120063952A1 (en) 2010-09-10 2012-03-15 Hong Keith C Uv resistant clear laminates
KR101164945B1 (ko) 2010-09-13 2012-07-12 한국과학기술원 플렉시블 소자의 제작 방법
KR101779586B1 (ko) 2010-09-27 2017-10-10 엘지디스플레이 주식회사 플라스틱 기판을 이용한 표시장치 제조 방법
WO2012043971A2 (ko) 2010-09-29 2012-04-05 포항공과대학교 산학협력단 롤 형상의 모기판을 이용한 플렉서블 전자소자의 제조방법, 플렉서블 전자소자 및 플렉서블 기판
US8822306B2 (en) 2010-09-30 2014-09-02 Infineon Technologies Ag Method for manufacturing a composite wafer having a graphite core, and composite wafer having a graphite core
US8859103B2 (en) 2010-11-05 2014-10-14 Joseph Eugene Canale Glass wafers for semiconductor fabrication processes and methods of making same
KR101295532B1 (ko) 2010-11-11 2013-08-12 엘지디스플레이 주식회사 플렉시블 평판소자의 제조방법
KR101271838B1 (ko) 2010-11-24 2013-06-07 주식회사 포스코 보강기판을 이용한 플렉서블 전자소자의 제조방법, 플렉서블 전자소자 및 플렉서블 기판
EP2458620B1 (en) 2010-11-29 2021-12-01 IHP GmbH-Innovations for High Performance Microelectronics / Leibniz-Institut für innovative Mikroelektronik Fabrication of graphene electronic devices using step surface contour
TW201238014A (en) 2010-11-30 2012-09-16 Corning Inc Methods of forming a glass wiring board substrate
JP2012119611A (ja) 2010-12-03 2012-06-21 Asahi Glass Co Ltd 貫通電極基板の製造方法
KR101049380B1 (ko) * 2010-12-21 2011-07-15 한국기계연구원 전해도금을 이용한 반도체 소자 3차원 패키지용 관통 전극 및 그 제조 방법
CN102070120B (zh) 2010-12-31 2012-09-05 东南大学 用于微电子系统级封装的高密度转接板的制备方法
JP2012166999A (ja) 2011-02-16 2012-09-06 Asahi Glass Co Ltd インターポーザ用ガラス基板の製造方法、インターポーザの製造方法、インターポーザ用ガラス基板、およびインターポーザ
KR101918284B1 (ko) 2011-03-03 2019-01-30 엘지디스플레이 주식회사 플렉시블 표시장치의 제조 방법
JP2012209545A (ja) 2011-03-17 2012-10-25 Sekisui Chem Co Ltd 半導体積層体の製造方法
TWI445626B (zh) 2011-03-18 2014-07-21 Eternal Chemical Co Ltd 製造軟性元件的方法
JP2012227310A (ja) 2011-04-19 2012-11-15 Panasonic Corp セラミックス多層基板とその製造方法
CN103492173B (zh) 2011-04-22 2015-05-20 旭硝子株式会社 层叠体、其制造方法和用途
JP5862238B2 (ja) 2011-05-27 2016-02-16 東洋紡株式会社 積層体とその製造方法及びそれを用いたデバイス構造体の製造方法
JP5862866B2 (ja) 2011-05-30 2016-02-16 東洋紡株式会社 積層体の作成方法および、この積層体を利用したフィルムデバイスの作成方法
JP5883236B2 (ja) 2011-06-10 2016-03-09 日東電工株式会社 薄層基材用キャリア材
KR20130000211A (ko) 2011-06-22 2013-01-02 삼성전자주식회사 기판 가공 방법
KR20130003997A (ko) 2011-07-01 2013-01-09 엘지디스플레이 주식회사 캐리어 기판과 박형 글라스의 탈부착 방법
WO2013006865A2 (en) 2011-07-07 2013-01-10 Brewer Science Inc. Methods of transferring device wafers or layers between carrier substrates and other surfaces
JP2013024100A (ja) 2011-07-20 2013-02-04 Yamaha Motor Co Ltd 内燃機関およびそれを備えた鞍乗型車両
US8617925B2 (en) * 2011-08-09 2013-12-31 Soitec Methods of forming bonded semiconductor structures in 3D integration processes using recoverable substrates, and bonded semiconductor structures formed by such methods
US8383460B1 (en) 2011-09-23 2013-02-26 GlobalFoundries, Inc. Method for fabricating through substrate vias in semiconductor substrate
KR20140069277A (ko) 2011-09-27 2014-06-09 어플라이드 머티어리얼스, 인코포레이티드 얇은 유리 기판들을 위한 캐리어 및 그 이용
JP5796449B2 (ja) 2011-10-12 2015-10-21 旭硝子株式会社 電子デバイスの製造方法、樹脂層付きキャリア基板の製造方法
JP5790392B2 (ja) 2011-10-12 2015-10-07 旭硝子株式会社 電子デバイスの製造方法
CN103889712B (zh) 2011-10-18 2015-07-08 旭硝子株式会社 层叠体、层叠体的制造方法及带有电子器件用构件的玻璃基板的制造方法
KR101820171B1 (ko) 2011-10-24 2018-01-19 엘지디스플레이 주식회사 경량 박형의 액정표시장치 제조방법
US8550061B2 (en) 2012-01-26 2013-10-08 Maruzen Company Limited Toy gun
US8696864B2 (en) * 2012-01-26 2014-04-15 Promerus, Llc Room temperature debonding composition, method and stack
JP2015515431A (ja) 2012-02-08 2015-05-28 コーニング インコーポレイテッド 担体付のフレキシブルガラスの処理
US9725357B2 (en) 2012-10-12 2017-08-08 Corning Incorporated Glass articles having films with moderate adhesion and retained strength
JP2013184346A (ja) 2012-03-07 2013-09-19 Asahi Glass Co Ltd ガラス積層体、電子デバイスの製造方法
JP2013184872A (ja) 2012-03-09 2013-09-19 Nippon Electric Glass Co Ltd ガラス基板の端部処理方法、ガラス基板の端部処理装置及びガラス基板
JP2013207084A (ja) 2012-03-28 2013-10-07 Sumitomo Electric Ind Ltd 放熱板付基板モジュールおよび放熱板付基板モジュールの製造方法
JP2013216513A (ja) 2012-04-05 2013-10-24 Nippon Electric Glass Co Ltd ガラスフィルムの切断方法及びガラスフィルム積層体
DE102012207149A1 (de) 2012-04-27 2013-10-31 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verbund mit temporärer Schutzfolie
WO2013179881A1 (ja) 2012-05-29 2013-12-05 旭硝子株式会社 ガラス積層体および電子デバイスの製造方法
KR20130095605A (ko) 2012-06-22 2013-08-28 코스텍시스템(주) 디바이스 웨이퍼와 캐리어 웨이퍼의 본딩과 디본딩 장치
CN102815052B (zh) 2012-06-29 2016-08-24 法国圣戈班玻璃公司 超疏水减反基板及其制作方法
JP2014019597A (ja) 2012-07-17 2014-02-03 Nippon Electric Glass Co Ltd ガラスフィルムの製造方法及びガラスフィルム積層体
CN102820262A (zh) 2012-09-05 2012-12-12 江苏物联网研究发展中心 一种玻璃通孔的制作及互连的方法
JP6323957B2 (ja) 2012-10-12 2018-05-16 コーニング インコーポレイテッド 残留強度を有する物品
US20140150244A1 (en) 2012-11-30 2014-06-05 General Electric Company Adhesive-free carrier assemblies for glass substrates
US10014177B2 (en) 2012-12-13 2018-07-03 Corning Incorporated Methods for processing electronic devices
EP2932496A4 (en) 2012-12-13 2016-11-02 Corning Inc GLASS AND METHOD FOR PRODUCING GLASS ARTICLES
US9340443B2 (en) 2012-12-13 2016-05-17 Corning Incorporated Bulk annealing of glass sheets
TWI617437B (zh) 2012-12-13 2018-03-11 康寧公司 促進控制薄片與載體間接合之處理
US10086584B2 (en) 2012-12-13 2018-10-02 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
WO2014137801A1 (en) 2013-03-03 2014-09-12 John Moore Temporary adhesive with tunable adhesion force sufficient for processing thin solid materials
US9790407B2 (en) 2013-03-09 2017-10-17 Moore John Aqueous detergent soluble coating and adhesive and methods of temporary bonding for manufacturing
CN105658594B (zh) 2013-03-15 2019-01-04 康宁股份有限公司 玻璃片的整体退火
KR101432575B1 (ko) 2013-03-29 2014-08-21 엘지디스플레이 주식회사 경량 박형의 액정표시장치 제조방법
US20150099110A1 (en) 2013-10-07 2015-04-09 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
US10510576B2 (en) 2013-10-14 2019-12-17 Corning Incorporated Carrier-bonding methods and articles for semiconductor and interposer processing
JP6176067B2 (ja) 2013-11-11 2017-08-09 旭硝子株式会社 ガラス積層体および電子デバイスの製造方法
JP6119567B2 (ja) 2013-11-11 2017-04-26 旭硝子株式会社 ガラス積層体の製造方法および電子デバイスの製造方法
JP6136909B2 (ja) 2013-12-17 2017-05-31 旭硝子株式会社 樹脂層付き支持基板の製造方法、ガラス積層体の製造方法、電子デバイスの製造方法
JP6770432B2 (ja) 2014-01-27 2020-10-14 コーニング インコーポレイテッド 薄いシートの担体との制御された結合のための物品および方法
TWI654088B (zh) 2014-01-27 2019-03-21 美商康寧公司 用於聚合物表面與載具之受控接合之物件及方法
WO2015113023A1 (en) 2014-01-27 2015-07-30 Corning Incorporated Treatment of a surface modification layer for controlled bonding of thin sheets with carriers
CN105980150B (zh) 2014-02-07 2018-01-30 旭硝子株式会社 玻璃层叠体
SG11201608442TA (en) 2014-04-09 2016-11-29 Corning Inc Device modified substrate article and methods for making
CN106163798B (zh) 2014-04-10 2019-05-10 Agc株式会社 玻璃层叠体及其制造方法、电子器件的制造方法
WO2015163134A1 (ja) 2014-04-25 2015-10-29 旭硝子株式会社 ガラス積層体および電子デバイスの製造方法
CN106573443B (zh) 2014-08-01 2018-09-25 Agc株式会社 带无机膜的支撑基板及玻璃层叠体、以及它们的制造方法及电子器件的制造方法
CN117534339A (zh) 2015-06-26 2024-02-09 康宁股份有限公司 包含板材和载体的方法和制品
JP2019524510A (ja) 2016-08-22 2019-09-05 コーニング インコーポレイテッド 制御可能に結合されたシートの物品およびその製造方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10034737C2 (de) * 2000-07-17 2002-07-11 Fraunhofer Ges Forschung Verfahren zur Herstellung einer permanenten Entformungsschicht durch Plasmapolymerisation auf der Oberfläche eines Formteilwerkzeugs, ein nach dem Verfahren herstellbares Formteilwerkzeug und dessen Verwendung
CN1742366A (zh) * 2002-11-29 2006-03-01 弗兰霍菲尔运输应用研究公司 用于加工晶圆的方法和设备及包括分离层和支持层的晶圆
CN102290367A (zh) * 2010-06-21 2011-12-21 布鲁尔科技公司 从载体基底中移去可逆向安装的器件晶片的方法和装置
CN102945790A (zh) * 2012-02-08 2013-02-27 日月光半导体制造股份有限公司 半导体晶圆的载体接合及分离的工艺

Also Published As

Publication number Publication date
EP3058587A1 (en) 2016-08-24
TW201517175A (zh) 2015-05-01
JP6873702B2 (ja) 2021-05-19
SG11201602919PA (en) 2016-05-30
KR20160070179A (ko) 2016-06-17
TWI632612B (zh) 2018-08-11
JP2019208054A (ja) 2019-12-05
WO2015057605A1 (en) 2015-04-23
CN106133899A (zh) 2016-11-16
KR102355656B1 (ko) 2022-01-26
US20150102498A1 (en) 2015-04-16
EP3058587B1 (en) 2022-09-14
US10510576B2 (en) 2019-12-17
JP2017500753A (ja) 2017-01-05
JP6874068B2 (ja) 2021-05-19

Similar Documents

Publication Publication Date Title
CN106133899B (zh) 用于半导体和插入物加工的载体粘结方法和制品
CN106132688B (zh) 用于薄片与载体的受控粘结的制品和方法
CN105144420B (zh) 用于加工oled装置的方法
CN105683115B (zh) 用于玻璃片材和载体的受控结合的玻璃制品和方法
CN105658594B (zh) 玻璃片的整体退火
CN106457758B (zh) 装置改性的基材制品及其制备方法
CN105143121B (zh) 用于控制片材和载体之间的结合的促进的加工
CN106104778A (zh) 用于聚合物表面与载体的受控粘结的制品和方法
CN106103371A (zh) 用于玻璃片材和载体的受控结合的玻璃制品和方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant