TW201601211A - 裝置修飾基板物件及其製造方法 - Google Patents

裝置修飾基板物件及其製造方法 Download PDF

Info

Publication number
TW201601211A
TW201601211A TW104111460A TW104111460A TW201601211A TW 201601211 A TW201601211 A TW 201601211A TW 104111460 A TW104111460 A TW 104111460A TW 104111460 A TW104111460 A TW 104111460A TW 201601211 A TW201601211 A TW 201601211A
Authority
TW
Taiwan
Prior art keywords
glass
substrate
carrier
device substrate
article
Prior art date
Application number
TW104111460A
Other languages
English (en)
Inventor
貝爾曼羅伯特艾倫
瑪贊德普蘭汀
曼利羅伯喬治
艾迪巴凱文
Original Assignee
康寧公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 康寧公司 filed Critical 康寧公司
Publication of TW201601211A publication Critical patent/TW201601211A/zh

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B17/00Layered products essentially comprising sheet glass, or glass, slag, or like fibres
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B17/00Layered products essentially comprising sheet glass, or glass, slag, or like fibres
    • B32B17/06Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B27/00Layered products comprising a layer of synthetic resin
    • B32B27/06Layered products comprising a layer of synthetic resin as the main or only constituent of a layer, which is next to another layer of the same or of a different material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B3/00Layered products comprising a layer with external or internal discontinuities or unevennesses, or a layer of non-planar form; Layered products having particular features of form
    • B32B3/26Layered products comprising a layer with external or internal discontinuities or unevennesses, or a layer of non-planar form; Layered products having particular features of form characterised by a particular shape of the outline of the cross-section of a continuous layer; characterised by a layer with cavities or internal voids ; characterised by an apertured layer
    • B32B3/266Layered products comprising a layer with external or internal discontinuities or unevennesses, or a layer of non-planar form; Layered products having particular features of form characterised by a particular shape of the outline of the cross-section of a continuous layer; characterised by a layer with cavities or internal voids ; characterised by an apertured layer characterised by an apertured layer, the apertures going through the whole thickness of the layer, e.g. expanded metal, perforated layer, slit layer regular cells B32B3/12
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B38/00Ancillary operations in connection with laminating processes
    • B32B38/0008Electrical discharge treatment, e.g. corona, plasma treatment; wave energy or particle radiation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B43/00Operations specially adapted for layered products and not otherwise provided for, e.g. repairing; Apparatus therefor
    • B32B43/006Delaminating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B7/00Layered products characterised by the relation between layers; Layered products characterised by the relative orientation of features between layers, or by the relative values of a measurable parameter between layers, i.e. products comprising layers having different physical, chemical or physicochemical properties; Layered products characterised by the interconnection of layers
    • B32B7/04Interconnection of layers
    • B32B7/06Interconnection of layers permitting easy separation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B7/00Layered products characterised by the relation between layers; Layered products characterised by the relative orientation of features between layers, or by the relative values of a measurable parameter between layers, i.e. products comprising layers having different physical, chemical or physicochemical properties; Layered products characterised by the interconnection of layers
    • B32B7/04Interconnection of layers
    • B32B7/12Interconnection of layers using interposed adhesives or interposed materials with bonding properties
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B9/00Layered products comprising a layer of a particular substance not covered by groups B32B11/00 - B32B29/00
    • B32B9/005Layered products comprising a layer of a particular substance not covered by groups B32B11/00 - B32B29/00 comprising one layer of ceramic material, e.g. porcelain, ceramic tile
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B9/00Layered products comprising a layer of a particular substance not covered by groups B32B11/00 - B32B29/00
    • B32B9/04Layered products comprising a layer of a particular substance not covered by groups B32B11/00 - B32B29/00 comprising such particular substance as the main or only constituent of a layer, which is next to another layer of the same or of a different material
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C23/00Other surface treatment of glass not in the form of fibres or filaments
    • C03C23/0005Other surface treatment of glass not in the form of fibres or filaments by irradiation
    • C03C23/006Other surface treatment of glass not in the form of fibres or filaments by irradiation by plasma or corona discharge
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2250/00Layers arrangement
    • B32B2250/022 layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2255/00Coating on the layer surface
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2307/00Properties of the layers or laminate
    • B32B2307/30Properties of the layers or laminate having particular thermal properties
    • B32B2307/308Heat stability
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2307/00Properties of the layers or laminate
    • B32B2307/50Properties of the layers or laminate having particular mechanical properties
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2307/00Properties of the layers or laminate
    • B32B2307/50Properties of the layers or laminate having particular mechanical properties
    • B32B2307/546Flexural strength; Flexion stiffness
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2307/00Properties of the layers or laminate
    • B32B2307/70Other properties
    • B32B2307/702Amorphous
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2307/00Properties of the layers or laminate
    • B32B2307/70Other properties
    • B32B2307/732Dimensional properties
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2307/00Properties of the layers or laminate
    • B32B2307/70Other properties
    • B32B2307/748Releasability
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2307/00Properties of the layers or laminate
    • B32B2307/70Other properties
    • B32B2307/75Printability
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2309/00Parameters for the laminating or treatment process; Apparatus details
    • B32B2309/02Temperature
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2309/00Parameters for the laminating or treatment process; Apparatus details
    • B32B2309/04Time
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2309/00Parameters for the laminating or treatment process; Apparatus details
    • B32B2309/08Dimensions, e.g. volume
    • B32B2309/10Dimensions, e.g. volume linear, e.g. length, distance, width
    • B32B2309/105Thickness
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2310/00Treatment by energy or chemical effects
    • B32B2310/14Corona, ionisation, electrical discharge, plasma treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2315/00Other materials containing non-metallic inorganic compounds not provided for in groups B32B2311/00 - B32B2313/04
    • B32B2315/08Glass
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2457/00Electrical equipment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2457/00Electrical equipment
    • B32B2457/20Displays, e.g. liquid crystal displays, plasma displays
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C15/00Surface treatment of glass, not in the form of fibres or filaments, by etching
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2218/00Methods for coating glass
    • C03C2218/10Deposition methods
    • C03C2218/15Deposition methods from the vapour phase
    • C03C2218/152Deposition methods from the vapour phase by cvd
    • C03C2218/153Deposition methods from the vapour phase by cvd by plasma-enhanced cvd
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2218/00Methods for coating glass
    • C03C2218/30Aspects of methods for coating glass not covered above
    • C03C2218/355Temporary coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02079Cleaning for reclaiming

Abstract

一種製造裝置基板物件的方法,該裝置基板物件具有裝置修飾基板,該裝置修飾基板被支撐在玻璃載體基板上,包括以下步驟:處理裝置基板之至少一部分第一表面、玻璃載體之至少一部分第一表面、或上述之組合,其中該處理產生的表面具有:本文中界定的矽;氧;碳;及氟量;及金屬對氟比;使該經處理的表面與未經處理的或經類似處理的對應裝置基板或玻璃載體基板接觸,以形成由鍵結於該玻璃載體基板的該裝置基板組成的積層體;使用至少一裝置表面修飾處理修飾該積層體之該裝置基板的非鍵結第二表面之至少一部分;以及從該玻璃載體基板分離出具有裝置修飾第二表面的該裝置基板。

Description

裝置修飾基板物件及其製造方法 【相關申請案的交叉引用】
本專利申請案主張於2014年4月9日提出申請、標題為裝置修飾基板物件及其製造方法(DEVICE MODIFIED SUBSTRATE ARTICLE AND METHODS FOR MAKING)的美國專利申請案第61/977364號的優先權權益,該申請案之內容以引用方式全部併入本文中。
本申請案係關於以下一般擁有並讓渡的審查中專利申請案、但不主張該等專利申請案之優先權:2012年2月8日提出申請、標題為「使用載體處理撓性玻璃(PROCESSING FLEXIBLE GLASS WITH A CARRIER)」的美國序號第61/596727號;2013年10月14日提出申請、標題為「具有低彈性模數層和保持強度的物件(ARTICLES WITH LOW-ELASTIC MODULUS LAYER AND RETAINED STRENGTH)」的美國序號第14/053093號;2013年10月14日提出申請、標題為「具有中度黏著性和保持強度的積層物件(LAMINATE ARTICLES WITH MODERATE ADHESION AND RETAINED STRENGTH)」的美國序號第14/053139號;2012年12月13日提出申請、標題為「用於控制片材與載體間之結合的便利處理(FACILITATED PROCESSING FOR CONTROLLING BONDING BETWEEN SHEET AND CARRIER)」的美國序號第61/736880號;以及2012年12月12日提出申請、標題為「玻璃及製造玻璃物件的方法(GLASS AND METHODS OF MAKING GLASS ARTICLES)」的美國序號第61/736887號。
本申請案係關於2014年4月9日提出申請、標題為「具有的膜帶有中度黏著性和保持強度的玻璃物件(GLASS ARTICLES HAVING FILMS WITH MODERATE ADHESION AND RETAINED STRENGTH)」的USSN 14/248,868,但不主張該案之優先權。
將本文中提及的任何刊物或專利文件之整體揭示以引用方式全部併入。
本揭示係關於一種積層物件、該積層物件之製造和用途、及具有裝置修飾表面的玻璃片物件或非玻璃片物件,以用於或作為例如電氣或電子裝置。另外,本揭示係關於一種用於結合及脫離玻璃片物件或非玻璃片物件與載體基板的方法。
現有技術仍然存在缺陷。本發明的目的在於解決這樣的缺陷及/或提供優於現有技術的改良。
在實施例中,本揭示提供具有裝置修飾表面的玻璃裝置基板或非玻璃裝置基板。
在實施例中,本揭示提供一種積層物件,該積層物件包含可逆地結合於可再使用載體的玻璃裝置基板工件,該積層體在界面具有耗竭矽和氧及富含氟和碳的玻璃表面。
在實施例中,本揭示提供用於結合和脫離積層體的方法,該積層體包含玻璃裝置基板工件及用於該工件的載體基板。
在實施例中,本揭示提供一種用於在載體和薄玻璃裝置基板之間形成中度或中等強度鍵結或黏著的方法,以形成暫時鍵結,該暫時鍵結足夠強,以安然渡過例如薄膜電晶體(TFT)處理,但該鍵結足夠弱,以允許在裝置基板的表面處理完成之後適時脫離或分離載體基板與玻璃裝置基板。中等強度鍵結 或中度結合性致能可再使用的載體功能和製造循環。中度結合性可以例如在載體基板和裝置基板工件之間的區域之一個或更多個部分、或在載體基板和裝置基板工件之間的整個區域。
2‧‧‧物件
5‧‧‧線
10‧‧‧載體
20‧‧‧薄片
30‧‧‧界面結合層
40‧‧‧結合區域
50‧‧‧陣列
52‧‧‧周邊
56‧‧‧中介層
57‧‧‧周邊
60‧‧‧通孔
61‧‧‧材料
62‧‧‧直徑
64‧‧‧間距
66‧‧‧裝置
68‧‧‧結構
100‧‧‧製程
105‧‧‧載體基板
106‧‧‧載體
110‧‧‧載體清洗或預調理步驟
115‧‧‧黏著表面調理或黏著表面處理
117‧‧‧化學改性的界面
120‧‧‧結合或組合
122‧‧‧工件
125‧‧‧步驟
127‧‧‧工作表面
130‧‧‧分離或脫離
135‧‧‧工件
140‧‧‧載體
210‧‧‧水
215‧‧‧二碘甲烷
220‧‧‧十六烷
230‧‧‧總的
240‧‧‧極性的
250‧‧‧分散
310‧‧‧氟表面濃度
320‧‧‧碳表面濃度
330‧‧‧氧表面濃度
340‧‧‧無機氟原子濃度
350‧‧‧有機氟原子濃度
510‧‧‧40mJ/m2的表面能
511‧‧‧55mJ/m2的表面能
512‧‧‧72mJ/m2的表面能
515‧‧‧鍵結能(SE)或黏著極限
520‧‧‧100℃
521‧‧‧150℃
522‧‧‧200℃
523‧‧‧250℃
524‧‧‧300℃
在本揭示的實施例中:第1圖圖示揭示的可再使用載體製程(100)之示意圖。
第2A圖和第2B圖圖示CF4和CHF3電漿聚合物處理過的玻璃表面之接觸角(第2A圖)和表面能(第2B圖)變化。
第3A圖和第3B圖圖示使用不同莫耳分率的CF4和CHF3處理的氟碳電漿處理玻璃表面由XPS測得的氟(310)和碳(320)及氧(330)表面濃度(第3A圖)以及由XPS測得的無機氟原子(鍵結到金屬)濃度(340)及有機氟原子(在氟聚合物中)濃度(350)(第3B圖)。
第4圖圖示由XPS測得的玻璃表面元素組成隨著個別的CHF3或CF4電漿處理之聚合物形成電漿處理時間增加之變化,單位為原子%。
第5A圖至第5B圖圖示對於選定的表面處理,黏著或鍵結能之變化為退火溫度(第5A圖)和退火時間(第5B圖)之函數。
第6圖為具有中介層的薄片和載體之俯視圖。
第7圖為沿著第6圖的線7-7截取的薄片和載體之剖視圖。
第8圖為類似於第20圖、但具有配置在薄片上的附加裝置之剖視圖。
將參照圖式(若有的話)詳細描述本揭示的各種實施例。參照各種實施例並不是限制本發明的範圍,本發明的範圍僅由所附的申請專利範圍之範圍所限制。此外,本說明書中闡述的任意實例皆非限制性的,而且只是闡述主張的發明之許多可能的實施例中的一些實施例。
定義
「At%」、「at%」、或類似者是指原子或原子百分比。
「暫時鍵結」是指在揭示的積層體之玻璃裝置基板和載體基板之間的非破壞性和可逆黏著,該鍵結足以安然渡過進一步的表面修飾處理,但該鍵結可以使用例如機械力破壞,以允許處理過的薄玻璃裝置基板與載體基板分離。
「薄玻璃裝置基板」是指例如Corning® Willow®玻璃並具有本文中定義的厚度。
「包括」或類似用語意指涵蓋但不限於,即包容性的且非排除性的。
修飾例如在描述本揭示之實施例中使用的組成物中的成分之量、濃度、體積、製程溫度、製程時間、產率、流動速率、壓力、黏度、及類似值以及上述值之範圍、或元件的尺寸、及類似值、以及上述值之範圍的「約」是指在數值量中會發生的變異,例如:通過用於準備材料、組成物、複合物、濃縮物、成分部分、製造物件、或使用配方的典型量測和處理程序;通過這些程序中的無意錯誤;通過用以進行該方法的起始原料或成分之製造、來源或純度中的差異;以及類似的考量。用語「約」還涵括由於具有特定初始濃度或混合物的組成物或配方老化而改變的量、及由於混合或處理具有特定初始濃度或混合物的組成物或配方而改變的量。
「可選的」或「可選地」意指隨後描述的事件或情況會發生或不會發生,而且該描述包括其中事件或情況發生的例子及其中事件或情況不發生的例子。
本文中使用的不定冠詞「一」及其相應定冠詞「該」意指至少一個、或一個或更多個,除非另有規定。
可以使用所屬技術領域中具有通常知識者熟知的縮寫(例如「h」或「hrs」為小時或數小時、「g」或「gm」為克、「mL」為毫升、而「rt」為室溫、「nm」為奈米、及類似的縮寫)。
揭示用於成分、組分、添加劑、尺寸、條件、時間、及類似方面、以及上述方面之範圍的具體和較佳值僅用於說明;該等值不排除界定範圍內的其他界定值或其他值。揭示的設備和方法可以包括本文所述的任意值或值、具體值、更具體的值、及較佳值之任意組合,包括明確的或隱含的中間值及範圍。
在實施例中,本揭示提供一種藉由表面修飾形成暫時鍵結的方法,該表面修飾在工件基板的至少一部分表面與玻璃載體基板的至少一部分表面間形成中度或中等黏著,以產生用於從工件基板製造裝置修飾基板的積層體。
積層體中的暫時結合狀態消除浪費,並且可以藉由允許製造商再使用載體構件以如第1圖示意性圖示的將裝置製造在薄玻璃片上來降低製造成本。
在實施例中,本揭示提供一種製造積層物件的方法,該方法包括以下步驟:在工件的至少一部分與玻璃載體基板之間形成暫時鍵結,該工件例如玻璃片、玻璃基板、或非玻璃基板(例如撓性玻璃片或矽晶圓)。
形成暫時鍵結可以藉由例如處理以實現表面修飾來完成,該表面修飾在工件與載體基板之間形成適度黏著。
中等或中度黏著可以藉由耗竭表層的矽和氧原子並豐富表層的氟和碳原子來實現。矽原子的耗竭也導致玻璃工件或載體基板中的其他陽離子相對豐富,該等其他陽離子不會與矽原子一起耗竭。例如,矽原子(例如在處理過的表層中的二氧化矽中)的耗竭導致氧化鋁和鹼土原子相對豐富。在實施例中,修飾的表面可以藉由例如蝕刻製程來形成。然而,沉積或蝕刻製程會耗竭玻璃工件表面的二氧化矽、硼、及其他玻璃成分,並因此會以相對的方式豐富玻璃工件表面的鹼土原子和氟反應產物。
在例如Teflon®和玻璃之間的修飾表面之表面能是可以調整的,取決於蝕刻氣體對聚合物形成劑的相對比率。在較佳的實施例中,曝露於含高蝕刻氣體對聚合物形成劑比率的電漿可以形成在修飾的玻璃表面上具有稀疏覆蓋的碳基聚合物的高極性表面,其中大多數金屬對氧的鍵結已被金屬對氟的鍵結取代。這個高極性表面顯示的高能量表面可立即與玻璃鍵結,前提是表面粗糙度是小的,例如低於約2nm。該暫時鍵結較佳是足夠強的,以安然渡過平板顯示器(FPD)處理,包括例如濕式超音波、真空、及熱製程,並保持藉由施加足夠的剝離力是可脫離的。脫離允許積層體分解,即從處理過的薄玻璃片分離出玻璃載體基板,該處理過的薄玻璃片例如在薄玻璃片的表面上具有從中間處理產生的裝置或結構。若需要的話,分離的玻璃載體可被重複再使用於許多的揭示方法之循環。
在實施例中,揭示的方法使用對玻璃載體適度結合的撓性玻璃片(即工件),該適度結合允許隨後從載體移除薄玻璃片,以提供可再使用的載體。
揭示的方法之優點在於幾個方面,包括例如:消除撓性玻璃片與玻璃載體基板之間的氣隙(該氣隙被揭示於現有方法中),以在真空、超音波、及濕處理中提供更高的製造可靠度;提供撓性的、薄的、或類似的玻璃片、或非玻璃片、具有較平的面工作表面的工件,從而可以藉由減小積層體內的高度變化來改良使用者在工件上建構電路或裝置及進行處理(例如微影)的自由度;提供較簡單的結合表面製備和結合表面處理;提供較容易地從載體基板脫離或分離工件;提供可再使用的載體基板;以及提供工件和載體基板之間的結合表面,該結合表面提供比市售的聚合物晶圓結合方法更高的熱穩定性。
在實施例中,揭示的撓性基板提供使用例如輥對輥處理來成本更低地製造裝置的機會、以及製造更薄、更輕、更撓性、及更耐用的顯示器的可能性。然而,輥對輥處理高品質顯示器所需的技術、設備、及製程尚未被完全開發。由於面板製造商已經大量投資工具組來處理大片的玻璃,將撓性基板層疊於載體基板及藉由片對片製程製造顯示裝置提供了較短期的解決方案來開發更薄、更輕、及更撓性的顯示器之價值主張。顯示器已經被展示在諸如聚萘二甲酸乙二酯(PEN)的聚合物片材上,其中裝置製造為片對片的,且PEN被層疊於玻璃載體。PEN的溫度上限限制了裝置的品質和可以使用的製程。此外,聚合物基板的高滲透性導致通常需要接近密閉封裝的OLED裝置之環境退化。薄膜封裝提供有前景的潛在解決方案來克服這個限制,但封裝的方法尚未被證實可為大的生產量提供可接受的產率。
以類似的方式,可以使用已被層疊於一個或更多個撓性玻璃基板的玻璃載體基板來製造顯示裝置。預期的是,撓性玻璃基板的低滲透性及改良的耐溫性和耐化學性將能夠得到更高的性能、更長的壽命、以及撓性的顯示器。
上面提到的一般擁有並讓渡的USSN 61596727提及最初藉由凡得瓦力將薄片(例如撓性玻璃片)結合於載體,然後在某些區域增強鍵結強度,同時保留在處理薄片或載體以在上面形成裝置之後移除薄片的能力,該裝置例如電子或顯示裝置、電子或顯示裝置的元件、OLED材料、光伏(PV)結構、或薄膜電晶體。至少一部分的薄玻璃被鍵結到載體基板,使得可以防止裝置製程流體進入薄片和載體之間,其中提供了降低的、污染下游製程的可能性,即薄片和載體之間的鍵結密封是密閉的,而且在一些較佳的實施例中,此密封涵括物件的外側,從而防止液體或氣體侵入或從密封物件的任何區域流出。
讓渡給Asahi的JP2013184346和WO2013179881提及可剝離的玻璃積層主體,該可剝離的玻璃積層主體具有支撐基板及玻璃基板,該支撐基板具有 第一無機層,該玻璃基板具有無機層,該無機層設有第二無機層,該第一和第二無機層中的任一者或兩者皆含有以下中之至少一者:金屬氧化物、金屬氮化物、金屬氮氧化物、金屬碳化物、金屬氮碳化物、金屬矽化物、或金屬氟化物。
在低溫多晶矽(LTPS)裝置製造製程中,可能使用接近600℃或更高的溫度、真空、及濕蝕刻環境。這些條件限制了可以使用的材料,並將重要的穩定性要求放在由載體基板和片材基板組成的積層體元件上。
在實施例中,本揭示提供一種用於將撓性玻璃片結合到載體基板以形成積層體、處理該積層體(例如在撓性玻璃片的未鍵結外表面上形成電路或裝置)、及從載體脫離撓性玻璃片的方法。該方法可以使用現有的資本基礎設施,能夠在較高的處理溫度下處理薄的撓性玻璃(例如厚度小於或等於0.3mm厚的玻璃)而不會污染或喪失薄撓性玻璃與載體基板之間的鍵結強度,而且在製程結束時產生的表面或裝置修飾薄撓性玻璃可輕易地從載體基板脫離。
在實施例中,本揭示提供一種方法,該方法具有相當大的操作靈活性,包括例如:撓性玻璃片和載體基板的清洗和表面準備,以促進結合;在鍵結區域的撓性片和載體基板之間保持適當的鍵結強度;保持撓性片離開載體基板的可釋放性;及可選地清洗分離的載體基板以再用於該方法。
在實施例中,在玻璃對玻璃的結合製程中,可以在層疊結合之前清洗工件和載體基板玻璃表面,以去除所有外來的金屬、有機物及微粒殘餘物,並留下大部分的矽烷醇終端接觸表面。
假使先使用熱和可選的壓力來使玻璃接觸表面緊密接觸,其中凡得瓦力將該等玻璃接觸表面拉在一起,則表面的矽烷醇基團可以縮合而穿過相對的玻璃表面之間的界面形成強的Si-O-Si鍵,以永久地熔合相對的玻璃片段而使相對的片段不分離且沒有破損。可以使用金屬、有機物、及微粒殘餘物來藉由 模糊至少一個玻璃表面並防止緊密接觸而防止或限制相對的玻璃片段結合,可能需要該模糊來進一步緩和工件基板與載體基板玻璃之間的結合。
高的矽烷醇表面濃度會在相對的玻璃片段之間形成不理想的強鍵結。每單位面積的鍵結數將由相對表面上的兩個矽烷醇物種反應而縮合出水的可能性來決定。Zhuravlev已報導出對於良好水合的二氧化矽來說,每nm2的平均羥基數為從4.6至4.9。(參見Zhuravlev,L.T.,The Surface Chemistry of Amorphous Silika,Zhuravlev Model,Colloids and Surfaces A:Physiochemical Engineering Aspects,173(2000)1-38)。
在上述共同審查中的USSN 61/596727中,非結合區域被形成在鍵結的周邊內,而且描述用於形成這種非結合區域的主要手段是增加表面粗糙度。需要大於2nm Ra的粗糙度來防止相對的玻璃對玻璃鍵結在結合製程的升溫相過程中形成。在共同審查中的USSN 61/736880中,非結合區域是藉由削弱載體與薄玻璃之間的凡得瓦鍵結所形成的。
熱、真空、溶劑、酸性、及超音波平板顯示器(FPD)製程需要薄撓性玻璃對載體之間的強固鍵結。
三種電晶體技術被使用於大量生產FPD背板製造,包括:非晶矽(aSi)底部閘極TFT;多晶矽(pSi)頂部閘極TFT;及非晶形氧化物(IGZO)底部閘極TFT。一般的製程開始於清洗玻璃基板,通常是在熱鹼性溶液中並使用超音波或百萬赫茲超音波攪動,隨後使用去離子水沖洗。
裝置結構可以在數個材料沉積和光微影圖案化接著為材料蝕刻的相減循環中製造。可以藉由真空製程來沉積金屬、介電質及半導體材料(例如濺射金屬、透明導電氧化物及氧化物半導體、在150至450℃的升溫下化學氣相沉積(CVD)非晶矽、氮化矽、及二氧化矽)。其他的熱製程步驟可以包括例如高達600℃的p型Si結晶、350至450℃的氧化物半導體退火、高達650℃的摻雜劑 退火、以及約200至350℃的接觸退火。各層可以藉由聚合物阻劑的光微影圖案化、蝕刻、及隨後的阻劑剝除來圖案化。真空電漿(乾)蝕刻和酸性濕蝕刻製程皆可以使用。在FPD處理中,光阻通常是藉由熱溶劑來剝除,並且例如伴隨超音波或百萬赫茲超音波攪動。
黏著劑晶圓結合已被廣泛使用於微機電系統(MEMS)及製程較不苛刻的後段步驟之半導體處理。由Brewer Science和Henkel製造的商業黏著劑通常是約5至200微米的厚聚合物黏著層。這些層的大厚度會產生大量揮發物、受陷溶劑、及吸附物種污染FPD製程的可能性。這些材料在約250℃以上會熱分解和脫氣。該等材料還會藉由充當氣體、溶劑及酸的儲槽而在下游步驟中造成污染,該等氣體、溶劑及酸會在隨後的處理或製程步驟中脫氣。
薄玻璃工件與玻璃載體之間的過強共價鍵會妨礙載體的完全分離及再使用性。
在上述共同審查中的USSN 61/596727中,申請人藉由在與載體結合的薄玻璃片周邊各處形成強的共價鍵來展示FPD,並且與載體結合的薄玻璃片足夠耐用而安然渡過FPD處理。然而,載體是不能再使用的。周邊藉由共價的Si-O-Si鍵而永久地鍵結,且黏著力約2000mJ/m2,該黏著力是在玻璃的斷裂強度約2500mJ/m2的等級上。無法使用撬動或剝離來將撓性玻璃片與載體分離。取而代之的是,具有表面建構裝置的非鍵結中心被刻劃並取出,而在載體上留下鍵結的周邊作為廢料。
儘管不被理論所束縛,但表面黏附理論已被廣泛地研究和表述(參見“A theory for the estimation of surface and interfacial energies.I.derivation and application to interfacial tension”,L.A.Girifalco and R.J.Good,J.Phys.Chem.,61,904(1957))。
在共同審查中的USSN 61/736887中證實的是,薄電漿氟聚合物表面處理可以改變Teflon®和水之間的玻璃之表面能。
這種將凡得瓦與共價相互作用兩者經由表面修飾精確控制於其分率值以實現所需黏著能是本揭示的一種態樣。
在實施例中,本揭示提供一種製造被支撐在玻璃載體基板上的裝置修飾基板的方法,包含以下步驟:處理玻璃裝置基板之至少一部分第一表面、玻璃載體基板之至少一部分第一表面、或上述之組合,其中經處理的玻璃表面具有:約0.1至約14at%的矽;約1至約40at%的氧;約3至60at%的碳;約5至65at%的氟;及約1:1至約1:3的金屬對氟(M:F)原子比;使該經處理的玻璃表面與未經處理的或經類似處理的對應玻璃裝置基板或玻璃載體基板接觸,以形成由鍵結於該玻璃載體基板的該玻璃裝置基板組成的積層體;使用至少一個裝置表面修飾處理修飾該積層體之該玻璃裝置基板的非鍵結第二表面之至少一部分;以及可選地從該玻璃載體基板分離出具有裝置修飾第二表面的該玻璃裝置基板。
在實施例中,當該裝置修飾基板為玻璃(例如Corning® Eagle XG®(「EXG」))時,該經處理的表面或界面較佳可以具有:約2至約9at%的矽;約7至約14at%的氧;約4至10at%的碳;及約45至60at%的氟,如藉由XPS量測。
所量測的原子%可以改變,取決於選擇的表面量測方法,例如XPS或ESCA可以探測表面的最外面10nm,例如2至6nm。
在實施例中,該方法可以進一步包含在至少一個裝置表面修飾處理中在從約200至約700℃下加熱該積層體1秒至1200分鐘。
在實施例中,處理可以包括或包含例如:使該玻璃表面的至少一部分與氟碳電漿接觸,該氟碳電漿係由聚合劑或蝕刻劑、或上述之組合所組成。
在實施例中,該聚合劑可以包括或包含例如CHF3、C4F8、C3F6、C3F8、H2、CH4、具有3至12個碳原子且不含氟原子並選自於由烷類、烯類、烷基、芳香族物、或上述之組合所組成之群組的烴中之至少一者,而且該蝕刻劑包含CF4、C2F6、NF3、SF6、HF、或上述之組合中之至少一者。
在實施例中,該積層體在該玻璃裝置基板和該玻璃載體基板之間可以具有界面鍵結,該界面鍵結具有從約100至約2,000mJ/m2的黏著強度。
在實施例中,可以例如在該玻璃裝置基板和該玻璃載體基板兩者上實現處理,或者只在該玻璃裝置基板或該玻璃載體基板中之一者上實現處理。
在實施例中,該玻璃裝置基板可以具有例如從約10至約500微米的厚度,該載體玻璃基板具有例如約200微米至約3mm的厚度,而且該玻璃裝置基板與該玻璃載體基板之間的界面結合層之厚度係從約單原子層至約100奈米。
在實施例中,使用至少一種裝置表面修飾處理的修飾係選自例如以下中之至少一者:蝕刻、塗佈、印刷、電鍍、氣相沉積、濺射、及類似的修飾處理、或上述之組合。
在實施例中,分離可以是例如以下中之至少一者:從該玻璃載體基板剝離裝置表面修飾的玻璃裝置基板、從該玻璃裝置基板剝離該玻璃載體基板、或上述兩者;以及 使該玻璃裝置基板或該玻璃載體基板中之至少一者與抽吸裝置、夾持裝置、刀緣、或上述之組合接觸。
在實施例中,該方法可以進一步包含例如清洗分離的玻璃載體基板用於在該方法中重複再使用。
在實施例中,該玻璃載體基板、該玻璃裝置基板、或上述兩者可以是例如撓性的、剛性的、或具有中等的撓性或剛性。
在實施例中,本揭示提供一種積層玻璃物件,包含:被使用至少一鍵結表面鍵結於玻璃載體基板的玻璃裝置基板,該至少一鍵結表面已被使用電漿處理,該電漿係選自:氟聚合物;氟化蝕刻劑之反應產物;或上述之組合,其中該玻璃裝置基板和該載體玻璃基板之間的界面鍵結強度係從約100至約1,000mJ/m2,該玻璃裝置基板和該玻璃載體之間的界面具有從約1至約14at%的矽含量;從約5至約60at%的氟含量;而且該玻璃裝置基板和該玻璃載體基板之間的界面具有約1:1至1:3的金屬對氟(M:F)原子比。
在實施例中,該玻璃裝置基板或裝置修飾基板具有的面接觸面積小於、大於、或等於該玻璃載體基板的面接觸面積。
在實施例中,該物件可以進一步包含例如具有至少一裝置修飾表面區的積層物件中的該玻璃裝置基板之非鍵結第二表面。
在實施例中,該玻璃裝置基板可以具有從約20至約500微米的厚度,該載體玻璃基板具有約200微米至約3mm的厚度,而且該玻璃裝置基板與該玻璃載體基板之間的界面結合層之厚度可以是例如從約單原子層至約100奈米。
在實施例中,本揭示提供一種製造積層物件的方法,該積層物件包含裝置基板,該裝置基板具有裝置修飾半導體基板,該裝置修飾半導體基板被支撐在玻璃載體基板上,該方法包含以下步驟:處理該玻璃載體基板之至少一部分第一表面,其中經處理的第一表面具有:約0.1至約14at%的矽;約1至約40at%的氧;約3至60at%的碳;約5至65at%的氟;及約1:1至約1:3的金屬對氟(M:F)原子比;及使該經處理的第一表面與該裝置半導體基板接觸,以形成由鍵結於該玻璃載體基板之該經處理的第一表面的該裝置半導體基板組成的積層體;使用至少一種裝置表面修飾處理修飾該積層體之該裝置半導體基板的非鍵結第二表面之至少一部分;以及可選地從該玻璃載體基板分離出具有裝置修飾第二表面的該裝置半導體基板。
在實施例中,該玻璃裝置基板可以具有從約20至約500微米的厚度,該載體玻璃基板具有約200微米至約3mm的厚度,而且該玻璃裝置基板與該玻璃載體基板之間的界面結合層之厚度可以是例如從約單原子層至約100奈米。
在實施例中,該方法可以進一步包含例如在至少一個裝置表面修飾處理中在從約200至約700℃下加熱該積層體1秒至1200分鐘。
在實施例中,處理可以包含:使該玻璃表面與氟碳電漿接觸,該氟碳電漿係由聚合劑、蝕刻劑、或上述之組合所組成;以及該裝置基板係選自矽(Si)、砷化鎵(GaAs)、或組合基板。
在實施例中,該聚合劑可以是例如CHF3、C4F8、C3F6、C3F8、H2、CH4、具有3至12個碳原子且不含氟原子並選自於由諸如烷類、烯類、烷基、芳香族物、或上述之組合等烴基部分體所組成之群組的烴中之至少一者,而且該蝕刻劑包含CF4、C2F6、NF3、SF6、HF、或上述之組合中之至少一者。
在實施例中,該積層體在該裝置半導體基板和該玻璃載體基板之間可以具有界面鍵結,該界面鍵結具有從約100至約1,000mJ/m2的黏著強度。
在實施例中,該裝置半導體基板具有從約20至約1000微米的厚度,該載體玻璃基板具有約200微米至約3mm的厚度,而且該裝置半導體基板與該玻璃載體基板之間的界面結合層之厚度係從約單原子層至約100奈米。
在實施例中,使用至少一種裝置表面修飾處理的修飾可以是例如以下中之至少一者:蝕刻、塗佈、印刷、電鍍、氣相沉積、濺射、或上述之組合。
在實施例中,該製造裝置半導體基板的方法可以進一步包括例如清洗分離的玻璃載體基板用於在該方法中重複再使用。
在實施例中,該玻璃載體基板可以是例如撓性的、剛性的、或可以具有中等的撓性或剛性。
在實施例中,該裝置基板可以是例如撓性的、剛性的、或具有中等的撓性或剛性。
在實施例中,該積層玻璃物件可以包括例如:鍵結於玻璃載體基板的裝置半導體基板,包含該玻璃載體的鍵結具有藉由電漿處理修飾的表面,該電漿來自以下中之至少一者:氟聚合物;氟化蝕刻劑與該玻璃基板之反應產物;或上述之組合,其中該裝置半導體基板和該載體玻璃基板之間的界面鍵結強度係從約100至約1,000mJ/m2,該裝置半導體基板和該玻璃載體之間的界面具有從約0.1至約14 at%的矽含量;從約5至約60at%的氟含量;而且該撓性玻璃片物件和該玻璃載體之間的界面具有約1:1至1:3的金屬對氟(M:F)原子比。
在實施例中,該裝置半導體基板具有的面接觸面積小於、大於、或等於該玻璃載體基板的面接觸面積。
在實施例中,該物件可以進一步包含具有至少一裝置修飾表面的積層體中的該裝置半導體基板之非鍵結第二表面。
在實施例中,該裝置半導體基板具有從約20至約1000微米的厚度,該載體玻璃基板具有約200微米至約3mm的厚度,而且該裝置半導體基板與該玻璃載體基板之間的界面結合層之厚度可以是例如從約單原子層至約100奈米。
參照圖式,第1圖圖示揭示的可再使用載體製程(100)之示意圖。可再使用製程(100)可以包括例如載體清洗或預調理步驟(110),其中使載體基板(105)基板(例如新的(105)或再使用的(140)基板)進行清洗(110),以產生清潔的或預調理的載體(105或140)。載體(105)可以是例如由玻璃、陶瓷、及類似材料、或材料之組合製成的片材或捲材原料。可以使產生的載體(105或140)進行揭示的黏著表面調理或黏著表面處理(115),以例如沉積具有延長處理(或更佳具有較短處理)的黏著層、在處理過的載體(106)上形成化學改性的界面(117)。黏著處理可以是例如加成的電漿氟聚合物處理、消減的蝕刻劑處理、或上述之組合,如本文所述。接著,具有黏著調理的表面處理(117)的載體(106)在結合或組合(120)步驟中接收工件(122),例如撓性玻璃片,以提供積層體。黏著調理或表面處理可以包括在有或沒有工件(122)存在下加熱調理過的表面(117)、載體(106)、或上述兩者。可以使由鍵結或結合的工件(122)與載體(106)組成的積層體進行例如一個或更多個裝置表面修飾步驟(125),步驟(125)修飾撓性玻璃片工件(122)的外工作表面,也就是在不面對或不與載體(106)直接接觸或不與界面黏著層(117)直接接 觸的工件之表面上,以提供具有外表面修飾並包含結合的工件(122)與載體(106)、在工件(122)上具有裝置修飾工作表面(127)的積層體。裝置或工作表面修飾可以包括任一個或更多個相容的步驟,例如加成處理、消減處理、或上述之組合,該等處理實質改變至少一部分的工作表面,例如塗佈、沉積、控制的形貌變化、刻劃、切割、鑽孔、機械加工、電路形成、裝置形成、平板顯示器(FPD)製造、以及類似的表面修飾、或上述之組合。包含組合的工件(122)和載體(106)並具有修飾的工作表面(127)的積層體可以藉由任何適當的手段、方法、或工具分離或脫離(130),例如藉由機械力或接觸,例如使用刀緣或刀片、吸盤或夾持器、氣刀、及類似的工具或技術,以從載體(106)分離工件(135),例如裝置表面修飾玻璃片。所使用的載體(140)可以進行清洗,以產生上述的再使用載體(105),而且上述的製程可以連續地重複進行。
第2A圖和第2B圖圖示CF4和CHF3電漿聚合物處理過的玻璃表面之接觸角(第2A圖)與表面能(第2B圖)變化。第2A圖中的接觸角量測使用不同的溶劑(210,水;215,二碘甲烷;及220,十六烷)。第2B圖中的表面能量測使用不同的能量度量(230,總的;240,極性的;及250,分散;其中總能量(230)是極性的與分散能的總和,即「240+250」)。表面能與極性和分散成分的量測是藉由將Wu模型(參見S.Wu,J.Polym.Sci C 34,19(1971))的固-液界面能適配於三種測試液體:水、二碘甲烷、及十六烷的3個接觸角。
第3A圖和第3B圖圖示以XPS量測CF4和CHF3電漿氟聚合物處理過的Eagle XG®玻璃表面(在電漿中具有不同莫耳分率的CF4和CHF3)之氟(310)、碳(320)、及氧(330)表面濃度(第3A圖)、及由於金屬氟化物的氟原子濃度(340)和可歸屬於氟聚合物的氟原子濃度(350)(第3B圖)。在各種CF4和CHF3氣體混合物下,氟的表面濃度變化極小(例如49至52at%),而當添加 CF4時碳濃度減少。高的氟濃度(49至52at%)和低的氧濃度(7.5至12at%)與CHF3和CF4電漿聚合物表面處理形成金屬氟化物表面一致。
第4圖圖示以XPS量測的表面元素組成變化為不同電漿處理時間的函數。在每一種情況下,氣體的流速在50毫托的壓力下為每分鐘50標準立方公分(sccm)。電漿處理不是純CHF3就是純CF4(400、410、及420分別指6秒、60秒、及600秒的CHF3電漿處理,而430、440、及450分別指6秒、60秒、及600秒的CF4電漿處理時間)。也呈現未處理過的平面玻璃之表面組成用於比較(460;負對照)。數據顯示,雖然進行了6秒的電漿處理,但碳的表面濃度少於10at%,氟的表面濃度超過40at%。
第4圖還圖示的是,對於CF4電漿聚合物表面處理來說,在6秒後碳或氟的濃度增加極少。隨著CF4電漿曝露時間增加,矽、氧、及硼的濃度減少,而隨著CF4電漿曝露時間增加,Al、Mg、Ca、及Sr的濃度增加。這與CF4電漿處理蝕刻玻璃表面並消耗表面的矽、氧、及硼一致。
將CF4和CHF3電漿聚合物處理過且鍵結於SC1清洗過的薄玻璃的Eagle XG®載體之鍵結能對比退火溫度和退火時間的變化圖示於第5A圖,並將SC1處理過且鍵結於SC1清洗過的薄玻璃的Eagle XG®載體之鍵結能對比退火溫度和退火時間的變化圖示於第5B圖。
第5A圖圖示RIE模式CF4和CHF3處理過的、具有藉由如第2圖所述改變氣體比所製備的三種不同表面能的Eagle XG®載體之黏著或鍵結能變化為退火溫度的函數:在40mJ/m2的表面能(510)下,鍵結能太弱而不足以安然渡過低溫多晶矽(LTPS)處理。在55mJ/m2的表面能(511)下,鍵結能足以安然渡過LTPS處理;而在72mJ/m2的表面能(512)下仍足以安然渡過LTPS處理,但較難從載體剝除撓性玻璃片。鍵結能(SE)或黏著極限(515)為試圖分離造成玻璃破裂損毀的每平方公尺約2500mJ。
第5B圖圖示在100℃(520)、150℃(521)、200℃(522)、250℃(523)及300℃(524)下SC1處理過的Eagle XG®載體對SC1處理過的薄玻璃之鍵結能(SE)或層疊體中的撓性玻璃片對載體的黏著力為退火時間的函數。觀察到鍵結能隨著溫度升高而迅速增加。在300℃(524),薄玻璃被永久性地結合,而且在10分鐘以上的退火時間時無法在沒有破損之下被移除。
鍵結能(BE)量測使用楔形測試法(參見Tong,Q.Y.等人的「Semiconductor Wafer Bonding」,Annu Rev Mater Sci,vol.28,no.1,pp.215-241,1998)來完成。表面處理過的載體與清潔的撓性玻璃之間的鍵結能或黏著力可以藉由插入薄刀片並量測裂紋長度來測定。藉由以下方程式,鍵結能γ與載體的楊氏模數E1、載體厚度tw1、撓性玻璃模數E2、撓性玻璃厚度tw2、刀片厚度tb、及裂紋長度L有關:
從第5A圖的實例可以看出,藉由不均勻蝕刻玻璃表面並可選地與聚合物沉積組合所形成的矽和氧耗竭及氟和碳增加且富含鹼土氟化物的表面可以對其他高能表面(例如玻璃,特別是薄玻璃)立即形成暫時可移除或可釋放的鍵結。
在實施例中,撓性玻璃片可以具有從約50至約300微米的厚度,而載體玻璃物件可以具有約200微米至3mm的厚度。出乎意料的是,此矽和氧耗竭且富含氟和碳的表面在低於約600℃的溫度下不會永久鍵結於玻璃表面。
在半導體及/或中介層處理中,本文描述的表面處理可被用於處理薄晶圓。本發明的一些實例通常是針對鍵結於較薄基板並被從較薄基板移除的載體,以允許處理該較薄基板。更具體來說,本發明的一些實例是針對用於將晶圓結合於載體以進行半導體及/或中介層處理、然後在該處理之後從載體脫離晶圓的方法和設備。
半導體裝置是藉由在半導體晶圓上或內形成主動裝置所製造的。半導體晶圓可以包含例如玻璃、矽、多晶矽、單晶矽、氧化矽、氧化鋁、上述之組合、及/或類似物。通常有幾百個或幾千個積體電路(IC)或晶粒被製造在單個晶圓上。典型情況下,複數個絕緣、導電性、及半導電性材料層被依次沉積和圖案化在晶圓上方以形成IC。其中一個最上面形成的材料層通常包含用於焊墊的層,焊墊形成到下方主動區及晶圓內元件的電連接。
在IC形成之後,可以使晶圓進行背側處理。背側處理可以包括薄化晶圓以製備用於封裝的晶圓。例如,在某些技術中,背側處理可以包括形成到通過基板的通孔之電連接,該通孔被通過晶圓形成,用於提供背側觸點。在本實例中,晶圓的背側通過諸如研磨的製程被薄化,以曝露出晶圓背側上的導電通孔。此薄化晶圓的製程會損傷晶圓的邊緣,而且會使晶圓更加脆弱並在隨後的晶圓運輸和處理過程中容易受到損傷。
為了有助於減輕這些類型的損傷,可以使載體黏附於晶圓。典型情況下,之前,此載體是使用黏著劑黏附的,並且意圖藉由處理載體來使晶圓的處理成為可能。此外,載體的附加強度可支撐晶圓,使得因運輸及/或處理所造成的應力不會損傷晶圓。典型的載體可以是使用黏著劑黏附於晶圓的玻璃基板。然而,已經發現的是,晶圓可能在處理過程中翹曲,而且一般的載體無法提供足夠的支撐來防止翹曲。由於晶圓翹曲的結果,製程可能失敗及/或導致警報的狀況。IC製造的第一部分被稱為產線前段(FEOL)處理,其中主動電晶體、電阻器和RC電路、以及互連電晶體的局部接線被圖案化在半導體中。FEOL處理還可以包括:阱的形成;閘極模組的形成;源極和汲極模組的形成;DRIE(乾反應性離子蝕刻);PVD、Ti或Cu或他者;CVD TiN或他者;PECVD SiO2或他者;電解Cu(或他者)的電鍍;Cu(或他者)的退火;計量(X射線或他者);Cu(或他者)的CMP(化學機械拋光);Cu(H2O2+H2SO4)+Ti(DHF)的 濕蝕刻;濺射黏著層(Ti或他者);濺射種晶層(Cu或他者);微影術(光阻劑、曝光、剝除、蝕刻Cu)。由於與FEOL處理相關的一些高溫(例如500℃,在一些情況下500℃至650℃,而且在某些情況下高達700℃)製程,許多基於黏著劑的解決方案無法使用,因為黏著劑可能無法保持鍵結、黏著劑可能會釋放污染氣體、或兩者兼而有之。許多黏著劑甚至會在遠較低的溫度下脫氣,例如300℃左右。IC製造線的部分被稱為產線後段(BEOL)佈線,其中連接橫跨個別晶片的較長距離並走到晶片切斷位置的粗接線與晶圓上的接線互連。BEOL處理還可以包括形成觸點、絕緣層、互連接線、RF屏蔽、鈍化、ESD保護、焊墊及用於晶片到封裝解決方案的其他結合位點中之一者或更多者。雖然BEOL處理溫度通常比FEOL處理溫度更低,但介電質沉積通常發生在350-450℃,而且大多數的黏著劑在這些較低的溫度下會脫氣。此外,大多數的暫時黏著劑具有與晶圓和載體材料不匹配的高CTE,而且難以在於晶圓上留下完整的精細微結構的同時被去除。此外,黏著劑與晶圓及/或載體材料之間的CTE不匹配可能會導致晶圓的不良翹曲。更進一步來說,黏著劑在結合於載體時可能會尋路進入中介層的通孔並且不良地妨礙至少部分通孔的金屬化。
因此,需要有可以承受處理條件、特別是FEOL處理的高溫要求的改良載體-基板解決方案。此外,可以承受FEOL的苛刻條件並在之後仍提供輕易脫離的載體-基板解決方案將允許從一開始就使用較薄的初始基板,從而減輕後段薄化的需求。也就是說,典型的現有半導體工具被設計來處理在500微米以上等級的晶圓。然而,使用載體支撐晶圓時,合併的厚度只需要在工具的處理厚度範圍內即可。因此,例如,可以使用厚度400微米的載體來支撐100微米的晶圓,並在現有的半導體工具中處理組合物。使用本解決方案時,由於受控的結合即使在高溫處理之後仍允許輕易的分離,故可以使用100微米的晶圓作為基板,從而在晶圓上形成裝置之後避免浪費及潛在的薄化產率降低。承受FEOL處理的能 力將允許載體-基板的解決方案使用厚度200微米的晶圓開始,例如200微米、190微米、180微米、170微米、160微米、150微米、140微米、130微米、120微米、110微米、100微米、90微米、80微米、70微米、60微米、50微米、40微米、30微米、或20微米。可以將這種厚度(例如200微米)的晶圓黏附於載體、進行處理、然後從載體移除。當例如使用多晶矽或單晶矽晶圓作為基板時這會是主要的優點,因為可以避免去除和浪費非常昂貴的材料;該材料可以以初形成的厚度簡單地進行處理。
此外,三維積體電路技術已廣泛為半導體工業接受作為改良半導體性能的主要技術趨勢,而無需比以往更加昂貴的先進微影解決方案或較大的晶片尺寸來容納更多的電路。這種用於三維積體電路(3D IC)的技術依賴薄化的矽積體電路,而且還依賴中介層來以平面的架構(2.5D IC)將積體電路之間的電訊號重新分配於單一中介層上以及堆疊薄化的積體電路(3D IC)。
這些可以由多晶矽、單晶矽或玻璃製成的中介層允許藉由將路徑長度從毫米縮短到微米來顯著改良通訊的速度。這種新技術的領先應用一直是例如現場可程式閘陣列(FPGA),一種由Xilinx(San Jose,CA,USA)製造的高階專用功能性元件。
中介層的特徵是在50um至100um厚的等級,尺寸從今日的200mm外徑至300mm外徑,長期趨向更大尺寸的面板。在金屬化之後電訊號被處理通過的通孔具有5um的外徑至150um的外徑,密度通常是每平方毫米1至20個通孔,取決於設計和應用。定義的中介層是薄的,因為厚的中介層會導致不可接受的形狀因子(高度)及性能(熱)障礙。薄一般被認為是約100微米,但通常不超過200微米。另一方面,國際半導體技術藍圖(ITRS)允許厚度降低到50um。再次地,這些厚度的基板通常無法在現有的工具中進行處理。因此,本揭示構 思使用載體及即使在高溫處理的過程中仍可保持與晶圓黏附、而且在這樣的處理後仍允許輕易釋放晶圓者的益處。
雖然中介層技術是新的,但主導的中介層基板是單晶矽,且玻璃新興作為替代品。玻璃的吸引力是性能和成本,但今日尚無解決方案可實現玻璃的這些優點。本揭示的概念將允許處理作為晶圓的各種薄基板,包括矽和玻璃,以及在各種條件之下進行處理,包括FEOL和BEOL,以提供各種裝置,包括積體電路、RC電路、及中介層。
本揭示的結合解決方案允許以最終厚度的玻璃以及薄化的矽進行薄形式的處理,並以高產率和短的處理時間通過所有現有的必要製程步驟。在薄晶圓被處理通過金屬化、分配層放置之後,可以使薄晶圓脫離而留下薄化的和處理過的中介層及/或完整的IC。此外,載體與已薄化(在200微米的等級上)的矽晶圓一起使用可允許在上面處理任何裝置之間對晶圓進行篩選。因此,成本可以降低及/或產率可以提高。
鑑於上述情況,需要有能夠承受FEOL處理的苛刻條件、但又允許薄片的整個區域被從載體移除(不是一次全部移除就是分部分移除)的薄片-載體物件,該苛刻條件包括高溫處理(沒有脫氣,脫氣將與使用高溫處理的半導體或顯示器製造製程不相容)。本說明書描述表面處理用以控制載體與薄片之間的黏著力,以形成足夠強的暫時鍵結來安然渡過FEOL處理(包括高溫處理),但足夠弱以允許從載體脫離片材,即使在高溫處理之後亦然。更具體來說,本揭示提供可被提供在薄片、載體、或兩者上的表面處理,以控制室溫的凡得瓦力、及/或氫鍵及薄片與載體之間的高溫共價鍵。甚至更具體來說,室溫結合可以受到控制,以便在真空處理、濕處理、及/或超音波清洗處理的過程中足以將薄片和載體保持在一起。並且同時,高溫共價鍵可以受到控制,以便防止薄片 和載體之間在高溫處理的過程中形成永久鍵結、以及保持足夠的鍵結來防止在高溫處理過程中發生分層。
形成暫時結合狀態的表面處理之另一種用途是在要求溫度400℃(例如450℃、500℃、550℃、600℃)的製程中提供薄片在載體上的使用來處理該薄片,例如在FEOL處理中。也就是說,薄片可以是以稍後不需薄化的厚度進行處理的晶圓。可以使用本文所述的表面處理,以在這些溫度條件下提供再使用的載體。具體來說,這些表面處理可被用於形成薄片與載體之間的暫時鍵結,從而使整個薄片可以在處理後被從載體分離。薄片可以一次被全部分離,或可以被分段分離,例如先移除在部分薄片上產生的裝置,之後再移除任何剩餘的部分,以例如清洗載體用於再使用。在從載體移除整個薄片的情況下,如藉由移除整體的薄片,或藉由移除加總成為整個薄片的薄片切塊,可以簡單地藉由將另一個薄片放在載體上來再使用該載體。或者,載體可以進行清洗並藉由重新形成表面處理來再次準備好攜帶薄片。因為表面處理提供薄片與載體之間的暫時鍵結,所以薄片與載體可被用於溫度600℃的製程。當然,雖然這些表面處理可以在溫度600℃的處理過程中控制結合表面能,但這些表面處理也可被用於產生將承受較低溫處理的薄片與載體組合,該較低溫例如溫度400℃(例如450℃、500℃、550℃),而且這些表面處理可被用於這樣的較低溫應用來控制結合,例如在BEOL處理中。薄片可以是多晶矽或單晶矽晶圓、矽晶圓、玻璃、陶瓷、玻璃-陶瓷、石英、藍寶石、具有200微米的厚度、而且可以在例如溫度500℃下進行處理,以在FEOL處理中在薄片上形成RC電路、積體電路、或其他電子裝置。在FEOL處理之後,晶圓可以輕易地被從載體移除而不會損壞電子裝置。然而,在移除之前,晶圓可以進行進一步更低溫的處理,例如在BEOL處理中。
提供暫時性鍵結的表面處理之第二種用途是製造中介層。在這種情況下,薄片是中介層,該中介層可以是由任何適當的材料製成的晶圓,該適當的材料包括例如矽、多晶矽、單晶矽、玻璃、陶瓷、玻璃-陶瓷、石英、藍寶石,而且該中介層可以具有例如200微米的厚度。
現在將參照第6-8圖說明中介層的實例及製造。
參照第6圖,薄片20可以藉由受控的結合區域40鍵結於載體10,結合區域40即在薄片20和載體10之間形成暫時鍵結的區域。
在此實施例中,載體10可以是玻璃基板或另一種表面能與玻璃類似的適當材料,例如矽、多晶矽、單晶矽、陶瓷、玻璃-陶瓷、藍寶石、或石英。使用玻璃基板的優點在於可以以相對低的成本取得具有最小厚度變化的平面片材,從而避免對於昂貴載體基板的需求。此外,使用玻璃可以以成本有效的方式實現高的品質。也就是說,厚度非常均勻的玻璃基板可以被做得非常便宜,並用來作為載體。然而,使用本揭示的表面處理時,載體不需要是具有低總厚度變化的高精確度載體,因為在這種情況下晶圓將被薄化為最終的厚度。也就是說,當在載體上的晶圓將被薄化時,載體必須具有非常嚴格控制的總厚度變化,因為任何在載體的變化將在進行薄化時存在於薄化的晶圓中。使用本揭示的表面處理(允許在晶圓已經處於最終厚度時在晶圓上形成裝置)時,載體的總厚度變化是遠較不重要的。
在此實施例中,薄片20被用於形成中介層56。該片可以是矽,包括例如多晶矽或單晶矽晶圓、石英、藍寶石、陶瓷、或玻璃。片20可以具有200微米的厚度。中介層56各具有周邊52和通孔陣列50,其中陣列50具有周邊57。雖然圖示了10個中介層56,但任何適當的數量(包括1個)都可被配置在一個薄片20上。為了便於說明,將每個中介層56圖示為僅具有一個通孔陣列50,但並不需要總是如此;取而代之的是,任何的中介層56都可以具有超過一個陣列50。 另外,雖然每個中介層被圖示為具有相同數量的陣列50,但並不需要總是如此;任何數量(包括0個)的中介層都可以具有相同數量的陣列50。此外,雖然陣列50通常將具有相同數量和圖案的通孔,但並不需要總是如此。為了便於說明,只將通孔60圖示在其中一個中介層56的其中一個陣列50上,但並不需要總是如此;即任一個或更多個其餘的中介層56都可以具有一個或更多個通孔60的陣列50。
現在將參照第7圖,第7圖為沿著第6圖的線7-7所作的剖視圖。通孔60可以包括貫穿通孔或盲孔(即終止於片20之厚度內的通孔)。通孔60具有直徑62,並以間距64間隔開。雖然將直徑62圖示為相同,但並不需要總是如此,即在一個陣列50中或在一個中介層56上的不同陣列50中可以有不同直徑的通孔。直徑62可以例如從5微米至150微米。類似地,雖然通孔62被以相同的間距64隔開,但並不需要總是如此,即不同的間距可以存在於一個陣列50中、或在一個中介層56上的不同陣列50中、或在一個薄片20上的不同中介層56中。間距可以使得例如每平方毫米有1至20個通孔,而且間距將取決於中介層的設計和應用。此外,材料61可以存在於任一個或更多個通孔60中。材料61可以是導電材料、電絕緣材料、或上述材料之組合。例如,導電材料可以被形成在通孔的周邊上,即在通孔的外徑62處,而且可以使用不同的導電材料或絕緣材料來填充其餘的通孔。
現在將參照第8圖,第8圖為類似於第7圖的視圖,但具有被配置在中介層56上並被連接到通孔(多個通孔)60的裝置/結構。如第8圖所示,裝置66可以被配置在複數個通孔60上並與複數個通孔60連接。裝置66可以包括積體電路;MEMS;微型感測器;功率半導體;發光二極體;光子電路;CPU;SRAM;DRAM、eDRAM;ROM、EEPROM;快閃記憶體;中介層;嵌入式被動元件;及被製造在矽、矽-鍺、砷化鎵、及氮化鎵上或從矽、矽-鍺、砷化鎵、及氮化鎵 製造的微元件。雖然只圖示出一個裝置66,但一個中介層56上可以存在任何適當數量的裝置66,包括裝置56的陣列。或者,結構68可以只被配置在一個通孔60上方並只與一個通孔60連接。結構68可以包括:銲點凸塊;金屬柱;金屬支柱;互連路線;互連線;絕緣氧化層;及從選自於由矽、多晶矽、二氧化矽、氮(氧)化矽、金屬(例如Cu、Al、W)、低介電常數介電質、聚合物介電質、金屬氮化物、及金屬矽化物所組成之群組的材料形成的結構。雖然只有圖示出一個結構68,但一個中介層56上可能存在任何適當數量的結構68,包括結構56的陣列(多個陣列)。另外,一個或更多個結構68可以被配置在裝置66上。
在受控的結合區域40中,載體10和薄片20彼此鍵結,使得在整個重疊的區域中,載體10和薄板20被連接,但即使在高溫處理之後也可以被彼此分離,例如在溫度400℃下處理,例如450℃、500℃、550℃、600℃、及高達約650℃,或在某些情況下高達700℃。
本文揭示的表面處理產生界面結合層30,以在載體10和薄板20之間提供暫時結合區域40。具體來說,這些表面處理可以被形成在陣列50的周邊52內、在載體10或在薄片20任一者上。因此,當物件2在裝置處理過程中在高溫下進行處理時,可以在載體10和薄片20之間被周邊52圍繞的區域內提供暫時鍵結,從而分離力可以分離(在沒有對薄片或載體造成災難性破壞之下)在此區域中的薄片和載體,但薄片和載體將不會在處理(包括超音波處理)過程中脫層。此外,由於界面結合層30的厚度非常小,即小於100奈米、小於40奈米、小於10奈米、而且在一些情況下為約2奈米,因此沒有因為晶圓和表面處理之間的CTE不匹配而對晶圓造成影響(因為在較厚黏著層的情況下,即在40-60微米或更大的等級上會有影響)。
然後,在提取具有周邊52的中介層56(各具有通孔60的陣列50)的過程中,在周邊52內的薄片20部分可以在處理之後及在沿著周邊52分離薄片之 後被簡單地從載體10分離。或者,薄片20(或者薄片20和載體10兩者)可以被沿著線5切割,從而薄片20比中介層56的周邊52更大的區段可以被從載體10或載體10的區段(在載體與薄片20被一起切割的情況下)移除。因為表面處理提供薄片與載體的暫時結合,所以可被用於溫度600℃的製程。當然,雖然這些表面處理可以在溫度600℃的處理過程中控制結合表面能,但這些表面處理也可被用於產生將承受在例如400℃(例如450℃、500℃、550℃)的低溫處理的薄片與載體之組合,而且可被用於這樣的較低溫應用。
在實施例中,片20包含矽、石英、藍寶石、陶瓷、或玻璃。
在實施例中,片20的厚度為200微米。
在實施例中,片20中還包含至少一個通孔。在實施例中,該至少一個通孔具有150微米的直徑。在實施例中,該至少一個通孔中可以包含導電材料。
在實施例中,片20包含與暫時鍵結於載體10的表面相對的裝置表面,該裝置表面包含選自於由以下所組成之群組的裝置陣列:積體電路;MEMS;CPU;微型感測器;功率半導體;發光二極體;光子電路;中介層;嵌入式被動元件;及被製造在矽、矽-鍺、砷化鎵、及氮化鎵上或從矽、矽-鍺、砷化鎵、及氮化鎵製造的微元件。
在實施例中,片20包含與暫時鍵結於載體10的表面相對的裝置表面,該裝置表面包含至少一個選自於由以下所組成之群組的結構:銲點凸塊;金屬柱;金屬支柱;互連路線;互連線;絕緣氧化層;及從選自於由矽、多晶矽、二氧化矽、氮(氧)化矽、金屬、低介電常數介電質、聚合物介電質、金屬氮化物、及金屬矽化物所組成之群組的材料形成的結構。
在實施例中,界面結合層30為0.1至100nm厚。
在實施例中,載體和片在100平方公分的區域間被暫時鍵結。
在實施例中,使暫時鍵結在一起的片20和載體10進行FEOL處理。在實施例中,FEOL處理包含從500℃至700℃的處理室溫度。在實施例中,FEOL處理包含以下中之至少一者:DRIE(乾反應性離子蝕刻);PVD;CVD TiN;PECVD SiO2;電解Cu電鍍;Cu退火;計量;Cu CMP;Cu(H2O2+H2SO4)+Ti(DHF)濕蝕刻;濺射黏著層;濺射種晶層;微影術(光阻劑、曝光、剝除、蝕刻Cu)。
實例
下面的實例說明所揭示的、依據上述一般程序的積層玻璃產品之製造、用途及分析。以下實例還展示了控制形成於積層物件中的組成部件之間的界面鍵結強度之能力。
實例1
載體清洗 載體可以在用於揭示的製程之前、在用於揭示的製程之後在脫離或分離玻璃工件後、或在上述兩種情況下進行清洗。清洗步驟可以包括例如以下中之一者或更多者:去離子水沖洗、NH4OH:H2O2:H2O混合物、O2電漿處理、酸沖洗(即HCl或HCl:H2O2)及類似的沖洗、或上述之組合。清洗步驟主要涉及明顯最少化清洗過的表面上的顆粒密度。
實例2
表面處理 在本揭示的加成表面處理製程中,載體或玻璃工件的表面可以進行修飾,以允許凡得瓦鍵結,同時消除或最少化共價鍵結。處理過的表面在載體和工件之間提供高達中度製程溫度(例如600℃)的黏著穩定性。表面處理可以使用氟電漿完成,氟電漿具有的製程條件例如50毫托的真空壓力、25sccm CHF3和25sccm CF4的反應物流速和濃度、200W的RF功率、及60秒的氟電漿曝露或處理時間。或者,類似的表面可以在ICP電漿架構中使用CF4作為蝕刻劑和C4F8作為氟聚合物形成劑、或在RIE腔室中使用CF4作為蝕刻劑和CH4作為氟 聚合物形成劑、或在RIE腔室中使用CF4作為蝕刻劑和H2作為聚合物形成劑來製備。
在另一個實例中,將要鍵結的對應工件可以是例如半導體基板或用於磊晶生長的基板,例如矽、砷化鎵、或藍寶石。與上述的薄玻璃基板類似,這些基板藉由手動結合或輥層疊鍵結於處理過的載體玻璃。在初鍵結時及在熱處理之後,這些基板材料的黏著力皆與上述玻璃基板的黏著力相似。
實例3
將薄玻璃工件結合於載體基板 在示例的結合程序中,使薄玻璃工件與已使用前述實例中的任何處理處理過的載體表面輕微接觸。可以將例如來自機械源或類似來源的接觸壓力施加於薄玻璃工件和載體中之任一者或兩者上的一個或更多個點。輕微施加的壓力可以引發結合波前。
在另一個示例的結合程序中,鍵結可以藉由傳統的機械層疊方法來實現,例如使用輥層疊組件將未處理的或處理過的薄玻璃工件施加於處理過的載體。
實例4
鍵結的薄玻璃工件上之裝置(例如平板顯示器)製造 可以使用傳統的製程技術在結合的薄玻璃工件之外部或未結合表面上實現裝置處理,即創建、製造、修飾等,使得裝置、電路或類似的結構出現或非鍵結薄玻璃工件表面的表面修飾發生。裝置處理可以包括例如曝露於酸、鹼、真空、膜沉積、電漿蝕刻、高溫退火真空、及類似的處理步驟。
實例5
脫離 脫離處理允許結合的薄玻璃工件(現在具有裝置處理過的外表面,即裝置修飾玻璃基板)被機械式地和相對容易地從載體基板移除。從載體 移除可以藉由任何適當的裝置或方法來完成,例如,使用真空抽吸夾持一個角落並拉動結合的薄玻璃工件而藉由例如剝離來從載體移除該工件。
實例6
測定表面組成的XPS法 使用X射線光電子光譜(XPS)來研究和量測所有表面的表面組成和物種形成。使用採用AlKα輻射和45°掠出角的商業XPS儀器(PHI)收集所有的XPS數據。使用由XPS儀器廠商提供的軟體及靈敏度因子分析光譜。使用以下的軌域測定表面組成:Si 2p、Al 2p、O 1s、C 1s、Mg 2s、Ca 2s、B 1s、F 1s、及Sr 3d。這些元素的記述濃度是這些元素中的每一種元素在XPS訊號的整個探測深度間的平均濃度。
實例7
表面組成的變化為CHF 3 (氟聚合物形成劑)與CF 4 (蝕刻劑)的比之函數 表1顯示從第4圖獲得的未處理EXG玻璃和遵循不同比例的CF4和CHF3電漿處理的EXG玻璃之選擇元素的表面原子比(Al:Si、Ca:Si、Mg:Si、Sr:Si)。數據清楚顯示,與未處理的EXG表面相比,電漿表面處理導致處理過的EXG表面之Al:Si、Ca:Si、Mg:Si、及Sr:Si原子比大幅增加,此結果表示Si與Al、Ca、Mg、及Sr相比是相對耗竭的。
習知由於源自不同軌域的XPS訊號之選擇性衰減,含碳覆蓋層的存在會影響底層元素的原子比。在Ca:Si的比例中,由於量測製品的變化是小的,而在Al:Si、Mg:Si、及Sr:Si的比例中,這些變化是微乎其微的,並且基本上低於檢測極限。
實例8
測定玻璃表面上氟化物物種的形成 還使用XPS在表面上進行氟物種形成的檢測。F 1s光譜可配有兩個以約1.9eV分開的明顯峰值。較低的結合能峰被指配為作為金屬氟化物物種存在的氟,而較高的結合能峰被指配為與含碳層反應的氟。以此方式,獲得由XPS在表面上測得的金屬氟化物之氟濃度分率及存在於氟聚合物層中的氟分率是可能的。
知道了處於金屬氟化物形式的氟之濃度、並且知道了由XPS檢測到的總陽離子濃度,則可以計算出全部的氟對陽離子的比率。在這種情況下,總陽離子濃度被定義為在實例7界定的條件下由XPS檢測到的B、Al、Mg、Sr、Si、及Ca之總濃度。將這類分析對於純CHF3和純CF4電漿條件的實例之結果列於表2。
表2的結果表示,對於純CHF3的實例,由XPS在表面上檢測到的多數氟濃度是處於氟聚合物的形式,而在純CF4的實例中,由XPS檢測到的少數氟濃度是處於氟聚合物的形式。
已經參照各種具體實施例和技術來描述本揭示。然而,應當理解的是,許多變化和修改是可能的,同時仍在本揭示的範圍內。
100‧‧‧製程
105‧‧‧載體基板
106‧‧‧載體
110‧‧‧載體清洗或預調理步驟
115‧‧‧黏著表面調理或黏著表面處理
117‧‧‧化學改性的界面
120‧‧‧結合或組合
122‧‧‧工件
125‧‧‧步驟
127‧‧‧工作表面
130‧‧‧分離或脫離
135‧‧‧工件
140‧‧‧載體

Claims (16)

  1. 一種製造一裝置基板物件的方法,該裝置基板物件具有一裝置修飾基板,該裝置修飾基板被支撐在一玻璃載體基板上,包含以下步驟:處理一裝置基板之至少一部分第一表面、一玻璃載體基板之至少一部分第一表面、或上述兩者,其中經處理的表面具有:約0.1至約14at%的矽;約1至約40at%的氧;約3至60at%的碳;約5至65at%的氟;及一約1:1至約1:3的金屬對氟(M:F)原子比;使該經處理的表面與一未經處理的或一經類似處理的對應裝置基板或玻璃載體基板接觸,以形成一由鍵結於該玻璃載體基板的該裝置基板組成的積層體;以及使用至少一裝置表面修飾處理修飾該積層體之該裝置基板的非鍵結第二表面之至少一部分,以形成該裝置基板物件。
  2. 如請求項1所述之方法,進一步包含以下步驟:在至少一裝置表面修飾處理中在從約200至約700℃下加熱該積層體1秒至1200分鐘;以及從該積層體之該玻璃載體基板分離出具有裝置修飾第二表面的該裝置基板物件。
  3. 如請求項2所述之方法,其中分離步驟包含以下步驟中之至少一者:從該玻璃載體基板剝離該裝置基板物件、從該裝置基板剝離該玻璃載體基板、或上述兩者;以及使該裝置基板或該玻璃載體基板中之至少一者與一抽吸裝置、一夾持裝置、 一刀緣、或上述之組合接觸。
  4. 如請求項2或請求項3所述之方法,進一步包含以下步驟:清洗分離的玻璃載體基板用於在該方法中重複再使用。
  5. 如請求項1-4中任一項所述之方法,其中處理步驟包含以下步驟:使該玻璃載體基板之該第一玻璃表面的至少一部分與一電漿接觸,該電漿係由一聚合劑;一蝕刻劑;或上述之組合所組成。
  6. 如請求項5所述之方法,其中:該聚合劑包含CHF3、C4F8、C3F6、C3F8、H2、CH4、具有3至12個碳原子且不含氟原子並選自於由一烷類、一烯類、一烷基、一芳香族物、或上述之組合所組成之群組的烴中之至少一者;以及該蝕刻劑包含CF4、C2F6、NF3、SF6、HF、或上述之組合中之至少一者。
  7. 如請求項1-6中任一項所述之方法,其中當該裝置基板為玻璃時,該積層體在該裝置基板和該玻璃載體基板之間具有一界面鍵結,該界面鍵結具有一從約100至約2,000mJ/m2的黏著強度。
  8. 如請求項1-7中任一項所述之方法,其中使用至少一裝置表面修飾處理的修飾為以下中之至少一者:蝕刻、塗佈、印刷、電鍍、氣相沉積、濺射或上述之組合。
  9. 如請求項1-8中任一項所述之方法,其中該裝置基板在玻璃並具有一從約10至約500微米的厚度,該載體玻璃基板具有一約200微米至約3mm的厚度,而且該玻璃裝置基板與該玻璃載體基板之間的界面結合層之厚度係從約一單原子層至約100奈米。
  10. 如請求項9所述之方法,其中該裝置基板中包括複數個孔。
  11. 如請求項1-10中任一項所述之方法,其中該裝置基板具有一被支撐在該玻璃載體上的裝置修飾半導體基板。
  12. 如請求項11所述之方法,其中該裝置基板係選自一矽(Si)、一砷化鎵(GaAs)、或上述之組合。
  13. 一種積層玻璃物件,包含:一玻璃裝置基板,被使用至少一界面鍵結表面鍵結於一玻璃載體基板,該至少一界面鍵結表面已被使用一電漿處理,該電漿係選自:一氟聚合物;一氟化蝕刻劑之反應產物;或上述之組合,其中該玻璃裝置基板和該載體玻璃基板之間的界面鍵結強度係從約100至約1,000mJ/m2,該玻璃裝置基板和該玻璃載體之間的界面具有一從約0.1至約14at%的矽含量;一從約5至約65at%的氟含量;及一約1:1至1:3的金屬對氟(M:F)原子比。
  14. 如請求項13所述之物件,進一步包含該積層物件中的該玻璃裝置基板之非鍵結第二表面具有至少一裝置修飾表面區。
  15. 如請求項13或請求項14所述之物件,其中該玻璃裝置基板中具有複數個孔。
  16. 如請求項13-15中任一項所述之物件,其中該玻璃裝置基板具有一從約20至約500微米的厚度,該載體玻璃基板具有一約200微米至約3mm的厚度,而且該玻璃裝置基板與該玻璃載體基板之間的界面結合層之厚度係從約一單原子層至約100奈米。
TW104111460A 2014-04-09 2015-04-09 裝置修飾基板物件及其製造方法 TW201601211A (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201461977364P 2014-04-09 2014-04-09

Publications (1)

Publication Number Publication Date
TW201601211A true TW201601211A (zh) 2016-01-01

Family

ID=52988479

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104111460A TW201601211A (zh) 2014-04-09 2015-04-09 裝置修飾基板物件及其製造方法

Country Status (8)

Country Link
US (1) US11192340B2 (zh)
EP (1) EP3129221A1 (zh)
JP (1) JP2017518954A (zh)
KR (1) KR20160145062A (zh)
CN (1) CN106457758B (zh)
SG (1) SG11201608442TA (zh)
TW (1) TW201601211A (zh)
WO (1) WO2015157202A1 (zh)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10543662B2 (en) 2012-02-08 2020-01-28 Corning Incorporated Device modified substrate article and methods for making
US10086584B2 (en) 2012-12-13 2018-10-02 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
TWI617437B (zh) 2012-12-13 2018-03-11 康寧公司 促進控制薄片與載體間接合之處理
US10014177B2 (en) 2012-12-13 2018-07-03 Corning Incorporated Methods for processing electronic devices
US9340443B2 (en) 2012-12-13 2016-05-17 Corning Incorporated Bulk annealing of glass sheets
US10510576B2 (en) 2013-10-14 2019-12-17 Corning Incorporated Carrier-bonding methods and articles for semiconductor and interposer processing
KR102353030B1 (ko) 2014-01-27 2022-01-19 코닝 인코포레이티드 얇은 시트와 캐리어의 제어된 결합을 위한 물품 및 방법
EP3129221A1 (en) 2014-04-09 2017-02-15 Corning Incorporated Device modified substrate article and methods for making
WO2016073658A1 (en) 2014-11-05 2016-05-12 Corning Incorporated Bottom-up electrolytic via plating method
US11167532B2 (en) 2015-05-19 2021-11-09 Corning Incorporated Articles and methods for bonding sheets with carriers
US11905201B2 (en) 2015-06-26 2024-02-20 Corning Incorporated Methods and articles including a sheet and a carrier
TWI757257B (zh) * 2015-11-19 2022-03-11 美商康寧公司 玻璃物件以及黏合玻璃片與載體之方法
TW201737766A (zh) 2016-01-21 2017-10-16 康寧公司 處理基板的方法
US10410883B2 (en) 2016-06-01 2019-09-10 Corning Incorporated Articles and methods of forming vias in substrates
US10134657B2 (en) * 2016-06-29 2018-11-20 Corning Incorporated Inorganic wafer having through-holes attached to semiconductor wafer
US10794679B2 (en) 2016-06-29 2020-10-06 Corning Incorporated Method and system for measuring geometric parameters of through holes
TW202216444A (zh) 2016-08-30 2022-05-01 美商康寧公司 用於片材接合的矽氧烷電漿聚合物
TWI821867B (zh) 2016-08-31 2023-11-11 美商康寧公司 具以可控制式黏結的薄片之製品及製作其之方法
TW201838024A (zh) * 2017-03-21 2018-10-16 美商康寧公司 載具設備及處理載具設備之方法
US10580725B2 (en) 2017-05-25 2020-03-03 Corning Incorporated Articles having vias with geometry attributes and methods for fabricating the same
US11078112B2 (en) 2017-05-25 2021-08-03 Corning Incorporated Silica-containing substrates with vias having an axially variable sidewall taper and methods for forming the same
WO2019118660A1 (en) 2017-12-15 2019-06-20 Corning Incorporated Method for treating a substrate and method for making articles comprising bonded sheets
US10917966B2 (en) 2018-01-29 2021-02-09 Corning Incorporated Articles including metallized vias
US11554984B2 (en) 2018-02-22 2023-01-17 Corning Incorporated Alkali-free borosilicate glasses with low post-HF etch roughness
US11152294B2 (en) 2018-04-09 2021-10-19 Corning Incorporated Hermetic metallized via with improved reliability
DE102018214475A1 (de) * 2018-08-27 2020-02-27 Robert Bosch Gmbh ESD-Schutzvorrichtung für ein MEMS-Element
WO2020092620A1 (en) * 2018-10-30 2020-05-07 Magic Leap, Inc. Polymer eyepiece assemblies for augmented and mixed reality systems
JP2022521578A (ja) 2019-02-21 2022-04-11 コーニング インコーポレイテッド 銅金属化貫通孔を有するガラスまたはガラスセラミック物品およびその製造方法
CN113710384B (zh) * 2019-04-11 2024-03-22 康宁股份有限公司 抗反射透明疏油表面及其制造方法
CN110783172B (zh) * 2019-09-09 2022-06-14 长江存储科技有限责任公司 用于分离堆叠封装结构中多个裸片的混合溶剂和方法
TW202124324A (zh) * 2019-11-21 2021-07-01 美商康寧公司 回收玻璃及玻璃陶瓷載體基板

Family Cites Families (377)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1082116A (en) 1964-09-03 1967-09-06 Owens Illinois Inc Organopolysiloxane resins and their production
US3722181A (en) 1970-05-22 1973-03-27 Du Pont Chromatographic packing with chemically bonded organic stationary phases
FR2110495A5 (zh) 1970-10-19 1972-06-02 Michelin & Cie
IE35508B1 (en) 1971-08-11 1976-03-03 Intercontinental Chem Co Ltd Method of forming adhesive bonds between surfaces
US4096315A (en) 1976-12-15 1978-06-20 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Process for producing a well-adhered durable optical coating on an optical plastic substrate
GB1583544A (en) 1977-07-25 1981-01-28 Uop Inc Metal-clad laminates
US4179324A (en) 1977-11-28 1979-12-18 Spire Corporation Process for fabricating thin film and glass sheet laminate
US4397722A (en) 1981-12-31 1983-08-09 International Business Machines Corporation Polymers from aromatic silanes and process for their preparation
US4599243A (en) 1982-12-23 1986-07-08 International Business Machines Corporation Use of plasma polymerized organosilicon films in fabrication of lift-off masks
US4849284A (en) 1987-02-17 1989-07-18 Rogers Corporation Electrical substrate material
US4822466A (en) 1987-06-25 1989-04-18 University Of Houston - University Park Chemically bonded diamond films and method for producing same
US4810326A (en) 1987-08-31 1989-03-07 International Business Machines Corporation Interlaminate adhesion between polymeric materials and electrolytic copper surfaces
US5141800A (en) 1989-02-02 1992-08-25 Chemical Fabrics Corporation Method of making laminated PTFE-containing composites and products thereof
US5357726A (en) 1989-02-02 1994-10-25 Chemfab Corporation Composite materials for structural end uses
US4990462A (en) 1989-04-12 1991-02-05 Advanced Micro Devices, Inc. Method for coplanar integration of semiconductor ic devices
US5073181A (en) 1990-08-09 1991-12-17 Corning Incorporated Method of protecting glass surfaces using submicron refractory particles
CA2065918A1 (en) 1991-04-22 1992-10-23 Bradley W. Reed Liquid membrane modules with minimal effective membrane thickness and methods of making the same
CA2069038C (en) 1991-05-22 1997-08-12 Kiyofumi Sakaguchi Method for preparing semiconductor member
US5462781A (en) 1991-06-14 1995-10-31 W. L. Gore & Associates, Inc. Surface modified porous expanded polytetrafluoroethylene and process for making
WO1992022604A1 (en) 1991-06-14 1992-12-23 W.L. Gore & Associates, Inc. Surface modified porous expanded polytetrafluoroethylene and process for making
US5222494A (en) 1991-07-31 1993-06-29 Cyberonics, Inc. Implantable tissue stimulator output stabilization system
JP3039070B2 (ja) 1991-10-09 2000-05-08 住友電気工業株式会社 弗素樹脂被覆物
FR2690279B1 (fr) 1992-04-15 1997-10-03 Picogiga Sa Composant photovoltauique multispectral.
US5491571A (en) 1993-01-19 1996-02-13 Hughes Aircraft Company Liquid crystal display including electrodes and driver devices integrally formed in monocrystalline semiconductor layer
US5482896A (en) 1993-11-18 1996-01-09 Eastman Kodak Company Light emitting device comprising an organic LED array on an ultra thin substrate and process for forming same
US5616179A (en) 1993-12-21 1997-04-01 Commonwealth Scientific Corporation Process for deposition of diamondlike, electrically conductive and electron-emissive carbon-based films
US5554680A (en) 1994-02-16 1996-09-10 E. I. Du Pont De Nemours And Company Heat-resistant perfluoroelastomer composition
JP3081122B2 (ja) 1994-07-18 2000-08-28 シャープ株式会社 基板搬送用治具及びそれを用いた液晶表示素子の製造方法
US5760100B1 (en) 1994-09-06 2000-11-14 Ciba Vision Corp Extended wear ophthalmic lens
US5413940A (en) 1994-10-11 1995-05-09 Taiwan Semiconductor Manufacturing Company Process of treating SOG layer using end-point detector for outgassing
DE69606942T2 (de) 1995-09-25 2000-10-05 Dow Corning Verwendung von präkeramischen Polymeren als Klebstoffe für Elektronik
BR9611084A (pt) 1995-10-13 1999-07-13 Dow Chemical Co Substrato revestido de plástico
US5661618A (en) 1995-12-11 1997-08-26 International Business Machines Corporation Magnetic recording device having a improved slider
JP3930591B2 (ja) 1995-12-22 2007-06-13 東陶機器株式会社 光触媒性親水性コーティング組成物、親水性被膜の形成方法および被覆物品
US5888591A (en) 1996-05-06 1999-03-30 Massachusetts Institute Of Technology Chemical vapor deposition of fluorocarbon polymer thin films
US5989998A (en) 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
US6124154A (en) 1996-10-22 2000-09-26 Seiko Epson Corporation Fabrication process for thin film transistors in a display or electronic device
US5820991A (en) 1997-02-24 1998-10-13 Cabo; Ana M. Fused glass sheets having ceramic paint and metal foil and method of making same
DE19711459A1 (de) 1997-03-19 1998-09-24 Flachglas Automotive Gmbh Verfahren zur Herstellung einer gebogenen Verbundsicherheitsglasscheibe
JP3551702B2 (ja) 1997-05-08 2004-08-11 カシオ計算機株式会社 液晶表示素子とその駆動方法
US5972152A (en) 1997-05-16 1999-10-26 Micron Communications, Inc. Methods of fixturing flexible circuit substrates and a processing carrier, processing a flexible circuit and processing a flexible circuit substrate relative to a processing carrier
US6687969B1 (en) 1997-05-16 2004-02-10 Micron Technology, Inc. Methods of fixturing flexible substrates and methods of processing flexible substrates
JPH1126733A (ja) 1997-07-03 1999-01-29 Seiko Epson Corp 薄膜デバイスの転写方法、薄膜デバイス、薄膜集積回路装置,アクティブマトリクス基板、液晶表示装置および電子機器
US6180496B1 (en) 1997-08-29 2001-01-30 Silicon Genesis Corporation In situ plasma wafer bonding method
US5966622A (en) 1997-10-08 1999-10-12 Lucent Technologies Inc. Process for bonding crystalline substrates with different crystal lattices
US20010045351A1 (en) 1997-11-21 2001-11-29 Korea Institute Of Science And Technology Plasma polymerization on surface of material
US6852650B2 (en) 1998-02-05 2005-02-08 Asm Japan K.K. Insulation film on semiconductor substrate and method for forming same
EP1065665A4 (en) 1998-02-23 2004-10-06 Toshiba Kk INFORMATION RECORDING MEDIUM AND INFORMATION RECORDING / PLAYBACK METHOD
US6159385A (en) 1998-05-08 2000-12-12 Rockwell Technologies, Llc Process for manufacture of micro electromechanical devices having high electrical isolation
EP1048628A1 (de) 1999-04-30 2000-11-02 Schott Glas Polymerbeschichtete Dünnglasfoliensubstrate
US6379746B1 (en) 1999-02-02 2002-04-30 Corning Incorporated Method for temporarily protecting glass articles
JP3202718B2 (ja) 1999-02-23 2001-08-27 鹿児島日本電気株式会社 表示装置製造用治具及びそれを用いた表示装置の製造方法
US6387736B1 (en) 1999-04-26 2002-05-14 Agilent Technologies, Inc. Method and structure for bonding layers in a semiconductor device
US6338901B1 (en) 1999-05-03 2002-01-15 Guardian Industries Corporation Hydrophobic coating including DLC on substrate
US6602606B1 (en) 1999-05-18 2003-08-05 Nippon Sheet Glass Co., Ltd. Glass sheet with conductive film, method of manufacturing the same, and photoelectric conversion device using the same
US8853696B1 (en) 1999-06-04 2014-10-07 Semiconductor Energy Laboratory Co., Ltd. Electro-optical device and electronic device
US6824879B2 (en) 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
SG93210A1 (en) 1999-06-29 2002-12-17 Univ Singapore Method for lamination of fluoropolymer to metal and printed circuit board (pcb) substrate
TW487959B (en) 1999-08-13 2002-05-21 Semiconductor Energy Lab Laser apparatus, laser annealing method, and manufacturing method of a semiconductor device
CN1314086C (zh) 2000-02-01 2007-05-02 模拟装置公司 具有抗静摩擦特性的芯片、微机电装置及其制造方法
US6902987B1 (en) * 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
US6528145B1 (en) 2000-06-29 2003-03-04 International Business Machines Corporation Polymer and ceramic composite electronic substrates
DE10034737C2 (de) 2000-07-17 2002-07-11 Fraunhofer Ges Forschung Verfahren zur Herstellung einer permanenten Entformungsschicht durch Plasmapolymerisation auf der Oberfläche eines Formteilwerkzeugs, ein nach dem Verfahren herstellbares Formteilwerkzeug und dessen Verwendung
US6649540B2 (en) 2000-11-09 2003-11-18 The Boc Group, Inc. Organosilane CVD precursors and their use for making organosilane polymer low-k dielectric film
FR2823599B1 (fr) 2001-04-13 2004-12-17 Commissariat Energie Atomique Substrat demomtable a tenue mecanique controlee et procede de realisation
FR2823596B1 (fr) 2001-04-13 2004-08-20 Commissariat Energie Atomique Substrat ou structure demontable et procede de realisation
FR2824821B1 (fr) 2001-05-17 2003-08-29 Essilor Int Procede de preparation d'un verre apte au debordage, verre ainsi obtenu et procede de debordage d'un tel verre
US7045878B2 (en) 2001-05-18 2006-05-16 Reveo, Inc. Selectively bonded thin film layer and substrate layer for processing of useful devices
US6956268B2 (en) 2001-05-18 2005-10-18 Reveo, Inc. MEMS and method of manufacturing MEMS
JP5415658B2 (ja) 2001-05-28 2014-02-12 三菱樹脂株式会社 中間膜用粘着シート及び透明積層体
CN100440568C (zh) 2001-06-20 2008-12-03 昭和电工株式会社 发光材料和有机发光装置
JP4036018B2 (ja) 2001-06-20 2008-01-23 昭和電工株式会社 有機発光素子および発光材料
EP1275624B1 (en) 2001-06-29 2007-08-15 Crystal Systems Inc. Antifogging product, inorganic hydrophilic hard layer forming material and process for producing antifogging lens
US6735982B2 (en) 2001-07-12 2004-05-18 Intel Corporation Processing relatively thin glass sheets
US6649212B2 (en) 2001-07-30 2003-11-18 Guardian Industries Corporation Modified silicon-based UV absorbers useful in crosslinkable polysiloxane coatings via sol-gel polymerization
US7351300B2 (en) 2001-08-22 2008-04-01 Semiconductor Energy Laboratory Co., Ltd. Peeling method and method of manufacturing semiconductor device
JP2003077187A (ja) 2001-08-30 2003-03-14 Toshiba Corp 光ディスクの製造方法
JP2003071937A (ja) 2001-09-05 2003-03-12 Toppan Printing Co Ltd 積層体及びその製造方法、並びに多層回路基板
US7033910B2 (en) 2001-09-12 2006-04-25 Reveo, Inc. Method of fabricating multi layer MEMS and microfluidic devices
US6528351B1 (en) 2001-09-24 2003-03-04 Jigsaw Tek, Inc. Integrated package and methods for making same
US6814833B2 (en) 2001-10-26 2004-11-09 Corning Incorporated Direct bonding of articles containing silicon
US6521857B1 (en) 2001-11-19 2003-02-18 Geomat Insights, Llc Plasma enhanced bonding method and device
DE10162435A1 (de) 2001-12-19 2003-07-17 Joerg Lahann Verfahren zur Erzeugung von Oberflächenbeschichtungen, die die Adsorption von Proteinen bzw. die Adhäsion von Bakterien und/oder Zellen vermindern
US6824872B2 (en) 2002-04-23 2004-11-30 Laurel Products Llc Surface-treating fluoropolymer powders using atmospheric plasma
US6699798B2 (en) 2002-04-24 2004-03-02 Intel Corporation Promoting adhesion of fluoropolymer films to semiconductor substrates
FR2874455B1 (fr) 2004-08-19 2008-02-08 Soitec Silicon On Insulator Traitement thermique avant collage de deux plaquettes
JP3639978B2 (ja) 2002-05-10 2005-04-20 日本航空電子工業株式会社 光スイッチ
DE60325669D1 (de) 2002-05-17 2009-02-26 Semiconductor Energy Lab Verfahren zum Transferieren eines Objekts und Verfahren zur Herstellung eines Halbleiterbauelements
KR101005989B1 (ko) 2002-06-11 2011-01-05 코니카 미놀타 홀딩스 가부시키가이샤 표면 처리 방법 및 광학 부품
US7101947B2 (en) 2002-06-14 2006-09-05 Florida State University Research Foundation, Inc. Polyelectrolyte complex films for analytical and membrane separation of chiral compounds
AU2003254851A1 (en) 2002-08-07 2004-02-25 Kabushiki Kaisha Toyota Chuo Kenkyusho Laminate having adherent layer and laminate having protective film
JP3941627B2 (ja) 2002-08-07 2007-07-04 株式会社豊田中央研究所 密着層を備える積層体
KR20050089147A (ko) 2002-09-18 2005-09-07 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 알킬-수소 실록산 분해 방지용 첨가제
DE20215401U1 (de) 2002-10-07 2004-02-19 Schott Glas Verbund aus einem Dünnstsubsrat und einem Trägersubstrat mit lösbarem Verbindungsmittel
TW578439B (en) 2002-10-25 2004-03-01 Ritdisplay Corp Organic light emitting diode and material applied in the organic light emitting diode
KR20050083935A (ko) 2002-11-20 2005-08-26 레베오 인코포레이티드 기판상에 다층 장치들을 제조하기 위한 방법 및 장치
JP2004178891A (ja) 2002-11-26 2004-06-24 Mitsubishi Electric Corp 電子放出型発光素子の製造方法
KR101180497B1 (ko) 2002-11-29 2012-09-06 안드레아스 야콥 중간층 및 지지층을 갖는 웨이퍼 및 웨이퍼를 처리하기위한 방법 및 장치
DE10353530A1 (de) 2003-11-14 2005-06-23 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Wafer mit Deckschicht und Trennschicht, Verfahren zur Herstellung eines solchen Wafers sowie Verfahren zum Dünnen bzw. Rückseitenmetallisieren eines Wafers
WO2004054728A2 (de) 2002-12-17 2004-07-01 Wipf Ag Substrat mit einer polaren plasmapolymerisierten schicht
US6762074B1 (en) 2003-01-21 2004-07-13 Micron Technology, Inc. Method and apparatus for forming thin microelectronic dies
US6964201B2 (en) 2003-02-25 2005-11-15 Palo Alto Research Center Incorporated Large dimension, flexible piezoelectric ceramic tapes
US7089635B2 (en) 2003-02-25 2006-08-15 Palo Alto Research Center, Incorporated Methods to make piezoelectric ceramic thick film arrays and elements
TWI361814B (en) 2003-03-07 2012-04-11 Kuraray Co Plastic bonding method
US7220656B2 (en) 2003-04-29 2007-05-22 Micron Technology, Inc. Strained semiconductor by wafer bonding with misorientation
US6969166B2 (en) 2003-05-29 2005-11-29 3M Innovative Properties Company Method for modifying the surface of a substrate
JP2005014599A (ja) 2003-05-30 2005-01-20 Toyota Central Res & Dev Lab Inc 樹脂シート及びエレクトロルミネッセンス表示装置
FR2855909B1 (fr) 2003-06-06 2005-08-26 Soitec Silicon On Insulator Procede d'obtention concomitante d'au moins une paire de structures comprenant au moins une couche utile reportee sur un substrat
US20040258850A1 (en) 2003-06-18 2004-12-23 Ann Straccia Environmentally friendly reactive fixture to allow localized surface engineering for improved adhesion to coated and non-coated substrates
US20050001201A1 (en) 2003-07-03 2005-01-06 Bocko Peter L. Glass product for use in ultra-thin glass display applications
FR2859312B1 (fr) 2003-09-02 2006-02-17 Soitec Silicon On Insulator Scellement metallique multifonction
US7242041B2 (en) 2003-09-22 2007-07-10 Lucent Technologies Inc. Field-effect transistors with weakly coupled layered inorganic semiconductors
US20050069713A1 (en) 2003-09-30 2005-03-31 Rahul Gupta Capillary coating method
US6992371B2 (en) 2003-10-09 2006-01-31 Freescale Semiconductor, Inc. Device including an amorphous carbon layer for improved adhesion of organic layers and method of fabrication
US20050242341A1 (en) 2003-10-09 2005-11-03 Knudson Christopher T Apparatus and method for supporting a flexible substrate during processing
US20050081993A1 (en) 2003-10-16 2005-04-21 Ilkka Steven J. Method of bonding glass
GB0326537D0 (en) 2003-11-14 2003-12-17 Koninkl Philips Electronics Nv Flexible devices
US20050118742A1 (en) 2003-11-17 2005-06-02 Frank Henning Method for reducing the adhesive properties of MEMS and anti-adhesion-coated device
GB0327093D0 (en) 2003-11-21 2003-12-24 Koninkl Philips Electronics Nv Active matrix displays and other electronic devices having plastic substrates
KR101002936B1 (ko) 2003-12-17 2010-12-21 삼성전자주식회사 캐리어 기판, 이를 이용한 플라스틱 기판의 적층 방법 및유연한 디스플레이 장치의 제조 방법
US7220497B2 (en) 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
KR101073032B1 (ko) 2003-12-19 2011-10-12 삼성전자주식회사 플라스틱 기판의 적층 방법 및 이를 이용한 유연한디스플레이 장치의 제조방법
US7041608B2 (en) 2004-02-06 2006-05-09 Eastman Kodak Company Providing fluorocarbon layers on conductive electrodes in making electronic devices such as OLED devices
US7147891B2 (en) 2004-02-11 2006-12-12 Dionex Corporation Polymer substrate coated with weak cationic-exchange functional layer
EP2246894B2 (en) 2004-03-12 2018-10-10 Japan Science and Technology Agency Method for fabricating a thin film transistor having an amorphous oxide as a channel layer
US7087134B2 (en) 2004-03-31 2006-08-08 Hewlett-Packard Development Company, L.P. System and method for direct-bonding of substrates
JP2005300972A (ja) 2004-04-13 2005-10-27 Seiko Epson Corp 表示装置の製造方法及び基板貼り合わせ装置
JP2006003684A (ja) 2004-06-18 2006-01-05 Nikon Corp 基板レスフィルタの製造方法
ITMI20041252A1 (it) 2004-06-22 2004-09-22 Solvay Solexis Spa Composizioni perfluoroelastomeriche
US7261793B2 (en) 2004-08-13 2007-08-28 Hewlett-Packard Development Company, L.P. System and method for low temperature plasma-enhanced bonding
US7226869B2 (en) 2004-10-29 2007-06-05 Lam Research Corporation Methods for protecting silicon or silicon carbide electrode surfaces from morphological modification during plasma etch processing
US20060134362A1 (en) 2004-12-17 2006-06-22 3M Innovative Properties Company Optically clear pressure sensitive adhesive
US7541264B2 (en) 2005-03-01 2009-06-02 Dow Corning Corporation Temporary wafer bonding method for semiconductor processing
WO2006112523A1 (ja) 2005-04-19 2006-10-26 Ube Industries, Ltd. ポリイミドフィルム積層体
US20060246218A1 (en) 2005-04-29 2006-11-02 Guardian Industries Corp. Hydrophilic DLC on substrate with barrier discharge pyrolysis treatment
TWI402935B (zh) 2005-05-17 2013-07-21 Koninkl Philips Electronics Nv 彩色主動矩陣顯示器
US7462552B2 (en) * 2005-05-23 2008-12-09 Ziptronix, Inc. Method of detachable direct bonding at low temperatures
US20070020451A1 (en) 2005-07-20 2007-01-25 3M Innovative Properties Company Moisture barrier coatings
US7166520B1 (en) 2005-08-08 2007-01-23 Silicon Genesis Corporation Thin handle substrate method and structure for fabricating devices using one or more films provided by a layer transfer process
WO2007018028A1 (ja) 2005-08-09 2007-02-15 Asahi Glass Company, Limited 薄板ガラス積層体及び薄板ガラス積層体を用いた表示装置の製造方法
US20070048530A1 (en) 2005-08-29 2007-03-01 Wen-Kuang Tsao Anti-static substrate
TWI288493B (en) 2005-09-13 2007-10-11 Ind Tech Res Inst Method for fabricating a device with flexible substrate and method for stripping flexible-substrate
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
US7462551B2 (en) 2005-09-30 2008-12-09 Intel Corporation Adhesive system for supporting thin silicon wafer
US8039049B2 (en) 2005-09-30 2011-10-18 Tokyo Electron Limited Treatment of low dielectric constant films using a batch processing system
JP2007138144A (ja) 2005-10-18 2007-06-07 Hitachi Chem Co Ltd シリカ系被膜形成用組成物
KR20070047114A (ko) 2005-11-01 2007-05-04 주식회사 엘지화학 플렉서블 기판을 구비한 소자의 제조방법 및 이에 의해제조된 플렉서블 기판을 구비한 소자
WO2007055142A1 (en) 2005-11-11 2007-05-18 Semiconductor Energy Laboratory Co., Ltd. Layer having functionality, method for forming flexible substrate having the same, and method for manufacturing semiconductor device
FR2893750B1 (fr) 2005-11-22 2008-03-14 Commissariat Energie Atomique Procede de fabrication d'un dispositif electronique flexible du type ecran comportant une pluralite de composants en couches minces.
US20070134784A1 (en) 2005-12-09 2007-06-14 Halverson Kurt J Microreplicated microarrays
EP2259213B1 (en) 2006-02-08 2015-12-23 Semiconductor Energy Laboratory Co., Ltd. RFID device
KR100831562B1 (ko) 2006-03-23 2008-05-21 주식회사 엘지화학 유연성 기판 반송용 점착제 조성물
US7909928B2 (en) 2006-03-24 2011-03-22 The Regents Of The University Of Michigan Reactive coatings for regioselective surface modification
US7737035B1 (en) 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US20070248809A1 (en) 2006-04-19 2007-10-25 Steven Vincent Haldeman Interlayers Comprising Stable Infrared Absorbing Agents
WO2007121524A1 (en) 2006-04-20 2007-11-01 Epitactix Pty Ltd. Method of manufacture and resulting structures for semiconductor devices
WO2007129554A1 (ja) 2006-05-08 2007-11-15 Asahi Glass Company, Limited 薄板ガラス積層体、薄板ガラス積層体を用いた表示装置の製造方法および、支持ガラス基板
KR101358255B1 (ko) 2006-06-27 2014-02-05 엘지디스플레이 주식회사 광경화 타입 소수성 몰드 및 그 제조방법
KR20090037856A (ko) 2006-07-12 2009-04-16 아사히 가라스 가부시키가이샤 보호 유리가 부착된 유리 기판, 보호 유리가 부착된 유리 기판을 사용한 표시 장치의 제조 방법 및 박리지용 실리콘
US20080044588A1 (en) 2006-08-15 2008-02-21 Sakhrani Vinay G Method for Treating a Hydrophilic Surface
US8084103B2 (en) 2006-08-15 2011-12-27 Sakhrani Vinay G Method for treating a hydrophilic surface
JP2008072087A (ja) 2006-08-16 2008-03-27 Kyoto Univ 半導体装置および半導体装置の製造方法、ならびに表示装置
EP2074188A1 (en) 2006-10-13 2009-07-01 Sunwoo AMC Co., Ltd. Laminating film of plastic/teflon-silicon and method for preparing the same
GB0620955D0 (en) 2006-10-20 2006-11-29 Speakman Stuart P Methods and apparatus for the manufacture of microstructures
US7903083B2 (en) 2006-11-13 2011-03-08 Motorola Mobility, Inc. Mixed-mode encapsulated electrophoretic display for electronic device
JP5008381B2 (ja) 2006-11-15 2012-08-22 富士フイルム株式会社 可撓性基板を用いた有機エレクトロルミネッセンス発光パネルの製造方法、それにより製造された有機エレクトロルミネッセンス発光パネル、及びその製造に使用する支持基板
US8069229B2 (en) 2006-12-28 2011-11-29 Computer Associates Think, Inc. Topology static zones
KR100890250B1 (ko) 2007-01-08 2009-03-24 포항공과대학교 산학협력단 플렉서블 소자의 제조 방법 및 플렉서블 표시 장치의 제조방법
US8110906B2 (en) 2007-01-23 2012-02-07 Infineon Technologies Ag Semiconductor device including isolation layer
CN101626991B (zh) 2007-03-12 2012-08-22 旭硝子株式会社 带保护用玻璃的玻璃基板及采用带保护用玻璃的玻璃基板的显示装置的制造方法
JP5277552B2 (ja) 2007-03-19 2013-08-28 富士通セミコンダクター株式会社 半導体装置の製造方法
US8399047B2 (en) 2007-03-22 2013-03-19 The Regents Of The Univeristy Of Michigan Multifunctional CVD coatings
CN101687893B (zh) 2007-04-26 2014-01-22 巴斯夫欧洲公司 含有吩噻嗪s-氧化物或吩噻嗪s,s-二氧化物基团的硅烷及其在oled中的用途
KR101436115B1 (ko) 2007-04-27 2014-09-01 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 기판의 제조방법, 및 반도체장치의 제조방법
US7960916B2 (en) 2007-05-16 2011-06-14 Advanced Lcd Technologies Development Center Co., Ltd. Display device and electronic device using thin-film transistors formed on semiconductor thin films which are crystallized on insulating substrates
CN101679109B (zh) * 2007-06-20 2011-11-09 旭硝子株式会社 采用氟化剂的氧化物玻璃的表面处理方法
WO2009003029A2 (en) 2007-06-25 2008-12-31 Brewer Science Inc. High-temperature spin-on temporary bonding compositions
KR20080113576A (ko) 2007-06-25 2008-12-31 엘지디스플레이 주식회사 액정표시장치 및 그 제조방법
TW200907003A (en) 2007-07-03 2009-02-16 Hitachi Chemical Co Ltd Adhesive composition and method of manufacturing thereof, adhesive member using the adhesive composition and method of manufacturing thereof, supporting member for mounting semiconductor and method of manufacturing thereof, and semiconductor apparatus an
JP2009035721A (ja) 2007-07-11 2009-02-19 Seiko Epson Corp 接合膜付き基材、接合方法および接合体
JP2009028923A (ja) 2007-07-24 2009-02-12 Seiko Epson Corp 接合方法、接合体および配線基板
JP2009028922A (ja) 2007-07-24 2009-02-12 Seiko Epson Corp 接合方法、接合体、液滴吐出ヘッドおよび液滴吐出装置
JP2009074002A (ja) 2007-09-21 2009-04-09 Seiko Epson Corp 接着シート、接合方法および接合体
US20090091025A1 (en) 2007-10-04 2009-04-09 Agency For Science, Technology And Research Method for forming and releasing interconnects
US9056951B2 (en) 2007-10-05 2015-06-16 The Regents Of The University Of Michigan Ultrastrong and stiff layered polymer nanocomposites and hierarchical laminate materials thereof
JP4710897B2 (ja) 2007-11-28 2011-06-29 セイコーエプソン株式会社 接合体の剥離方法
KR101445734B1 (ko) 2007-12-07 2014-10-01 삼성전자 주식회사 액정표시장치
EP2238618B1 (en) 2008-01-24 2015-07-29 Brewer Science, Inc. Method for reversibly mounting a device wafer to a carrier substrate
JP5024087B2 (ja) 2008-02-05 2012-09-12 旭硝子株式会社 ガラス積層体、支持体付き表示装置用パネル、およびそれらの製造方法
JP5881293B2 (ja) 2008-02-05 2016-03-09 サン−ゴバン パフォーマンス プラスティックス コーポレイション 多層物品
GB0802912D0 (en) 2008-02-15 2008-03-26 Carben Semicon Ltd Thin-film transistor, carbon-based layer and method of production thereof
KR20090095026A (ko) 2008-03-04 2009-09-09 삼성전자주식회사 표시 장치 제조 방법
EP2274162A1 (en) 2008-04-08 2011-01-19 The Arizona Board Of Regents, A Body Corporate Of The State Of Arizona Acting For And On Behalf Of Arizona State University Assemblies and methods for reducing warp and bow of a flexible substrate during semiconductor processing
JPWO2009128359A1 (ja) 2008-04-17 2011-08-04 旭硝子株式会社 ガラス積層体、支持体付き表示装置用パネルおよびこれらの製造方法
KR101500684B1 (ko) 2008-04-17 2015-03-10 삼성디스플레이 주식회사 캐리어 기판 및 이를 이용한 가요성 표시 장치의 제조 방법
US7842548B2 (en) 2008-04-22 2010-11-30 Taiwan Semconductor Manufacturing Co., Ltd. Fixture for P-through silicon via assembly
KR101458901B1 (ko) 2008-04-29 2014-11-10 삼성디스플레이 주식회사 가요성 표시 장치의 제조 방법
US7960840B2 (en) 2008-05-12 2011-06-14 Texas Instruments Incorporated Double wafer carrier process for creating integrated circuit die with through-silicon vias and micro-electro-mechanical systems protected by a hermetic cavity created at the wafer level
JP2009283155A (ja) 2008-05-19 2009-12-03 Seiko Epson Corp 表示装置の製造方法、表示装置および電子機器
JP5029523B2 (ja) 2008-07-14 2012-09-19 旭硝子株式会社 ガラス積層体、支持体付き表示装置用パネル、表示装置用パネル、表示装置およびこれらの製造方法
US7690344B2 (en) 2008-07-24 2010-04-06 Gm Global Technology Operations, Inc. Method and apparatus for supporting stop-and-go engine functionality
GB2462615A (en) 2008-08-12 2010-02-17 Nec Corp Optional Access Stratum security activation depending on purpose of request or message parameter in an evolved UTRAN communication network.
DE102008045370B4 (de) 2008-09-02 2010-07-08 Grenzebach Maschinenbau Gmbh Verfahren und Vorrichtung zum Transport großflächiger, dünner Glasplatten
US20110311789A1 (en) 2008-09-12 2011-12-22 Arizona Board of Regents, a body corporate acting for and on behalf of Arizona State University Methods for Attaching Flexible Substrates to Rigid Carriers and Resulting Devices
TWI354854B (en) 2008-09-15 2011-12-21 Ind Tech Res Inst Substrate structures applied in flexible electrica
JP2010107597A (ja) 2008-10-28 2010-05-13 Seiko Epson Corp 光学素子および光学素子の製造方法
KR101555551B1 (ko) 2008-11-07 2015-09-24 엘지디스플레이 주식회사 플렉시블 표시장치 제조방법
JP2012509393A (ja) 2008-11-19 2012-04-19 ダウ コーニング コーポレーション シリコーン組成物およびその製造方法
US8211270B2 (en) 2008-11-21 2012-07-03 Nitto Denko Corporation Method of detaching attached boards from each other
DE102009022628A1 (de) 2008-12-05 2010-06-10 Evonik Goldschmidt Gmbh Verfahren zur Modifizierung von Oberflächen
EP2374149B1 (en) 2008-12-05 2018-06-13 Hydis Technologies Co., Ltd Method of forming electronic devices having plastic substrates
TW201033000A (en) 2009-01-09 2010-09-16 Asahi Glass Co Ltd Glass laminate and manufacturing method therefor
US9063605B2 (en) 2009-01-09 2015-06-23 Apple Inc. Thin glass processing using a carrier
JP5207306B2 (ja) 2009-01-26 2013-06-12 武井電機工業株式会社 薄膜積層ガラス基板の薄膜除去方法及び装置
US20110318589A1 (en) 2009-02-27 2011-12-29 Massimo Pignatelli Plasma Treated EVOH Multilayer Film
TWI410329B (zh) 2009-03-09 2013-10-01 Ind Tech Res Inst 可撓式裝置的取下設備及其取下方法
JP5402184B2 (ja) 2009-04-13 2014-01-29 日本電気硝子株式会社 ガラスフィルムおよびその製造方法
CN101873532B (zh) 2009-04-22 2013-01-02 电信科学技术研究院 广播多播系统中发送和获取控制信息的方法、基站和ue
CN102422406B (zh) 2009-05-06 2014-07-09 康宁股份有限公司 用于玻璃基片的支承件
JP5578174B2 (ja) 2009-05-08 2014-08-27 日立化成株式会社 半導体封止用フィルム状接着剤、半導体装置及びその製造方法
GB0908193D0 (en) 2009-05-13 2009-06-24 Albright Patents Treatment of disease state
WO2010141257A2 (en) 2009-06-03 2010-12-09 Applied Materials, Inc. Method and apparatus for etching
CN101924067B (zh) 2009-06-09 2013-05-08 财团法人工业技术研究院 挠性膜自载板上脱离的方法及可挠式电子装置的制造方法
JP2010284869A (ja) 2009-06-11 2010-12-24 Shin-Etsu Chemical Co Ltd 接合部材
JP5594522B2 (ja) 2009-07-03 2014-09-24 日本電気硝子株式会社 電子デバイス製造用ガラスフィルム積層体
US8568184B2 (en) 2009-07-15 2013-10-29 Apple Inc. Display modules
US7987566B2 (en) 2009-07-15 2011-08-02 Sturzebecher Richard J Capacitor forming method
CN101989469A (zh) 2009-07-31 2011-03-23 群康科技(深圳)有限公司 导电板
US8048794B2 (en) 2009-08-18 2011-11-01 International Business Machines Corporation 3D silicon-silicon die stack structure and method for fine pitch interconnection and vertical heat transport
DE102009028640A1 (de) 2009-08-19 2011-02-24 Evonik Goldschmidt Gmbh Härtbare Masse enthaltend Urethangruppen aufweisende silylierte Polymere und deren Verwendung in Dicht- und Klebstoffen, Binde- und/oder Oberflächenmodifizierungsmitteln
JP2011048979A (ja) 2009-08-26 2011-03-10 Canon Inc 画像表示装置
CN102596565B (zh) 2009-08-27 2014-09-10 旭硝子株式会社 挠性基材-支撑体的层叠结构体、带有支撑体的电子装置用面板、以及电子装置用面板的制造方法
US9847243B2 (en) 2009-08-27 2017-12-19 Corning Incorporated Debonding a glass substrate from carrier using ultrasonic wave
JP5562597B2 (ja) * 2009-08-28 2014-07-30 荒川化学工業株式会社 支持体、ガラス基板積層体、支持体付き表示装置用パネル、および表示装置用パネルの製造方法
WO2011030716A1 (ja) 2009-09-08 2011-03-17 旭硝子株式会社 ガラス/樹脂積層体、及びそれを用いた電子デバイス
JP2011058579A (ja) 2009-09-10 2011-03-24 Tokyo Institute Of Technology エネルギ吸収構造体
KR101583394B1 (ko) 2009-09-11 2016-01-07 헨켈 아이피 앤드 홀딩 게엠베하 중합체 결합을 위한 조성물
WO2011034034A1 (ja) 2009-09-18 2011-03-24 日本電気硝子株式会社 ガラスフィルムの製造方法及びガラスフィルムの処理方法並びにガラスフィルム積層体
KR20120098640A (ko) 2009-10-20 2012-09-05 아사히 가라스 가부시키가이샤 유리 적층체 및 그의 제조 방법, 및 표시 패널의 제조 방법 및 그 제조 방법에 의해 얻어지는 표시 패널
JP5637140B2 (ja) 2009-10-20 2014-12-10 旭硝子株式会社 ガラス積層体、支持体付き表示装置用パネル、表示装置用パネル、表示装置、およびこれらの製造方法
KR20110043376A (ko) 2009-10-21 2011-04-27 엘지디스플레이 주식회사 전기영동 표시장치 및 그 제조 방법
KR101617280B1 (ko) 2009-10-21 2016-05-03 엘지디스플레이 주식회사 플라스틱 기판을 이용한 표시장치 제조 방법
KR20110045136A (ko) 2009-10-26 2011-05-04 주식회사 삼영테크놀로지 윈도우와 디스플레이 패널을 분리하기 위한 코팅방법
US9019211B2 (en) 2009-10-30 2015-04-28 Corning Incorporated Methods and apparatus for providing touch sensitive displays
KR101635914B1 (ko) 2009-12-16 2016-07-05 엘지디스플레이 주식회사 플렉서블 표시장치의 제조 방법
CN102753503B (zh) 2009-12-17 2016-06-22 陶瓷技术有限责任公司 改进骨粘固剂在陶瓷基材上的粘附的表面调整
JP5645123B2 (ja) * 2010-01-12 2014-12-24 日本電気硝子株式会社 ガラスフィルム積層体及びその製造方法並びにガラスフィルムの製造方法
JP2011159697A (ja) 2010-01-29 2011-08-18 Dainippon Printing Co Ltd 薄膜トランジスタ搭載基板、その製造方法及び画像表示装置
US8995146B2 (en) 2010-02-23 2015-03-31 Semblant Limited Electrical assembly and method
GB201003067D0 (en) 2010-02-23 2010-04-07 Semblant Ltd Plasma-polymerized polymer coating
JP2011201976A (ja) 2010-03-24 2011-10-13 Seiko Epson Corp 接合方法
JP2011201977A (ja) 2010-03-24 2011-10-13 Seiko Epson Corp 接合方法
US8349727B2 (en) 2010-04-08 2013-01-08 Liang Guo Integrated method for high-density interconnection of electronic components through stretchable interconnects
US20110256385A1 (en) 2010-04-15 2011-10-20 Seiko Epson Corporation Bonding film-attached substrate and bonding film-attached substrate manufacturing method
JP5625470B2 (ja) 2010-05-10 2014-11-19 セイコーエプソン株式会社 接合方法
JP2011235556A (ja) 2010-05-11 2011-11-24 Seiko Epson Corp 励起エネルギー特定方法、励起エネルギー特定装置、接合方法および接合装置
JP5516046B2 (ja) 2010-05-11 2014-06-11 セイコーエプソン株式会社 接合膜転写シートおよび接合方法
CN102883879B (zh) 2010-05-11 2015-06-17 旭硝子株式会社 层叠体的制造方法和层叠体
JP2011248011A (ja) 2010-05-25 2011-12-08 Bridgestone Corp 表示媒体用粒子およびこれを用いた情報表示用パネル
GB2481187B (en) 2010-06-04 2014-10-29 Plastic Logic Ltd Processing substrates
KR101721414B1 (ko) 2010-06-09 2017-03-31 삼성디스플레이 주식회사 백라이트 어셈블리 및 이를 포함하는 액정표시장치
US8852391B2 (en) 2010-06-21 2014-10-07 Brewer Science Inc. Method and apparatus for removing a reversibly mounted device wafer from a carrier substrate
TWI432835B (zh) 2010-06-24 2014-04-01 Au Optronics Corp 可撓性顯示面板及其製造方法
DE102010025967B4 (de) 2010-07-02 2015-12-10 Schott Ag Verfahren zur Erzeugung einer Vielzahl von Löchern, Vorrichtung hierzu und Glas-Interposer
CN101916022B (zh) 2010-07-06 2012-10-10 友达光电股份有限公司 可挠性显示面板及其制造方法
WO2012014959A1 (ja) 2010-07-28 2012-02-02 日本電気硝子株式会社 ガラスフィルム積層体
JP5602529B2 (ja) 2010-07-29 2014-10-08 日本合成化学工業株式会社 積層体の製法、ガラス基板付き偏光板の製法、およびそれにより得られたガラス基板付き偏光板
US20120035309A1 (en) 2010-08-06 2012-02-09 Baker Hughes Incorporated Method to disperse nanoparticles into elastomer and articles produced therefrom
US9263314B2 (en) 2010-08-06 2016-02-16 Brewer Science Inc. Multiple bonding layers for thin-wafer handling
JP5462107B2 (ja) 2010-08-09 2014-04-02 株式会社日本製鋼所 中空成形品の製造方法および製造装置
US8846499B2 (en) 2010-08-17 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Composite carrier structure
TWI446420B (zh) 2010-08-27 2014-07-21 Advanced Semiconductor Eng 用於半導體製程之載體分離方法
US20120063952A1 (en) 2010-09-10 2012-03-15 Hong Keith C Uv resistant clear laminates
KR101164945B1 (ko) 2010-09-13 2012-07-12 한국과학기술원 플렉시블 소자의 제작 방법
KR101779586B1 (ko) 2010-09-27 2017-10-10 엘지디스플레이 주식회사 플라스틱 기판을 이용한 표시장치 제조 방법
EP2624326A4 (en) 2010-09-29 2017-05-10 Posco Method for manufacturing a flexible electronic device using a roll-shaped motherboard, flexible electronic device, and flexible substrate
US8822306B2 (en) 2010-09-30 2014-09-02 Infineon Technologies Ag Method for manufacturing a composite wafer having a graphite core, and composite wafer having a graphite core
KR101267529B1 (ko) 2010-10-30 2013-05-24 엘지디스플레이 주식회사 플렉서블한 유기전계 발광소자 제조 방법
US8859103B2 (en) 2010-11-05 2014-10-14 Joseph Eugene Canale Glass wafers for semiconductor fabrication processes and methods of making same
CN102034746B (zh) 2010-11-08 2013-06-05 昆山工研院新型平板显示技术中心有限公司 一种有源矩阵有机发光显示器阵列基板的制造方法
KR101295532B1 (ko) 2010-11-11 2013-08-12 엘지디스플레이 주식회사 플렉시블 평판소자의 제조방법
KR101271838B1 (ko) 2010-11-24 2013-06-07 주식회사 포스코 보강기판을 이용한 플렉서블 전자소자의 제조방법, 플렉서블 전자소자 및 플렉서블 기판
KR101842134B1 (ko) 2010-11-26 2018-03-26 엘지디스플레이 주식회사 전기영동 표시장치 및 그 제조 방법
EP2458620B1 (en) 2010-11-29 2021-12-01 IHP GmbH-Innovations for High Performance Microelectronics / Leibniz-Institut für innovative Mikroelektronik Fabrication of graphene electronic devices using step surface contour
TW201238014A (en) 2010-11-30 2012-09-16 Corning Inc Methods of forming a glass wiring board substrate
JP2012119611A (ja) 2010-12-03 2012-06-21 Asahi Glass Co Ltd 貫通電極基板の製造方法
KR101049380B1 (ko) 2010-12-21 2011-07-15 한국기계연구원 전해도금을 이용한 반도체 소자 3차원 패키지용 관통 전극 및 그 제조 방법
CN102070120B (zh) 2010-12-31 2012-09-05 东南大学 用于微电子系统级封装的高密度转接板的制备方法
CN102548758B (zh) * 2011-02-01 2013-11-20 株式会社微龙技术研究所 薄板玻璃基板贴合体及其制造方法
JP2012166999A (ja) 2011-02-16 2012-09-06 Asahi Glass Co Ltd インターポーザ用ガラス基板の製造方法、インターポーザの製造方法、インターポーザ用ガラス基板、およびインターポーザ
KR101918284B1 (ko) 2011-03-03 2019-01-30 엘지디스플레이 주식회사 플렉시블 표시장치의 제조 방법
JP5355618B2 (ja) 2011-03-10 2013-11-27 三星ディスプレイ株式會社 可撓性表示装置及びこの製造方法
JP2012209545A (ja) 2011-03-17 2012-10-25 Sekisui Chem Co Ltd 半導体積層体の製造方法
TWI445626B (zh) 2011-03-18 2014-07-21 Eternal Chemical Co Ltd 製造軟性元件的方法
JP2012227310A (ja) 2011-04-19 2012-11-15 Panasonic Corp セラミックス多層基板とその製造方法
US10155361B2 (en) 2011-11-09 2018-12-18 Corning Incorporated Method of binding nanoparticles to glass
JPWO2012144499A1 (ja) 2011-04-22 2014-07-28 旭硝子株式会社 積層体、その製造方法及び用途
JP5760696B2 (ja) 2011-05-27 2015-08-12 株式会社デンソー 画像認識装置
JP5862238B2 (ja) 2011-05-27 2016-02-16 東洋紡株式会社 積層体とその製造方法及びそれを用いたデバイス構造体の製造方法
JP5862866B2 (ja) 2011-05-30 2016-02-16 東洋紡株式会社 積層体の作成方法および、この積層体を利用したフィルムデバイスの作成方法
JP5883236B2 (ja) 2011-06-10 2016-03-09 日東電工株式会社 薄層基材用キャリア材
KR20130000211A (ko) 2011-06-22 2013-01-02 삼성전자주식회사 기판 가공 방법
KR20130003997A (ko) 2011-07-01 2013-01-09 엘지디스플레이 주식회사 캐리어 기판과 박형 글라스의 탈부착 방법
WO2013006865A2 (en) 2011-07-07 2013-01-10 Brewer Science Inc. Methods of transferring device wafers or layers between carrier substrates and other surfaces
US8617925B2 (en) 2011-08-09 2013-12-31 Soitec Methods of forming bonded semiconductor structures in 3D integration processes using recoverable substrates, and bonded semiconductor structures formed by such methods
US8383460B1 (en) 2011-09-23 2013-02-26 GlobalFoundries, Inc. Method for fabricating through substrate vias in semiconductor substrate
EP2761051B1 (en) 2011-09-27 2018-11-07 Applied Materials, Inc. Carrier for thin glass substrates and use thereof
JP5790392B2 (ja) 2011-10-12 2015-10-07 旭硝子株式会社 電子デバイスの製造方法
JP5796449B2 (ja) 2011-10-12 2015-10-21 旭硝子株式会社 電子デバイスの製造方法、樹脂層付きキャリア基板の製造方法
KR101973826B1 (ko) 2011-10-18 2019-08-26 에이지씨 가부시키가이샤 적층체, 적층체의 제조 방법 및 전자 디바이스용 부재가 부착된 유리 기판의 제조 방법
KR101820171B1 (ko) 2011-10-24 2018-01-19 엘지디스플레이 주식회사 경량 박형의 액정표시장치 제조방법
US8550061B2 (en) 2012-01-26 2013-10-08 Maruzen Company Limited Toy gun
US8696864B2 (en) 2012-01-26 2014-04-15 Promerus, Llc Room temperature debonding composition, method and stack
US9725357B2 (en) * 2012-10-12 2017-08-08 Corning Incorporated Glass articles having films with moderate adhesion and retained strength
WO2013119737A2 (en) * 2012-02-08 2013-08-15 Corning Incorporated Processing flexible glass with a carrier
US8975157B2 (en) 2012-02-08 2015-03-10 Advanced Semiconductor Engineering, Inc. Carrier bonding and detaching processes for a semiconductor wafer
US10543662B2 (en) * 2012-02-08 2020-01-28 Corning Incorporated Device modified substrate article and methods for making
US8696212B2 (en) 2012-03-01 2014-04-15 Amsted Rail Company, Inc. Roller bearing backing ring assembly
JP2013184346A (ja) 2012-03-07 2013-09-19 Asahi Glass Co Ltd ガラス積層体、電子デバイスの製造方法
JP2013184872A (ja) 2012-03-09 2013-09-19 Nippon Electric Glass Co Ltd ガラス基板の端部処理方法、ガラス基板の端部処理装置及びガラス基板
KR101390212B1 (ko) 2012-03-14 2014-05-14 한양대학교 에리카산학협력단 전기분무건조를 이용한 글리아딘 나노입자의 제조방법
JP2013207084A (ja) 2012-03-28 2013-10-07 Sumitomo Electric Ind Ltd 放熱板付基板モジュールおよび放熱板付基板モジュールの製造方法
JP2013216513A (ja) 2012-04-05 2013-10-24 Nippon Electric Glass Co Ltd ガラスフィルムの切断方法及びガラスフィルム積層体
EP2650938A1 (en) 2012-04-13 2013-10-16 Acreo Swedish ICT AB Organic Field-Effect Transistor Device
JP2013224475A (ja) 2012-04-23 2013-10-31 Shimazu Kogyo Kk 溶射用粒子、溶射皮膜の形成方法及び溶射部材
DE102012207149A1 (de) 2012-04-27 2013-10-31 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verbund mit temporärer Schutzfolie
CN104349894B (zh) 2012-05-29 2016-06-08 旭硝子株式会社 玻璃层叠体和电子器件的制造方法
KR20130095605A (ko) 2012-06-22 2013-08-28 코스텍시스템(주) 디바이스 웨이퍼와 캐리어 웨이퍼의 본딩과 디본딩 장치
CN102815052B (zh) 2012-06-29 2016-08-24 法国圣戈班玻璃公司 超疏水减反基板及其制作方法
US9139469B2 (en) 2012-07-17 2015-09-22 Corning Incorporated Ion exchangeable Li-containing glass compositions for 3-D forming
JP2014019597A (ja) 2012-07-17 2014-02-03 Nippon Electric Glass Co Ltd ガラスフィルムの製造方法及びガラスフィルム積層体
CN102789125B (zh) 2012-07-27 2013-11-13 京东方科技集团股份有限公司 隔垫物制作方法
CN110330225A (zh) 2012-08-17 2019-10-15 康宁股份有限公司 超薄强化玻璃
CN102820262A (zh) 2012-09-05 2012-12-12 江苏物联网研究发展中心 一种玻璃通孔的制作及互连的方法
CN104582955B (zh) * 2012-09-28 2017-05-31 Hoya株式会社 电子设备用罩玻璃及其制造方法
TWI615367B (zh) 2012-10-12 2018-02-21 康寧公司 具有保留強度之物品
US20140150244A1 (en) 2012-11-30 2014-06-05 General Electric Company Adhesive-free carrier assemblies for glass substrates
CN103035490A (zh) 2012-12-11 2013-04-10 京东方科技集团股份有限公司 柔性显示器件的制备方法
CN106030686A (zh) 2012-12-13 2016-10-12 康宁股份有限公司 玻璃和制备玻璃制品的方法
TWI617437B (zh) 2012-12-13 2018-03-11 康寧公司 促進控制薄片與載體間接合之處理
US9340443B2 (en) 2012-12-13 2016-05-17 Corning Incorporated Bulk annealing of glass sheets
US10014177B2 (en) 2012-12-13 2018-07-03 Corning Incorporated Methods for processing electronic devices
US10086584B2 (en) 2012-12-13 2018-10-02 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
KR102046534B1 (ko) 2013-01-25 2019-11-19 삼성전자주식회사 기판 가공 방법
US10000675B2 (en) 2013-03-03 2018-06-19 John Cleaon Moore Temporary adhesive with tunable adhesion force sufficient for processing thin solid materials
US9790407B2 (en) 2013-03-09 2017-10-17 Moore John Aqueous detergent soluble coating and adhesive and methods of temporary bonding for manufacturing
JP6070822B2 (ja) 2013-03-15 2017-02-01 日産自動車株式会社 非水電解質二次電池
JP6186493B2 (ja) 2013-03-15 2017-08-23 コーニング インコーポレイテッド ガラスシートのバルクアニール
KR101432575B1 (ko) 2013-03-29 2014-08-21 엘지디스플레이 주식회사 경량 박형의 액정표시장치 제조방법
JP6137303B2 (ja) 2013-04-02 2017-05-31 旭硝子株式会社 被膜付きガラス基板およびその製造方法
KR102070617B1 (ko) 2013-08-21 2020-01-30 엘지이노텍 주식회사 멤스 진폭 변조기 및 이를 포함하는 멤스 자계 센서
KR101580015B1 (ko) 2013-08-30 2015-12-24 주식회사 엔씰텍 임시 점착/탈착층을 사용하는 플렉시블 정보 표시 소자 제조용 지지 기판, 이의 제조 방법, 그를 이용한 플렉시블 정보 표시 소자 및 그의 제조 방법
KR20150034829A (ko) 2013-08-30 2015-04-06 주식회사 엔씰텍 플렉시블 정보 표시 소자 제조용 지지 기판, 이의 제조 방법, 이를 이용하여 제조된 플렉시블 정보 표시 소자 및 이의 제조방법
US20150099110A1 (en) 2013-10-07 2015-04-09 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
US10510576B2 (en) 2013-10-14 2019-12-17 Corning Incorporated Carrier-bonding methods and articles for semiconductor and interposer processing
JP6176067B2 (ja) 2013-11-11 2017-08-09 旭硝子株式会社 ガラス積層体および電子デバイスの製造方法
JP6119567B2 (ja) 2013-11-11 2017-04-26 旭硝子株式会社 ガラス積層体の製造方法および電子デバイスの製造方法
JP6136909B2 (ja) 2013-12-17 2017-05-31 旭硝子株式会社 樹脂層付き支持基板の製造方法、ガラス積層体の製造方法、電子デバイスの製造方法
KR20160114106A (ko) 2014-01-27 2016-10-04 코닝 인코포레이티드 얇은 시트와 캐리어의 제어된 결합을 위한 표면 개질 층의 처리
JP2017506204A (ja) 2014-01-27 2017-03-02 コーニング インコーポレイテッド 高分子表面の担体との制御された結合のための物品および方法
KR102353030B1 (ko) 2014-01-27 2022-01-19 코닝 인코포레이티드 얇은 시트와 캐리어의 제어된 결합을 위한 물품 및 방법
KR101522941B1 (ko) 2014-02-05 2015-05-26 도레이첨단소재 주식회사 실리콘 이형필름 및 그 제조방법
CN105980150B (zh) 2014-02-07 2018-01-30 旭硝子株式会社 玻璃层叠体
US9406746B2 (en) 2014-02-19 2016-08-02 International Business Machines Corporation Work function metal fill for replacement gate fin field effect transistor process
JP2017087417A (ja) 2014-03-26 2017-05-25 旭硝子株式会社 ガラス積層体
EP3129221A1 (en) 2014-04-09 2017-02-15 Corning Incorporated Device modified substrate article and methods for making
TWI649192B (zh) 2014-04-10 2019-02-01 日商Agc股份有限公司 Glass laminate, method of manufacturing same, and method of manufacturing electronic component
KR20160146712A (ko) 2014-04-25 2016-12-21 아사히 가라스 가부시키가이샤 유리 적층체 및 전자 디바이스의 제조 방법
JP6322469B2 (ja) 2014-04-25 2018-05-09 ニッタ株式会社 基板加工方法
KR20170039135A (ko) 2014-08-01 2017-04-10 아사히 가라스 가부시키가이샤 무기막을 구비한 지지 기판 및 유리 적층체, 그리고, 그것들의 제조 방법 및 전자 디바이스의 제조 방법
US9790593B2 (en) * 2014-08-01 2017-10-17 Corning Incorporated Scratch-resistant materials and articles including the same
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US11167532B2 (en) * 2015-05-19 2021-11-09 Corning Incorporated Articles and methods for bonding sheets with carriers
US11905201B2 (en) 2015-06-26 2024-02-20 Corning Incorporated Methods and articles including a sheet and a carrier
JP6123919B2 (ja) 2016-01-07 2017-05-10 住友ベークライト株式会社 化粧板
WO2018038961A1 (en) 2016-08-22 2018-03-01 Corning Incorporated Articles of controllably bonded sheets and methods for making same
US10079154B1 (en) 2017-03-20 2018-09-18 Lam Research Corporation Atomic layer etching of silicon nitride

Also Published As

Publication number Publication date
EP3129221A1 (en) 2017-02-15
CN106457758B (zh) 2018-11-16
US11192340B2 (en) 2021-12-07
US20200139677A1 (en) 2020-05-07
KR20160145062A (ko) 2016-12-19
JP2017518954A (ja) 2017-07-13
WO2015157202A1 (en) 2015-10-15
SG11201608442TA (en) 2016-11-29
CN106457758A (zh) 2017-02-22

Similar Documents

Publication Publication Date Title
US11192340B2 (en) Device modified substrate article and methods for making
US10543662B2 (en) Device modified substrate article and methods for making
KR102355656B1 (ko) 캐리어 결합 방법, 및 반도체 및 인터포저 가공을 위한 물품
TWI671200B (zh) 用於薄片與載體之受控制接合的物件及方法
KR102237812B1 (ko) 캐리어와 유리 시트의 결합을 제어하기 위한 유리 물품 및 방법
TW202343661A (zh) 用於簡化的輔具晶圓的dbi至矽接合
EP2932496A1 (en) Glass and methods of making glass articles
KR20160066039A (ko) 유리 물품 및 유리 시트와 캐리어의 제어된 결합 방법
KR20150097606A (ko) Oled 장치의 가공 방법
TW201342494A (zh) 用於半導體裝置的製造之合成晶圓
KR20150127274A (ko) 유리 시트의 벌크 어닐링
Charbonnier et al. Integration of a temporary carrier in a TSV process flow
Hermanowski Thin wafer handling—Study of temporary wafer bonding materials and processes
US20200020665A1 (en) Method for self-assembling microelectronic components
Anantha et al. Homogeneous chip to wafer bonding of InP-Al2O3-Si using UV/O3 activation
US20080036084A1 (en) Laser release process for very thin Si-carrier build
US20130061869A1 (en) Use of megasonic energy to assist edge bond removal in a zonal temporary bonding process
Lee et al. Optimization for temporary bonding process in PECVD passivated micro-bumping technology
Kim et al. Wafer Bonding Techniques