CN106457758A - 装置改性的基材制品及其制备方法 - Google Patents

装置改性的基材制品及其制备方法 Download PDF

Info

Publication number
CN106457758A
CN106457758A CN201580030925.3A CN201580030925A CN106457758A CN 106457758 A CN106457758 A CN 106457758A CN 201580030925 A CN201580030925 A CN 201580030925A CN 106457758 A CN106457758 A CN 106457758A
Authority
CN
China
Prior art keywords
glass
base material
carrier
atom
laminate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201580030925.3A
Other languages
English (en)
Other versions
CN106457758B (zh
Inventor
K·阿迪比
R·A·贝尔曼
R·G·曼利
P·马宗达
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Corning Inc
Original Assignee
Corning Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Corning Inc filed Critical Corning Inc
Publication of CN106457758A publication Critical patent/CN106457758A/zh
Application granted granted Critical
Publication of CN106457758B publication Critical patent/CN106457758B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B17/00Layered products essentially comprising sheet glass, or glass, slag, or like fibres
    • B32B17/06Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B17/00Layered products essentially comprising sheet glass, or glass, slag, or like fibres
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B27/00Layered products comprising a layer of synthetic resin
    • B32B27/06Layered products comprising a layer of synthetic resin as the main or only constituent of a layer, which is next to another layer of the same or of a different material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B3/00Layered products comprising a layer with external or internal discontinuities or unevennesses, or a layer of non-planar form; Layered products having particular features of form
    • B32B3/26Layered products comprising a layer with external or internal discontinuities or unevennesses, or a layer of non-planar form; Layered products having particular features of form characterised by a particular shape of the outline of the cross-section of a continuous layer; characterised by a layer with cavities or internal voids ; characterised by an apertured layer
    • B32B3/266Layered products comprising a layer with external or internal discontinuities or unevennesses, or a layer of non-planar form; Layered products having particular features of form characterised by a particular shape of the outline of the cross-section of a continuous layer; characterised by a layer with cavities or internal voids ; characterised by an apertured layer characterised by an apertured layer, the apertures going through the whole thickness of the layer, e.g. expanded metal, perforated layer, slit layer regular cells B32B3/12
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B38/00Ancillary operations in connection with laminating processes
    • B32B38/0008Electrical discharge treatment, e.g. corona, plasma treatment; wave energy or particle radiation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B43/00Operations specially adapted for layered products and not otherwise provided for, e.g. repairing; Apparatus therefor
    • B32B43/006Delaminating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B7/00Layered products characterised by the relation between layers; Layered products characterised by the relative orientation of features between layers, or by the relative values of a measurable parameter between layers, i.e. products comprising layers having different physical, chemical or physicochemical properties; Layered products characterised by the interconnection of layers
    • B32B7/04Interconnection of layers
    • B32B7/06Interconnection of layers permitting easy separation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B7/00Layered products characterised by the relation between layers; Layered products characterised by the relative orientation of features between layers, or by the relative values of a measurable parameter between layers, i.e. products comprising layers having different physical, chemical or physicochemical properties; Layered products characterised by the interconnection of layers
    • B32B7/04Interconnection of layers
    • B32B7/12Interconnection of layers using interposed adhesives or interposed materials with bonding properties
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B9/00Layered products comprising a layer of a particular substance not covered by groups B32B11/00 - B32B29/00
    • B32B9/005Layered products comprising a layer of a particular substance not covered by groups B32B11/00 - B32B29/00 comprising one layer of ceramic material, e.g. porcelain, ceramic tile
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B9/00Layered products comprising a layer of a particular substance not covered by groups B32B11/00 - B32B29/00
    • B32B9/04Layered products comprising a layer of a particular substance not covered by groups B32B11/00 - B32B29/00 comprising such particular substance as the main or only constituent of a layer, which is next to another layer of the same or of a different material
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C23/00Other surface treatment of glass not in the form of fibres or filaments
    • C03C23/0005Other surface treatment of glass not in the form of fibres or filaments by irradiation
    • C03C23/006Other surface treatment of glass not in the form of fibres or filaments by irradiation by plasma or corona discharge
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2250/00Layers arrangement
    • B32B2250/022 layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2255/00Coating on the layer surface
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2307/00Properties of the layers or laminate
    • B32B2307/30Properties of the layers or laminate having particular thermal properties
    • B32B2307/308Heat stability
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2307/00Properties of the layers or laminate
    • B32B2307/50Properties of the layers or laminate having particular mechanical properties
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2307/00Properties of the layers or laminate
    • B32B2307/50Properties of the layers or laminate having particular mechanical properties
    • B32B2307/546Flexural strength; Flexion stiffness
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2307/00Properties of the layers or laminate
    • B32B2307/70Other properties
    • B32B2307/702Amorphous
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2307/00Properties of the layers or laminate
    • B32B2307/70Other properties
    • B32B2307/732Dimensional properties
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2307/00Properties of the layers or laminate
    • B32B2307/70Other properties
    • B32B2307/748Releasability
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2307/00Properties of the layers or laminate
    • B32B2307/70Other properties
    • B32B2307/75Printability
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2309/00Parameters for the laminating or treatment process; Apparatus details
    • B32B2309/02Temperature
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2309/00Parameters for the laminating or treatment process; Apparatus details
    • B32B2309/04Time
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2309/00Parameters for the laminating or treatment process; Apparatus details
    • B32B2309/08Dimensions, e.g. volume
    • B32B2309/10Dimensions, e.g. volume linear, e.g. length, distance, width
    • B32B2309/105Thickness
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2310/00Treatment by energy or chemical effects
    • B32B2310/14Corona, ionisation, electrical discharge, plasma treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2315/00Other materials containing non-metallic inorganic compounds not provided for in groups B32B2311/00 - B32B2313/04
    • B32B2315/08Glass
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2457/00Electrical equipment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2457/00Electrical equipment
    • B32B2457/20Displays, e.g. liquid crystal displays, plasma displays
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C15/00Surface treatment of glass, not in the form of fibres or filaments, by etching
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2218/00Methods for coating glass
    • C03C2218/10Deposition methods
    • C03C2218/15Deposition methods from the vapour phase
    • C03C2218/152Deposition methods from the vapour phase by cvd
    • C03C2218/153Deposition methods from the vapour phase by cvd by plasma-enhanced cvd
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2218/00Methods for coating glass
    • C03C2218/30Aspects of methods for coating glass not covered above
    • C03C2218/355Temporary coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02079Cleaning for reclaiming

Abstract

一种制备具有支撑在玻璃载体基材上的装置改性的基材的装置基材制品的方法,所述方法包括:处理装置基材第一表面的至少一部分,玻璃载体第一表面的至少一部分,或其组合,其中处理制备了表面,所述表面具有:硅;氧;碳;和氟量;以及如本文所定义的金属和氟比例;使处理的表面接触未处理的或类似‑处理的配对的装置基材或玻璃载体基材,从而形成包含粘结到玻璃载体基材的装置基材的层压件;用至少一种装置表面改性处理来改性层压件的装置基材的未粘结第二表面的至少一部分;和分离具有装置改性的第二表面的装置基材与玻璃载体基材。

Description

装置改性的基材制品及其制备方法
相关申请的交叉引用
本申请要求2014年04月09日提交的、题目为“装置改性的基材制品及其制备方法”的美国专利申请号61/977364的优先权,其全文通过引用结合于此。
本申请涉及但不要求下述共同拥有和转让的共同待审的专利申请的优先权:2012年02月08日提交的、题目为“使用载体加工柔性玻璃”的美国序列号61/596727;2013年10月14日提交的、题目为“具有低弹性模量层和保留强度的制品”的美国序列号14/053093;2013年10月14日提交的、题目为“具有中等粘合和保留强度的层压件制品”的美国序列号14/053139;2012年12月13日提交的、题目为“用于控制片材和载体之间结合的促进的加工”的美国序列号61/736880;和2012年12月12日提交的、题目为“玻璃和制备玻璃制品的方法”的美国序列号61/736887。
本申请涉及但不要求下述申请的优先权:2014年04月09日提交的、题目为“包括具有中等粘合和保留强度的膜的玻璃制品”的USSN14/248,868。
本文所述的任何出版物或专利文献的全文内容通过参考结合于本文。
背景
本发明涉及层压件制品,层压件制品的制造和使用,以及具有装置改性的表面的玻璃片制品或非玻璃片制品,其用于或用作例如电气或电子装置。此外,本发明涉及一种用于粘合和脱粘玻璃片制品或非玻璃片制品与载体基材的方法。
概述
在一些实施方式中,本发明提供具有装置改性的表面的玻璃装置基材或非玻璃装置基材。
在一些实施方式中,本发明提供包含可逆地粘结到可再次使用的载体的玻璃装置基材工件的层压件制品,所述层压件在界面处具有消耗硅和氧且富集氟和碳的玻璃表面。
在一些实施方式中,本发明提供一种用于粘结和脱粘层压件的方法,所述层压件包含玻璃装置基材工件和用于所述工件的载体基材。
在一些实施方式中,本发明提供一种用于在载体和薄玻璃装置基材之间形成适度或中等强度粘结或粘附从而形成临时粘结的方法,所述临时粘结强到足以耐受例如薄膜晶体管(TFT)加工,但所述粘结弱到足以在装置基材的表面加工完成之后实现及时地脱粘或分离载体基材和玻璃装置基材。中等强度粘结或适度粘结性质实现可再次使用的载体功能和制造循环。适度粘结性质可为例如在载体基材和装置基材工件之间区域的一个或多个部分中,或在载体基材和装置基材工件之间的整体区域中。
附图简要说明
在本发明的实施方式中:
图1显示本文所述的可再次使用的载体工艺(100)的示意图。
图2A和2B显示用于CF4和CHF3等离子体聚合物处理的玻璃表面的接触角(图2A)和表面能(图2B)的变化。
图3A和3B显示用于氟碳等离子体处理的用不同摩尔分数的CF4和CHF3处理的玻璃表面的如通过XPS所测量的氟(310)和碳(320)和氧(330)的表面浓度(图3A)以及如通过XPS所测量的无机氟原子(粘结到金属)的浓度(340)和有机氟原子(在含氟聚合物中)的浓度(350)(图3B)。
图4显示如通过XPS所测量且用原子%表示的用于独立的CHF3或CF4等离子体处理的玻璃表面元素组成随着增加形成聚合物的等离子体处理时间的变化。
图5A到5B显示用于选定表面处理的粘附或粘结能作为退火温度(图5A)和退火时间(图5B)的函数的变化。
图6是具有插入器的薄片材和载体的俯视图。
图7是沿着图6的线7-7获得的薄片和载体的横截面视图。
图8是横截面视图,这类似于图20的横截面视图,但具有设置在薄片上的额外的装置。
详细描述
下面将参考附图(如果有)详细描述本发明的各种实施方式。对各种实施方式的参考不限制本发明的范围,本发明范围仅受所附权利要求书的范围的限制。此外,在本说明书中列出的任何实施例都不是限制性的,且仅列出要求保护的本发明的诸多可能实施方式中的一些实施方式。
定义
“原子%”、“原子%”等指原子或原子的百分比。
“临时粘结”指本文所述的层压件的玻璃装置基材和载体基材之间的非毁灭性和可逆的粘附,所述粘结足以耐受进一步的表面改性加工,但可使用例如机械力来破坏所述粘结以实现分离加工的薄玻璃装置基材和载体基材。
“薄玻璃装置基材”指例如,玻璃,并具有如本文所定义的厚度。
“包括”、“包含”或类似术语意为包括但不限于,即内含而非排它。
用来描述本发明实施方式的修饰例如组合物中成分的量、浓度、体积、加工温度、加工时间、产量、流速、压力、粘度等数值及它们的范围或者组分的尺寸等数值以及它们的范围的“约”指数量的变化,可发生在例如:制备材料、组合物、复合物、浓缩物、组分零件、制品制造或应用制剂的典型测定和处理步骤中;这些步骤中的无意误差;制造、来源或用来实施所述方法的原料或成分的纯度方面的差异中;以及类似考虑因素中。术语“约”还包括由于具有特定初始浓度或混合物的组合物或制剂的老化而不同的量,以及由于混合或加工具有特定初始浓度或混合物的组合物或制剂而不同的量。
“任选的”或“任选地”意指随后描述的事件或情况可能发生或可能不发生,描述内容包括事件或情况发生的场合以及事件或情况没有发生的场合。
除非另外说明,否则,本文所用的不定冠词“一个”或“一种”及其相应的定冠词“该”表示至少一(个/种),或者一(个/种)或多(个/种)。
可采用本领域普通技术人员熟知的缩写(例如,表示小时的“h”或“hr”,表示克的“g”或“gm”,表示毫升的“mL”,表示室温的“rt”,表示纳米的“nm”以及类似缩写)。
在组分、成分、添加剂、尺度、条件、时间和类似方面公开的具体和优选的数值及其范围仅用于说明,它们不排除其他限定数值或限定范围内的其他数值。本发明的设备和方法可包括本文所述的任何数值或数值、具体数值、更具体的数值和优选数值的任何组合,包括明示或暗示的中间值和范围。
在一些实施方式中,本发明提供一种通过表面改性形成临时粘结的方法,所述表面改性在工件基材表面的至少一部分和玻璃载体基材表面的至少一部分之间构建适度或中等粘附,以制造用于从工件基材制备装置改性的基材的层压件。
层压件中的临时粘结情况消除了废弃物,且可通过允许制造商来重复利用载体部件来在薄玻璃片上的装置制造来降低制造成本,如图1示意性地所示。
在一些实施方式中,本发明提供一种制备层压件制品的方法,所述方法包括:在玻璃载体基材以及工件例如玻璃片、玻璃基材或非玻璃基材(例如,柔性玻璃片或硅晶片)的至少一部分之间形成临时粘结。
形成临时粘结可通过下述来实现:例如,处理来实施表面改性,所述表面改性在工件和载体基材之间构建适度粘附。
中等或适度粘附可通过下述来取得:硅原子和氧原子的表面层消耗以及氟原子和碳原子的表面层富集。硅原子的消耗还导致在玻璃工件或载体基材中其它阳离子的相对富集,所述其它阳离子不与硅原子一起消耗。例如,如处理的表面层中二氧化硅中硅原子的消耗导致氧化铝和碱土原子的相对富集。在一些实施方式中,改性的表面可通过例如蚀刻过程来形成。然而,沉积过程或蚀刻过程可消耗玻璃工件表面的二氧化硅、硼和其它玻璃组分,结果可相对地使玻璃工件表面富集碱土和氟反应产物。
取决于蚀刻气体和聚合物形成剂的相对比例,改性的表面的表面能可在例如和玻璃之间调节。在优选的实施方式中,将包含高比例的蚀刻气体的等离子体暴露于聚合物形成剂可构建高度极性的表面,其具有在改性的玻璃表面上的稀少的碳基聚合物覆盖,其中大多数金属和氧的键合已被金属和氟的键合取代。这个高度极性表面呈现高表面能,其易于与玻璃粘结,前提是表面粗糙度较小,例如小于约2nm。临时粘结优选地强到足以耐受平板显示器(FPD)加工,包含例如湿法超声、真空、和热过程,且仍然保持在施加足够的剥离力后是可脱粘的(de-bondable)。脱粘允许崩解层压件,即从因为中间加工在薄玻璃片表面上具有例如装置或结构的加工的薄玻璃片分离玻璃载体基材。如有需要,分离的玻璃载体可重复地在本文所述的方法的多次循环中再次使用。
在一些实施方式中,本文所述的方法使用柔性玻璃片(即,工件)与玻璃载体的适度粘结,所述适度粘结实现后续地从载体除去薄玻璃片,从而提供可再次使用的载体。
在多个方面中,本发明的方法是有优势的,包括例如:
消除柔性玻璃片和玻璃载体基材之间的空气间隙,所述空气间隙在现有方法中披露,从而提供在真空、超声和湿加工中的更大的制造可靠性;
提供柔性、薄的等玻璃片或非玻璃片工件,其具有更平坦的平面加工表面,其可通过减少在层压件之内的高度变化来改善用户在工件和加工(例如,光刻)上的电路或装置建造的获得范围(latitude)。
提供更简单的粘结表面制备和粘结表面加工;
提供更容易的从载体基材脱粘或分离工件;
提供可再次使用的载体基材;和
在工件和载体基材之间提供粘结表面,其比市售的聚合物晶片粘结方法提供更高的热稳定性。
在一些实施方式中,本文所述的柔性基材提供使用例如卷对卷加工来成本更低地制造装置的机会,以及制备更薄、更轻、更柔性和耐久显示器的潜能。但是,卷对卷加工高质量显示器所需的技术、设备和工艺尚没有完全开发。因为面板制造商已重金投资用于加工大玻璃片的成套工具,所以把柔性基材层压到载体基材和通过片材-对-片材加工来制备显示器装置为更薄、更轻和更柔性显示器的有价值提案提供短期的解决方案。已证实在聚合物片材例如聚萘二甲酸乙二醇酯(polyethylene naphthalate)(PEN)上形成显示器,其中装置制造是片材对片材的,且将PEN层压到玻璃载体。PEN的上限温度限制了装置质量和可使用的加工。此外,聚合物基材的高可渗透性导致OLED装置的环境降解,其中通常需要近乎气密性的封装。薄膜包封提供克服这个限制的有希望的潜在的解决方案,但尚未证实包封方法能提供可接受的大规模生产的产率。
按照类似的方式,可使用已经层压到一种或更多种柔性玻璃基材的玻璃载体基材来制造显示装置。预期柔性玻璃基材的低渗透性和改善的耐温性和耐化学性实现具有更高性能和更长寿命的柔性显示器。
如上所述的共同拥有和转让的USSN 61596727提及首先通过范德华力将薄片材例如柔性玻璃片粘结到载体,然后在某些区域增加粘结强度,同时保留在加工薄片材或载体以在其上形成装置之后除去薄片材的能力,所述装置包括例如电子装置或显示器装置,电子装置或显示器装置的组件,OLED材料,光伏(PV)结构,或薄膜晶体管。将薄玻璃的至少一部分粘结到载体基材,从而阻止装置加工流体进入薄片材和载体之间,由此降低了污染下游过程的可能性,即,薄片材和载体之间的粘结密封是气密性的,在一些优选的实施方式中,这种密封包围在制品的外面,由此阻止液体或气体进出密封的制品的任何区域。
转让给Asahi的JP2013184346和WO2013179881提及一种可剥离的玻璃层压体,其具有含第一无机层的支撑基材,和提供有第二无机层的含无机层的玻璃基材,第一无机层和第二无机层中的一种或两种包含下述的至少一种:金属氧化物,金属氮化物,金属氧氮化物,金属碳化物,金属碳氮化物,金属硅化物,或金属氟化物。
在低温多晶硅(LTPS)装置制造工艺中,可使用接近或大于600℃的温度、真空和湿法蚀刻环境。这些条件限制可使用的材料,且对包括载体基材和片材基材的层压件的组件提出了较高的稳定性要求。
在一些实施方式中,本发明提供一种拥有将柔性玻璃片粘结到载体基材来形成层压件,加工层压件,例如在柔性玻璃片的外部未粘结的表面上构建电路或装置,和从载体脱粘柔性玻璃片的方法。所述方法可使用现有的投资基础设施,实现加工薄柔性玻璃例如厚度小于或等于0.3mm厚的玻璃,且在更高加工温度下不污染或损失薄柔性玻璃和载体基材之间的粘结强度,以及在加工结束时所得表面或装置改性的薄柔性玻璃容易地从载体基材脱粘。
在一些实施方式中,本发明提供一种具有可观的操作灵活性的方法,包括例如:清洁和表面准备柔性玻璃片和载体基材以促进粘结;在粘结区域处,在柔性片材和载体基材之间保持足够的粘结强度;保持柔性片材从载体基材的释放能力;和任选地清洁分离的载体基材用于在方法中重复利用。
在一些实施方式中,在玻璃-和-玻璃粘结工艺中,可在层压连接之前清洁工件和载体基材玻璃表面来除去所有外来金属、有机物和颗粒残留物,并留下大多数硅醇封端的接触表面。
如果使用热量和任选地压力首先使玻璃接触表面亲密接触,其中范德华力将它们牵拉在一起,那么表面硅醇基团可缩合来越过相对的玻璃表面之间的界面形成较强的Si-O-Si键,从而将相对的玻璃工件永久地融合在一起,导致在不破碎的情况下不能使相对的工件分离。金属、有机物和颗粒残留物可用来通过遮蔽至少一个玻璃表面和阻止亲密接触来阻止或限制相对玻璃工件的粘结,对于工件基材和载体基材玻璃之间的进一步的适度粘结可能需要所述遮蔽。
高硅醇表面浓度可在相对玻璃工件之间形成不利的高强粘结。每单位面积的粘结数目将通过相对表面上两个硅醇物质反应缩合出水的概率来测定。Zhuravlel报道对于良好水合的二氧化硅,平均羟基数/nm2是4.6-4.9。(参见Zhuravlev,L.T.,无定形二氧化硅的表面化学,Zhuravlev模型(The Surface Chemistry of Amorphous Silika,ZhuravlevModel),《胶体和表面A:物理化学工程方面(Colloids and Surfaces A:PhysiochemicalEngineering Aspects)》,173(2000)1-38)。
在上述提及的共同待审的USSN 61/596727中,在粘结的周界之内形成非粘结区域,且所述的用于形成这种非粘结区域的主要手段是增加表面粗糙度。需要大于2nm Ra的粗糙度来阻止在粘结过程的升高的温度阶段形成相对的玻璃-和-玻璃粘结。在共同待审的USSN 61/736880中,通过弱化载体和薄玻璃之间的范德华力粘结,来形成非粘结区域。
热、真空、溶剂、酸性和超声平板显示器(FPD)加工要求薄柔性玻璃牢固地粘结到载体。
在用于FPD背板制造的大量生产中,使用3种晶体管技术,包括:无定形硅(aSi)底栅TFT;多晶硅(pSi)顶栅TFT;和无定形氧化物(IGZO)底栅TFT。一般方法从清洁玻璃基材开始,通常使用超声或超音搅拌在热的碱性溶液中,然后进行DI水淋洗。
可在多个材料沉积和光刻图案化然后进行材料蚀刻的减法循环中制造装置结构。可通过真空工艺(例如溅射金属、透明导电氧化物和氧化物半导体,在150-450℃的升高的温度下化学气相沉积(CVD)沉积无定形硅、氮化硅和二氧化硅)来沉积金属、介电和半导体材料。其它热加工步骤可包括例如最高达600℃的p-Si结晶,350-450℃的氧化物半导体退火,最高达650℃的掺杂剂退火和约200-350℃的接触退火。可通过下述来图案化层:聚合物光刻胶(resist)的光刻图案化、蚀刻和随后的光刻胶剥落。可使用真空等离子体(干燥)蚀刻和酸性湿法蚀刻工艺。在FPD加工中,通常通过热溶剂和例如与超声或超音搅拌一起,来剥落光刻胶。
粘合剂晶片粘结广泛用于微电机械系统(MEMS)和半导体加工,用于其中加工苛刻程度较低的后端步骤。由布鲁尔科技(Brewer Science)和汉高(Henkel)出售的粘合剂通常是约为5-200微米厚的聚合物粘合剂层。这些层的较大的厚度可潜在地使大量的挥发物、被捕获的溶剂和吸附的物质污染FPD过程。这些材料在高于约250℃时可热分解和脱气。这些材料还可通过作为在后续的过程或加工步骤中脱气的气体、溶剂和酸的槽,在下游步骤中导致污染。
薄玻璃工件和玻璃载体之间极强的共价键可排除载体的完全分离和可再次使用性。
在上述提及的共同待审的USSN6 1/596727中,申请人演示了通过绕着结合到载体的薄玻璃片的周界形成高强共价键的FPD,且结合到载体的薄玻璃片是耐久的,足以耐受FPD加工。但是,载体是不可再次使用的。周界通过具有约2000mJ/m2的粘附力的共价的Si-O-Si键接永久粘结,这在玻璃的断裂强度的量级,其约为2500mJ/m2。不能使用撬或剥离来分离柔性玻璃工件和载体。相反,划割和提取具有表面建造的装置的未粘结的中央,在载体上留下作为残余物的粘结的周界。
虽然无意受限于理论,但已广泛地研究和报道了表面粘附理论(“用于表面能和界面能估算的理论.I.界面张力的推导和应用(A theory for the estimation of surfaceand interfacial energies.I.derivation and application to interfacialtension)”,L.A.格利法科(L.A.Girifalco)和R.J.固德(R.J.Good),J.Phys.Chem.,61,904(1957))。
在共同待审的USSN 61/736887中,已表明薄等离子体含氟聚合物表面处理可使玻璃表面能在和水之间变化。
通过表面改性将范德华力和共价相互作用精确地控制在它们的分数数值来获得所需的粘附能是本发明的一方面。
在一些实施方式中,本发明提供一种制备支撑在玻璃载体基材上的装置改性的基材的方法,所述方法包括下述步骤:
处理玻璃装置基材第一表面的至少一部分,玻璃载体基材第一表面的至少一部分,或其组合,其中处理的玻璃表面具有:
约0.1-约14原子%的硅;
约1-约40原子%的氧;
约3-60原子%的碳;
约5-65原子%的氟;和
约1:1-约1:3的金属和氟(M:F)原子比例;
使处理的玻璃表面接触未处理的或类似-处理的配对的(counterpart)玻璃装置基材或玻璃载体基材,从而形成包含粘结到玻璃载体基材的玻璃装置基材的层压件;
用至少一种装置表面改性处理改性层压件的玻璃装置基材的未粘结第二表面的至少一部分;和
任选地分离具有装置改性的第二表面的玻璃装置基材与玻璃载体基材。
在一些实施方式中,当装置改性的基材是玻璃,例如, (“EXG”)时,处理的表面或界面可优选地具有:
约2-约9原子%的硅;
约7-约14原子%的氧;
约4-10原子%的碳;和
约45-60原子%的氟,如通过XPS所测量。
测量的原子%可根据所选定的表面测量方法而变化,例如,XPS或ESCA可检测表面的最外部的10nm,例如2-6nm。
在一些实施方式中,所述方法还可包括在至少一种装置表面改性处理中,将层压件在约200-约700℃下加热1秒到1200分钟。
在一些实施方式中,处理可包含或包括,例如:使玻璃表面的至少一部分接触氟碳等离子体,所述氟碳等离子体包含聚合试剂或蚀刻剂,或其组合。
在一些实施方式中,聚合试剂可包含或包括例如CHF3,C4F8,C3F6,C3F8,H2,CH4,选自烷烃、烯烃、烷基、芳香族的具有3-12个碳原子且不含氟原子的烃,或其组合中的至少一种,且蚀刻剂包括CF4,C2F6,NF3,SF6,HF,或其组合中的至少一种。
在一些实施方式中,层压件可在玻璃装置基材和玻璃载体基材之间具有含约100-约2,000mJ/m2粘合强度的界面粘结。
在一些实施方式中,处理可例如在玻璃装置基材和玻璃载体基材上实现,或者可只在玻璃装置基材或玻璃载体基材中的一种上实现。
在一些实施方式中,玻璃装置基材可具有例如约10-约500微米的厚度,载体玻璃基材具有例如约200微米-3毫米的厚度,且玻璃装置基材和玻璃载体基材之间的界面粘结层的厚度是例如从约单一原子层到约100纳米。
在一些实施方式中,用至少一种装置表面改性处理的改性选自例如下述的至少一种:蚀刻、涂覆、印刷、电镀、气相沉积、溅射和类似改性处理,或其组合。
在一些实施方式中,分离可为例如下述中的至少一种:
从玻璃载体基材剥离装置表面改性的玻璃装置基材,和/或从玻璃装置基材剥离玻璃载体基材;以及
使玻璃装置基材或玻璃载体基材中的至少一种接触抽吸装置、夹具装置、刀边缘或其组合。
在一些实施方式中,所述方法还可包括例如清洁分离的玻璃载体基材,用于在所述方法中重复地再次使用。
在一些实施方式中,玻璃载体基材和/或玻璃装置基材可为例如柔性的、刚性的,或者具有中等柔性或刚性。
在一些实施方式中,本发明提供层压件玻璃制品,其包含:
粘结到玻璃载体基材的玻璃装置基材,所述玻璃载体基材具有已用选自下述的等离子体处理的至少一个粘结表面:
含氟聚合物;
氟化蚀刻剂的反应产物;
或其组合,
其中玻璃装置基材和载体玻璃基材之间的界面粘结强度是约100-约1,000mJ/m2,玻璃装置基材和玻璃载体之间的界面具有约1-约14原子%的硅含量;约5-60原子%的氟含量,且玻璃装置基材和玻璃载体基材之间的界面具有约1:1到1:3的金属和氟(M:F)原子比例。
在一些实施方式中,与玻璃载体基材的面部接触面积相比,玻璃装置基材或装置改性的基材具有更小、更大或相同尺寸的面部接触面积。
在一些实施方式中,制品还可包含,例如具有至少一个装置改性的表面区域的层压件制品中的玻璃装置基材的未粘结第二表面。
在一些实施方式中,玻璃装置基材可具有约20-约500微米的厚度,载体玻璃基材具有约200微米-约3毫米的厚度,且玻璃装置基材和玻璃载体基材之间的界面粘结层的厚度可为例如约单一原子层到约100纳米。
在一些实施方式中,本发明提供一种制备包含装置基材的层压件制品的方法,所述装置基材具有支撑在玻璃载体基材上的装置改性的半导体基材,所述方法包括:
处理玻璃载体基材的第一表面的至少一部分,其中处理的第一表面具有:
约0.1-约14原子%的硅;
约1-约40原子%的氧;
约3-60原子%的碳;
约5-65原子%的氟;和
约1:1-约1:3的金属和氟(M:F)原子比例;
以及
使处理的第一表面接触装置半导体基材来形成层压件,所述层压件包含粘结到玻璃载体基材的处理的第一表面的装置半导体基材;
用至少一种装置表面改性处理来改性层压件的装置半导体基材的未粘结第二表面的至少一部分;和
任选地分离具有装置改性的第二表面的装置半导体基材与玻璃载体基材。
在一些实施方式中,玻璃装置基材可具有约20-约500微米的厚度,载体玻璃基材具有约200微米-约3毫米的厚度,且玻璃装置基材和玻璃载体基材之间的界面粘结层的厚度可为例如约单一原子层到约100纳米。
在一些实施方式中,所述方法还可包括例如在至少一种装置表面改性处理中,将层压件在约200-约700℃下加热1秒到1200分钟。
在实施方式中,处理可包括:使玻璃表面接触氟碳等离子体,所述等离子体包含聚合试剂、蚀刻剂或其组合;和
所述装置基材选自硅(Si),砷化镓(GaAs),或组合基材。
在一些实施方式中,聚合试剂可为例如CHF3,C4F8,C3F6,C3F8,H2,CH4,选自烃基部分如烷烃、烯烃、烷基、芳香族的具有3-12个碳原子且不含氟原子的烃,或其组合中的至少一种,且蚀刻剂包括CF4,C2F6,NF3,SF6,HF,或其组合中的至少一种。
在一些实施方式中,层压件可在装置半导体基材和玻璃载体基材之间具有含约100-约1,000mJ/m2粘合强度的界面粘结。
在一些实施方式中,装置半导体基材具有约20-约1000微米的厚度,载体玻璃基材具有约200微米-3毫米的厚度,且装置半导体基材和玻璃载体基材之间的界面粘结层的厚度是约单一原子层到约100纳米。
在一些实施方式中,用至少一种装置表面改性处理的改性可为例如下述的至少一种:蚀刻、涂覆、印刷、电镀、气相沉积、溅射,或其组合。
在一些实施方式中,制备装置半导体基材的方法还可包括例如清洁分离的玻璃载体基材,用于在所述方法中重复地再次使用。
在一些实施方式中,玻璃载体基材可为例如柔性的、刚性的,或者可具有中等柔性或刚性。
在一些实施方式中,装置基材可为例如柔性的、刚性的,或者具有中等柔性或刚性。
在一些实施方式中,所述层压件玻璃制品可包含例如:
粘结到玻璃载体基材的装置半导体基材,所述粘结包含玻璃载体,所述玻璃载体具有通过来自下述至少一种的等离子体处理改性的表面:含氟聚合物;氟化蚀刻剂与玻璃基材的反应产物;或其组合,
其中装置半导体基材和载体玻璃基材之间的界面粘结强度是约100-约1,000mJ/m2,装置半导体基材和玻璃载体之间的界面具有约0.1-约14原子%的硅含量;约5-60原子%的氟含量,且柔性玻璃片制品和玻璃载体之间的界面具有约1:1到1:3的金属和氟(M:F)原子比例。
在一些实施方式中,与玻璃载体基材的面部接触面积相比,装置半导体基材具有更小、更大或相同尺寸的面部接触面积。
在一些实施方式中,所述制品还可包含具有至少一个装置改性的表面的层压件制品中的装置半导体基材的未粘结第二表面。
在一些实施方式中,装置半导体基材具有约20-约1000微米的厚度,载体玻璃基材具有约200微米-约3毫米的厚度,且装置半导体基材和玻璃载体基材之间的界面粘结层的厚度可为例如约单一原子层到约100纳米。
参考附图,图1显示本文所述的可再次使用的载体工艺(100)的示意图。可再次使用的工艺(100)可包含例如,载体清洁或预调整步骤(110),其中对载体基材(105)基材例如新的(105)或再次使用的(140)基材进行清洁(110),从而制备清洁的或预调整的载体(105或140)。载体(105)可为例如,由玻璃、陶瓷等材料或者材料的组合制成的片材或卷材供应物。可对所得载体(105或140)进行本文所述的粘合剂表面调整或粘合剂表面处理(115),从而例如使用延长的处理或更优选地的使用更短的处理来沉积粘合剂层,在处理的载体(106)上形成化学改变的界面(117)。粘合剂处理可为例如加法等离子体含氟聚合物处理,减法蚀刻剂处理,或其组合,如本文所述。接下来,在粘结或组合(120)步骤中,具有粘合剂调整表面处理(117)的载体(106)接收工件(122),例如柔性玻璃片,从而提供层压件。粘合剂调整或表面处理可包括在存在或不存在工件(122)的情况下,加热调整的表面(117)和/或载体(106)。所述层压件包含粘结的或组合的工件(122)和载体(106),可进行例如一个或多个装置表面改性步骤(125),这改性了柔性玻璃片工件(122)的外部加工表面,即在不面向或不直接接触载体(106)或不直接接触界面粘合剂层(117)的工件表面上,从而提供具有外部表面改性的层压件,其包含组合的工件(122)和载体(106)且在工件(122)上具有装置改性的加工表面(127)。装置或加工表面改性可包含显著改变加工表面至少一部分的任意一个或多个可兼容的步骤,例如加法加工、减法加工,或其组合,例如涂覆、沉积、受控的形貌改变、划割、切割、钻孔、机械加工、电路构建、装置构建、平板显示器(FPD)制造和类似表面改性,或其组合。具有改性的加工表面(127)的包含组合的工件(122)和载体(106)的层压件可通过任何合适的方式、方法或设备来分离或脱粘(130),例如通过机械力或接触,例如使用刀边缘或刀片,抽吸杯或夹具,空气刀,和类似工具或技术,从而从载体(106)分离工件(135),例如装置表面改性的玻璃片。如上所述,可清洁使用过的载体(140),来制备再次使用的载体(105),且可连续地重复如上所述的工艺。
图2A和2B显示用于CF4和CHF3等离子体聚合物处理的玻璃表面的接触角(图2A)和表面能(图2B)的变化。图2A中的接触角测量使用不同溶剂(210,水;215,二碘甲烷;和220,十六烷)。图2B中的表面能测量使用不同的能量度量标准(230,总能量;240,极性能量;和250,色散能量;其中总能量(230)是极性能量和色散能量之和,即,“240+250”)。通过拟合固液界面能的Wu模型(参见S.Wu,J.Polym.Sci C 34,19(1971))与3种测试液体:水、二碘甲烷和十六烷的3种接触角,来测量表面能和极性分量和色散分量。
图3A和3B显示用于在等离子体中具有不同CF4和CHF3摩尔分数的CF4和CHF3等离子体含氟聚合物处理的Eagle玻璃表面、如通过XPS所测量的氟(310)、碳(320)和氧(330)的表面浓度(图3A),以及因金属氟化物导致的氟原子浓度(340)和归因于含氟聚合物的氟原子浓度(350)(图3B)。在CF4和CHF3气体混合物中,氟的表面浓度变化较小(例如,49原子%到52原子%),但碳浓度随着增加的CF4下降。高氟浓度(49原子%-52原子%)和低氧(7.5原子%-12原子%)与形成金属氟化物表面的CHF3和CF4等离子体聚合物表面处理一致。
图4显示如通过XPS所测量的元素表面组成的变化作为不同等离子体处理时间的函数。在每一种情况下,气体流量是在50毫托(milli-torr)压力下的50标准立方厘米/分钟(sccm)。等离子体处理是纯CHF3或纯CF4(400,410,和420分别指6秒,60秒,和600秒CHF3等离子体处理,且430,440,和450分别指6秒,60秒,和600秒CF4等离子体处理时间)。还提供未处理的平坦玻璃的表面组成用于比较(460;阴性对照)。数据表明虽然对于6秒等离子体处理,碳的表面浓度小于10原子%,但氟的表面浓度超过40原子%。
图4还显示对于CF4等离子体聚合物表面处理,在6秒之后,碳浓度或氟浓度增加较少。硅浓度、氧浓度和硼浓度随着CF4等离子体暴露时间增加而下降,而Al,Mg,Ca,和Sr浓度随着CF4等离子体暴露时间增加而增加。这与蚀刻玻璃表面和消耗表面硅,氧,和硼的CF4等离子体处理一致。
用于粘结到SC1清洁的薄玻璃的CF4和CHF3等离子体聚合物处理的Eagle载体的粘结能变化相对于退火温度和退火时间的变化参见图5A,以及用于SC1处理的Eagle载体与SC1处理的薄玻璃的粘结能变化相对于退火温度和退火时间的变化参见图5B。
图5A显示用于通过如图2所述改变气体比例来制备的具有3种不同表面能的RIE模式CF4和CHF3处理的Eagle载体的粘附或粘结能变化相对于退火温度的变化:在40mJ/m2表面能(510)下,粘结能太弱且不足以耐受低温多晶(LTPS)加工。在55mJ/m2表面能(511)下,粘结能足以耐受LPTS加工;和在72mJ/m2表面能(512)下,仍然足以耐受LTPS加工但更难以从载体剥离掉柔性玻璃片。粘结能(SE)或粘附极限(515)是约2500mJ/m2,其中尝试的分离导致玻璃破碎失效。
图5B显示在100℃(520),150℃(521),200℃(522),250℃(523)和300℃(524)下,SC1处理的Eagle载体与SC1处理的薄玻璃的粘结能(SE)或层压件中柔性玻璃片与载体的粘附作为退火时间的函数。观察到粘结能随着增加的温度快速增加。在300℃(524)下,薄玻璃被永久地粘结,且在大于或等于10分钟的退火时间时,不能在不破碎的情况下除去。
使用楔测试方法(参见Tong,Q.Y.等,“半导体晶片粘结(Semiconductor WaferBonding)”,Annu Rev Mater Sci,卷号:28,期号:1,页码:215-241,1998)来实现粘结能(BE)测量。表面处理的载体和清洁的柔性玻璃之间的粘结能或粘附可通过插入薄的刀片并测量裂纹长度来测定。粘结能γ通过下述公式与载体杨氏模量E1,载体厚度tw1,柔性玻璃模量E2,柔性玻璃厚度tw2,刀片厚度tb,和裂纹长度L相关联:
从图5A中的实施例可知,通过任选地与聚合物沉积组合的非均相蚀刻玻璃表面来形成富含碱土氟化物的消耗硅和氧的以及富集氟和碳的表面可容易地与其它高能表面例如玻璃特别是薄玻璃形成临时可除去的或可释放的粘结。
在一些实施方式中,柔性玻璃片可具有约50-约300微米的厚度,且载体玻璃制品可具有约200微米-3毫米的厚度。出乎意料地,在低于约600℃的温度下,消耗硅和氧且富集氟和碳的表面不永久地粘结到玻璃表面。
本文所述的表面处理可用于在半导体和/或插入器加工中处理薄晶片。本发明的一些例子总体涉及粘结到更薄的基材并从更薄的基材除去的载体,从而实现加工更薄的基材。具体来说,本发明的一些实施例涉及用于将晶片粘结到载体用于半导体和/或插入器加工且随后在这种加工之后从载体脱粘晶片的方法和设备。
通过在半导体晶片之上或之内形成有源(active)装置来制造半导体装置。半导体晶片可包括例如玻璃、硅、多晶硅、单晶硅、氧化硅、氧化铝、这些的组合,和/或类似物质。通常在单一晶片上制造数以百计或千计的集成电路(IC)或模头。通常,在晶片上依次沉积和图案化多个绝缘、导电和半导体材料层来形成IC。最上面形成的材料层之一通常包括用于粘结垫的层,其形成与下面的有源区域和晶片之内的组件的电气连接。
在形成IC之后,可对晶片进行背侧加工。背侧加工可包括薄化晶片来制备用于封装的晶片。例如,在一些技术中,背侧加工可包括形成与穿过晶片形成的穿过基材通孔的电气连接,用于提供背侧接触。在该例子中,通过例如研磨的过程来薄化晶片的背侧,从而使晶片背侧上的导电通孔暴露。这种薄化晶片的过程可损坏晶片边缘,且可使晶片在晶片的后续传输和加工过程中甚至更脆弱和易于损坏。
为了有助于减缓这些类型的损坏,可将载体连接到晶片。之前通常使用粘合剂来连接这种载体,且用于允许通过加工载体来加工晶片。此外,增加的载体强度支撑晶片,从而通过运输和/或加工造成的应力不损坏晶片。一种典型的载体可为使用粘合剂连接到晶片的玻璃基材。但是,已发现在加工过程中晶片可翘曲,且典型的载体不提供阻止翘曲的足够的支撑。作为晶片翘曲的结果,加工可失效和/或导致警报情况。IC制造的第一部分被称作前端制程(front-end-of-line)(FEOL)加工,其中在半导体中图案化有源晶体管、电阻器、和RC电路以及互连晶体管的局部布线。FEOL加工还可包括:井区形成;栅模块形成;源漏模块形成;DRIE(干燥反应性离子蚀刻);PVD,Ti或Cu,或其它;CVD TiN或其它;PECVD SiO2,或其它;电解Cu(或其它)电镀;Cu(或其它)退火;计量(X-射线或其它);Cu(或其它)CMP(化学机械抛光);Cu(H2O2+H2SO4)+Ti(DHF)湿法蚀刻;溅射粘附层(Ti或其它);溅射种子层(Cu或其它);光刻(光刻胶,曝光,剥落,蚀刻Cu)。因为与FEOL加工相关的一些高温(例如,≥500℃,在一些情况下,500℃-650℃,和在一些情况下最高达700℃)工艺,许多粘合剂基溶液不能使用,因为它们可不能保持粘结和/或它们可脱气污染物。许多粘合剂甚至在低得多的温度例如约300℃下脱气。其中在晶片上使用布线互连越过单个芯片和到达离开芯片位置的连接更长距离的粗布线的IC制造线的部分称作后端制程(back-end-of-line)(BEOL)布线。BEOL加工还可包括下述中的一种或多种:形成接触件、绝缘层、互连布线、RF屏蔽、钝化、ESD保护、粘结垫和用于芯片-到-封装解决方案的其它粘结位点。虽然BEOL加工温度通常低于FEOL加工温度,但介电沉积通常在350-450℃下进行,且大多数粘合剂在这些更低温度下脱气。此外,大多数临时粘合剂具有与晶片和载体材料不匹配的高CTE,且难以在使晶片上的精巧微观结构保持完整的同时除去。此外,粘合剂和晶片和/或载体材料之间的CTE不匹配可导致不利的晶片翘曲。还此外,当粘结到载体时粘合剂可进入插入器的通孔,并不利地阻止通孔的至少一部分的金属化。
因此,本领域需要耐受加工条件,特别是FEOL加工的高温需求的改进的载体-基材溶液。此外,可耐受FEOL的苛刻且在之后提供易于脱粘的载体-基材溶液将实现从开始就使用更薄的初始基材,由此减缓后端薄化的需求。即,典型的现有半导体工具设计用于加工在大于或等于500微米量级的晶片。但是,使用支撑晶片的载体,组合厚度只需在工具加工厚度范围之内。因此,例如,具有400微米厚度的载体可用于支撑100微米的晶片,且在现有半导体工具中加工该组合。使用本发明,因为甚至在高温加工之后实现方便分离的受控粘结,可将100微米晶片用作基材,由此避免废弃物和潜在的在晶片上形成装置之后的薄化的产率下降。耐受FEOL加工的能力将允许载体-基材溶液从具有≤200微米厚度的晶片开始,例如,200,190,180,170,160,150,140,130,120,110,100,90,80,70,60,50,40,30,或20微米。具有这种厚度(≤200微米,例如)的晶片可连接到载体,加工,且随后从载体除去。例如,当将多晶硅或单晶硅晶片用作基材时,这可为主要优势,因为这可避免除去和废弃非常昂贵的材料;可简单地在其刚形成的厚度下加工该材料。
此外,3D IC技术已被半导体工业广泛接受作为主要技术趋势来改善半导体性能,且无需任何更昂贵的先进光刻溶液或无需更大的芯片尺寸来容纳更多的电路。用于3D IC的这种技术依赖于薄化的硅IC,且还依赖于插入器来以平坦的构造(2.5D IC)在单一插入器上直接地在IC之间重新分布电气信号以及堆叠薄化的IC(3D IC)。
这些插入器可由多晶硅、单晶硅或玻璃制成,并通过将路径长度从毫米降低到微米来实现通讯速度的显著改善。例如,这种新技术的领先应用是场可编程的栅阵列(FPGA),由Xilinx(圣何赛(San Jose),美国加利福尼亚州)制造的高端特种功能。
当下插入器特征在50um-100um厚度、200mm OD-300mm OD尺寸,且长期来看趋于更大尺寸的面板。在金属化之后通过其加工电气信号的通孔是5um OD-150um OD,且密度通常是1-20个通孔/平方毫米,这取决于设计和应用。插入器定义上就是薄的,因为厚的插入器导致不可接受的形式因素(高度)和性能(热量)障碍。薄通常认为是约100微米,但通常不超过200微米。另一方面,用于半导体的国际技术路线图(ITRS)允许小到50微米的厚度。同样地,这些厚度的基材通常不能在现有工具中加工。因此,本发明设想优选地使用载体,甚至在高温加工过程中该载体可保持与晶片连接,且在这种加工之后该载体又允许容易地释放晶片。
虽然插入器技术较新,但主要的插入器基材是单晶硅,且玻璃新兴作为替代。玻璃的吸引力是性能和成本,但目前不存在解决方案来实现这些用于玻璃的优势。本发明的概念允许在各种条件下(包括FEOL和BEOL)加工各种作为晶片的薄基材(包括硅和玻璃),从而提供各种装置(包括IC、RC电路和插入器)。
本发明的粘结解决方案实现通过所有现有所需的加工步骤高产率和低加工时间地来加工薄形式的具有最终厚度的玻璃,以及薄化的硅。在通过金属化、分布层设置加工薄晶片之后,其可进行脱粘,使得薄化的和加工的插入器和/或IC保持完整。此外,使用具有已经薄化(在≤200微米量级)硅晶片的载体允许于在其上加工任何装置之前筛选晶片。因此,可降低成本和/或提高产率。
鉴于上述,本领域需要薄片材(sheet)–载体制品,其可耐受FEOL加工的苛刻,包括高温加工(不发生脱气,脱气与使用该制品的半导体或显示器制备过程是不兼容的),但又允许从载体去除整个区域的薄片材(所有区域一次性去除,或者分成几部分去除)。本文描述了控制载体和薄片材之间的粘附以形成临时粘结的表面处理,该临时粘结强到足以耐受FEOL加工(包括高温加工),但又弱到甚至在高温度加工之后,允许解除片材与载体的粘结。具体来说,本发明提供表面处理,其可在薄片材和/或载体上提供该表面处理,从而同时控制薄片材和载体之间的室温范德华力和/或氢键合和高温共价键合。更具体来说,可控制室温粘结,从而在真空加工、湿加工和/或超声清洁加工过程中,足以将薄片材和载体固定在一起。且同时,可控制高温共价粘结,从而阻止高温加工过程中薄片材和载体之间的永久粘结,以及保持足够的粘结来阻止在高温加工过程中发生脱层。
使用形成临时粘结条件的表面处理的另一用处是提供使用在载体上的薄片材,从而在需要≥400℃(例如≥450℃,≥500℃,≥550℃,≥600℃)温度的工艺中加工薄片材,例如在FEOL加工中。即,薄片材可为晶片,所述晶片在无须后续地薄化它的厚度下加工。如本文所述的表面处理可用于提供在这种温度条件下再次使用载体。具体来说,这些表面处理可用于在薄片材和载体之间形成临时粘结,由此在加工之后,可将整个薄片材从载体分离。薄片材可一次性分离,或可分几部分分离,例如当首先去除在薄片材的部分上制备的装置和然后去除任何其余部分来清洁载体用于再次利用时。在通过作为整体除去薄片材或者通过除去薄片材的切割的部分且所述薄片材的切割的部分之和等于整体薄片材来从载体除去整体薄片材的情况下,可通过简单地在载体上放置另一薄片材来再次使用载体。或者,可清洁载体,并通过重新形成表面处理,准备用于再次携带薄片材。因为表面处理提供薄片材和载体之间的临时粘结,所以它们可用于其中温度是≥600℃的加工。当然,尽管这些表面处理可在温度≥600℃的加工过程中控制粘结表面能,但它们也可用于制备耐受较低温度加工的薄片材和载体组合,例如≥400℃的温度(例如≥450℃,≥500℃,≥550℃),且可用于这种低温应用中来控制粘结,例如在BEOL加工中。薄片材可为多晶硅或单晶硅晶片、硅晶片、玻璃、陶瓷、玻璃-陶瓷、石英、蓝宝石,具有≤200微米的厚度,且在FEOL加工中可在例如≥500℃的温度下加工来在其上形成RC电路、ICs或其它电子装置。在FEOL加工之后,可在不损坏电子装置的情况下,容易地从载体除去晶片。但是,在除去之前,晶片可进行进一步的低温加工,例如在BEOL加工中。
提供临时粘结的表面处理的第二个应用是制造插入器。在这种情况下,薄片材是插入器,其可为由任何合适材料制成的晶片,包括例如,硅,多晶硅,单晶硅,玻璃,陶瓷,玻璃-陶瓷,石英,蓝宝石,且例如其可具有≤200微米的厚度。
现在将结合图6-8,来描述插入器及其制造的示例。
参考图6,薄片材20可通过受控的粘结区域40粘结到载体10,即,其中在薄片材20和载体10之间形成临时粘结的区域。
在该实施方式中,载体10可为玻璃基材或具有与玻璃相似表面能的另一种合适材料,例如,硅,多晶硅,单晶硅,陶瓷,玻璃-陶瓷,蓝宝石,或石英。使用玻璃基材的优势在于可以相对低的成本获得具有极小厚度变化的平坦片材,避免了对昂贵载体基材的需求。此外,使用玻璃时可以成本有效的方式来获得高质量。即,可非常廉价地制备非常均匀厚度的玻璃基材,并用作载体。但是,使用本发明的表面处理时,不像其中晶片将薄化到最终厚度的情况下那样,载体无需是具有低总厚度变化的高精确载体。即,当对载体上的晶片进行薄化时,载体必须对总厚度变化具有非常严格的控制,因为载体中的任何变化将在薄化时在薄化的晶片中出现。使用本发明的表面处理时,其允许当晶片已经处于最终厚度时来在晶片上形成装置,所以载体的总厚度变化更不重要得多。
在该实施方式中,薄片材20用来形成插入器56。片材可为硅,包含例如多晶硅或单晶硅晶片,石英,蓝宝石,陶瓷,或玻璃。片材20可具有≤200微米的厚度。插入器56分别具有周界52和通孔的阵列50,其中阵列50具有周界57。虽然显示了10个插入器56,但可在薄片材20上设置任意合适数目的插入器,包括1个。为了便于显示,每一插入器56显示为只具有一个通孔阵列50,但不必如此;相反任何插入器56可具有多于一个阵列50。此外,虽然每一插入器显示为具有相同数目的阵列50,但不必如此;任意数目(包括零个)的插入器可具有相同数目的阵列50。此外,虽然阵列50通常具有相同数目和图案的通孔,但不必如此。为了便于显示,只在插入器56之一的一个阵列50上显示通孔60,但不必如此,即,其余插入器56中的任意一个或多个可具有一个或多个通孔60的阵列50。
现在参考图7,其是沿着图6中的线7-7获得的横截面视图。通孔60可包含透过通孔或盲通孔,即,在片材20厚度之内结束的通孔。通孔60具有直径62,并相隔节距64。尽管将直径62显示为相同,但不必如此,即在插入器56的一个阵列50或不同阵列50中,可存在不同直径的通孔。例如,直径62可为5微米到150微米。类似地,虽然通孔62相隔相同节距64,但不必如此,即在一个薄片材20上的一个插入器56或不同插入器56上的一个阵列50,或不同阵列50中可存在不同节距。例如,节距可使得1-20个通孔/平方毫米,且将取决于插入器的设计和应用。此外,材料61可存在于任意一个或多个通孔60中。材料61可为导电材料,电绝缘材料,或其组合。例如,可在通孔周界上形成导电材料,即在其外部直径62处,且可使用不同导电材料或绝缘材料可来填充通孔的剩余部分。
现在参考图8,其是类似于图7的视图,但具有设置在插入器56上并连接到通孔60的装置/结构。如图8所示,装置66可设置在多个通孔60之上并与多个通孔60连接。装置66可包含集成电路;MEMS;微传感器;功率半导体;发光二极管;光子回路;CPU;SRAM;DRAM,eDRAM;ROM,EEPROM;闪存;插入器;嵌入钝化装置;和在硅、硅-锗、砷化镓和氮化镓上制造的或者由硅、硅-锗、砷化镓和氮化镓制造的微观装置。尽管只显示了一个装置66,但在一个插入器56上可存在任意合适数目的装置66,包含装置56的阵列。或者,结构68可只设置在一个通孔60上并只与一个通孔60连接。结构68可包含:焊接凸起;金属杆;金属柱;互连路线;互连线路;绝缘氧化层;以及从选自下组的材料形成的结构:硅、多晶硅、二氧化硅、(氧)氮化硅、金属(例如,Cu、Al、W)、低k电介质、聚合物电介质、金属氮化物和金属硅化物。尽管只显示了一个结构68,但在一个插入器56上可存在任意合适数目的结构68,包含结构56的阵列。此外,可在装置66上设置一个或多个结构68。
在受控粘结区域40,载体10和薄片材20彼此粘结,从而在重叠的整个区域中,载体10和薄片材20是连接的,但可为彼此分离的,甚至在例如在≥400℃,例如≥450℃,≥500℃,≥550℃,≥600℃,和最高达约650℃,或在一些情况下达700℃的温度下的高温加工之后。
本文所述的表面处理形成界面粘结层30,从而提供在载体10和薄片材20之间的临时粘结区域40。具体来说,这些表面处理可在阵列50的周界52之内形成,要么在载体10上,要么在薄片材20上。因此,当在装置加工过程中在高温下加工制品2时,可在由周界52围住的区域之内提供在载体10和薄片材20之间的临时粘结,由此分离力可分离(但不毁灭性损坏薄片材或载体)在该区域中的薄片材和载体,但薄片材和载体在加工包括超声加工时不发生脱层。此外,因为界面粘结层30的非常小的厚度,即小于100纳米,小于40纳米,小于10纳米,和在一些情况下约2纳米,所以不存在因为晶片和表面处理之间的CTE不匹配而造成的对晶片的影响(而在较厚的粘合剂层即在40-60微米或更大的量级的情况下时,存在影响)。
然后,在提取具有周界52的插入器56(各自具有通孔60的阵列50)时,在加工和在沿着周界52分离薄片材之后,在周界52之内的薄片材20的部分可简单地与载体10分离。或者,薄片材20(或者薄片材20和载体10)可为沿着线5进行切割,由此可从载体10或者在载体与薄片材20一起切割的情况下从载体10的部分除去大于插入器56的周界52的薄片材20部分。因为表面处理提供薄片材和载体的临时粘结,它们可用于其中温度≥600℃的过程。当然,虽然这些表面处理可在温度≥600℃下的加工中控制粘结表面能,但它们也可用于制备将耐受更低温度,例如≥400℃(例如≥450℃,≥500℃,≥550℃)下加工的薄片材和载体组合,并可用于这种更低温度应用。
在一些实施方式中,片材20包含硅,石英,蓝宝石,陶瓷,或玻璃。
在一些实施方式中,片材20的厚度是≤200微米。
在一些实施方式中,片材20还包含在其中的至少一个通孔。在一些实施方式中,所述至少一个通孔具有≤150微米的直径。在一些实施方式中,至少一个通孔可在其中包含导电材料。
在一些实施方式中,片材20包含与临时地粘结到载体10表面相反的装置表面,所述装置表面包含选自下组的装置的阵列:集成电路;MEMS;CPU;微传感器;功率半导体;发光二极管;光子回路;插入器;嵌入钝化装置;和在硅、硅-锗、砷化镓和氮化镓上制造的或者由硅、硅-锗、砷化镓和氮化镓制造的微观装置。
在一些实施方式中,片材20包含与临时地粘结到载体10表面相反的装置表面,所述装置表面包含选自下组的至少一种结构:焊接凸起;金属杆;金属柱;互连路线;互连线路;绝缘氧化层;以及从选自下组的材料形成的结构:硅、多晶硅、二氧化硅、(氧)氮化硅、金属、低k电介质、聚合物电介质、金属氮化物和金属硅化物。
在一些实施方式中,界面粘结层30是0.1-100nm厚。
在一些实施方式中,在≥100平方厘米的区域上,载体和片材是临时地粘结的。
在一些实施方式中,对临时地粘结在一起的片材20和载体10进行FEOL加工。在一些实施方式中,FEOL加工包含500℃-700℃的加工-腔室温度。在一些实施方式中,FEOL加工包括下述的至少一种:DRIE(干燥反应性离子蚀刻);PVD;CVD TiN;PECVD SiO2;电解Cu电镀;Cu退火;计量;Cu CMP;Cu(H2O2+H2SO4)+Ti(DHF)湿法蚀刻;溅射粘附层;溅射种子层;光刻(光刻胶,曝光,剥落,蚀刻Cu)。
实施例
下面的实施例表明根据如上所述的一般步骤来制备、使用和分析本文所述的层压件玻璃产品。下面的实施例还表明控制所得在层压件制品中的组件块之间的界面粘结强度的能力。
实施例1
载体清洁 可在用于本文所述的过程之前,和/或在用于本发明的过程在脱粘或分离玻璃工件之后,清洁载体。清洁步骤可包括例如下述中的一种或多种:DI水淋洗,NH4OH:H2O2:H2O混合物,O2等离子体处理,酸淋洗(即,HCl或HCl:H2O2)和类似淋洗,或其组合。清洁步骤主要关注显著最小化清洁表面上的颗粒密度。
实施例2
表面处理 在本文所述的加法表面处理过程中,可改性载体或玻璃工件表面来实现范德华力粘结同时消除或最小化共价连接。在最高达适度加工温度(例如,600℃),处理的表面提供载体和工件之间的粘合稳定性。可使用具有下述加工条件的氟等离子体来实现表面处理:例如,50毫托(mTorr)的真空压力,25sccm CHF3和25sccm CF4的反应物流量和浓度,200W RF功率,和60秒氟等离子体暴露时间或处理时间。或者,可在下述中制备类似的表面:具有CF4作为蚀刻剂和C4F8作为含氟聚合物形成剂的ICP等离子体构造,或具有CF4作为蚀刻剂和CH4作为含氟聚合物形成剂的RIE腔室,或具有CF4作为蚀刻剂和H2作为聚合物形成剂的RIE腔室。
在另一实施例中,待粘结的配对工件可为例如,用于外延法生长的半导体基材或基材,例如硅,砷化镓,或蓝宝石。通过手动粘结或者辊层压,将这些基材粘结到类似于如上所述的薄玻璃基材的经处理的载体玻璃。在刚粘结时和在热加工之后,这些基材材料的粘附都与上述玻璃基材的粘附相似。
实施例3
将薄玻璃工件粘结到载体基材。在示例性粘结步骤中,使薄玻璃工件轻轻地接触已用之前实施例中的任一种处理处理的载体表面。可将例如来自机械来源或类似来源的接触压力施加到薄玻璃工件和载体中一种或两种上的一个或多个点。轻轻施加的压力可诱导粘结波前(wave front)。
在另一示例粘结步骤中,可通过传统机械层压方法来实现粘结,例如通过使用辊层压组件将未处理的或处理的薄玻璃工件施加到经处理的载体。
实施例4
在粘结的薄玻璃工件上制造装置(例如,平板显示器)可使用常规加工技术,在粘结的薄玻璃工件的外部和未粘结的表面上进行装置加工(即,形成、制造、改性等),从而出现装置,电路,或类似结构或未粘结的薄玻璃工件表面的表面改性。装置加工可包含,例如暴露于酸、碱、真空、膜沉积、等离子体蚀刻、高温退火真空和类似加工步骤。
实施例5
脱粘脱粘加工允许现在具有装置加工的外部表面的粘结的薄玻璃工件,即装置改性的玻璃基材机械地和相对容易地从载体基材除去。从载体除去可通过任意合适的装置或方法来实现,例如使用真空吸附抓取角落且在粘结的薄玻璃工件上牵拉来通过例如剥离从载体除去该薄玻璃工件。
实施例6
测定表面组成的XPS方法使用X射线光电子谱(XPS)来研究和测量所有表面的表面组成和物质(speciation)。使用利用AlKα辐射和45°的出射角(take off angle)的市售XPS仪器(PHI)来收集所有XPS数据。使用XPS仪器供应商提供的软件和灵敏度因子来分析图谱。使用下面的轨道来测定表面组成:Si 2p,Al 2p,O 1s,C 1s,Mg 2s,Ca 2s,B 1s,F 1s,和Sr3d。报道的这些元素的浓度是这些元素中每一元素在整个XPS信号探测深度上的平均浓度。
实施例7
表面组成变化随着CHF3(形成含氟聚合物的试剂)和CF4(蚀刻剂)比例的变化表1显示用于未处理的EXG玻璃以及进行不同比例的CF4和CHF3等离子体处理之后EXG玻璃的、从图4获得的选定元素的表面原子比例(Al:Si,Ca:Si,Mg:Si,Sr:Si)。数据清楚地表明相对于未处理的EXG表面,等离子体表面处理导致用于处理的EXG表面的Al:Si,Ca:Si,Mg:Si,和Sr:Si原子比例显著增加,所述结果表明相对于Al,Ca,Mg,和Sr的Si的相对消耗。
表1.与未处理的EXG的表面组成中相同比例比较的从图4获得的选定元素的原子比例。
已知因为源自不同轨道的XPS信号的选择性衰减,存在含碳重叠层影响下面的元素的原子比例。在Ca:Si比例中,因为测量伪差造成的变化较小,且在Al:Si,Mg:Si,和Sr:Si比例中,这些变化是极小的且基本上在检测限以下。
实施例8
测定在玻璃表面上氟化物物质的形成还使用XPS来进行在表面上的氟物质检测。F1s图谱可使用相隔约1.9eV的两个独特的峰进行峰拟合。更低的粘结能峰指派为作为金属氟化物物质存在的氟,同时更高粘结能指派为与含碳层反应的氟。这样,能获得因金属氟化物导致的用XPS检测的在表面上的氟浓度分数以及在含氟聚合物层存在的氟分数。
知道处于金属氟化物形式的氟浓度,以及通过XPS检测的阳离子总浓度时,能计算总氟和阳离子比例。在这种情况下,将总阳离子浓度定义为在实施例7所限定的条件下通过XPS所检测的B,Al,Mg,Sr,Si,和Ca的总浓度。用于纯CHF3和纯CF4等离子体条件的实施例的这种分析的结果参见表2。
表2中的结果表明,对于纯CHF3的实施例,在表面上大多数通过XPS检测的氟浓度处于含氟聚合物的形式,而在纯CF4的实施例中,少量通过XPS检测的氟浓度处于含氟聚合物的形式。
表2.在表面上检测的氟的总浓度,作为金属氟化物存在的总的氟部分,以及用于纯CHF3或纯CF4等离子体组成的氟和玻璃阳离子比例。
已结合各种具体实施方式和技术对本发明进行了描述。但是,应当了解,可以在本发明的范围内做出多种变化和改进。

Claims (16)

1.一种制备具有支撑在玻璃载体基材上的装置改性的基材的装置基材制品的方法,所述方法包括:
处理装置基材第一表面的至少一部分,和/或玻璃载体基材第一表面的至少一部分,其中处理的表面具有:
约0.1-约14原子%的硅;
约1-约40原子%的氧;
约3-60原子%的碳;
约5-65原子%的氟;和
约1:1-约1:3的金属和氟(M:F)原子比例;
使处理的表面接触未处理的或类似-处理的配对的装置基材或玻璃载体基材,从而形成包含粘结到玻璃载体基材的装置基材的层压件;以及
用至少一种装置表面改性处理来改性层压件的装置基材的未粘结第二表面的至少一部分,从而形成装置基材制品。
2.如权利要求1所述的方法,其特征在于,还包括在至少一种装置表面改性处理中,将层压件在约200-约700℃下加热1秒到1200分钟;以及分离具有装置改性的第二表面的装置基材制品与层压件的玻璃载体基材。
3.如权利要求2所述的方法,其特征在于,分离包括下述的至少一种:
从玻璃载体基材剥离装装置基材制品,和/或从装置基材剥离玻璃载体基材;以及
使装置基材或玻璃载体基材中的至少一种接触抽吸装置、夹具装置、刀边缘或其组合。
4.如权利要求2或3所述的方法,其特征在于,还包括清洁分离的玻璃载体基材,用于在所述方法中重复地再次使用。
5.如权利要求1-4中任一项所述的方法,其特征在于,所述处理包括:使玻璃载体基材的第一玻璃表面的至少一部分接触等离子体,所述等离子体包含聚合试剂;蚀刻剂;或其组合。
6.如权利要求5所述的方法,其特征在于:
聚合试剂包括CHF3,C4F8,C3F6,C3F8,H2,CH4,选自烷烃、烯烃、烷基、芳香族的具有3-12个碳原子且不含氟原子的烃,或其组合中的至少一种;以及
所述蚀刻剂包括CF4,C2F6,NF3,SF6,HF,或其组合中的至少一种。
7.如权利要求1-6中任一项所述的方法,其特征在于,当装置基材是玻璃时,所述层压件在装置基材和玻璃载体基材之间具有含约100-约2,000mJ/m2粘合强度的界面粘结。
8.如权利要求1-7中任一项所述的方法,其特征在于,用至少一种装置表面改性处理来改性是下述的至少一种:蚀刻、涂覆、印刷、电镀、气相沉积、溅射,或其组合。
9.如权利要求1-8中任一项所述的方法,其特征在于,装置基材在玻璃中,且具有约10-约500微米的厚度,载体玻璃基材具有约200微米-3毫米的厚度,且玻璃装置基材和玻璃载体基材之间的界面粘结层的厚度是约单一原子层到约100纳米。
10.如权利要求9所述的方法,其特征在于,装置基材在其中包含多个孔。
11.如权利要求1-10中任一项所述的方法,其特征在于,所述装置基材具有支撑在玻璃载体基材上的装置改性的半导体基材。
12.如权利要求11所述的方法,其特征在于,所述装置基材选自硅(Si),砷化镓(GaAs),或其组合。
13.一种层压件玻璃制品,其包括:
粘结到玻璃载体基材的玻璃装置基材,所述玻璃载体基材具有已用选自下述的等离子体处理的至少一个界面粘结表面:
含氟聚合物;
氟化蚀刻剂的反应产物;
或其组合,
其中,玻璃装置基材和载体玻璃基材之间的界面粘结强度是约100-约1,000mJ/m2,玻璃装置基材和玻璃载体之间的界面具有约0.1-约14原子%的硅含量;约5-65原子%的氟含量,以及约1:1到1:3的金属和氟(M:F)原子比例。
14.如权利要求13所述的制品,其特征在于,还包含具有至少一个装置改性的表面区域的层压件制品中的玻璃装置基材的未粘结第二表面。
15.如权利要求13或14所述的制品,其特征在于,玻璃装置基材在其中包含多个孔。
16.如权利要求13-15中任一项所述的制品,其特征在于,玻璃装置基材具有约20-约500微米的厚度,载体玻璃基材具有约200微米-约3毫米的厚度,且玻璃装置基材和玻璃载体基材之间的界面粘结层的厚度是约单一原子层到约100纳米。
CN201580030925.3A 2014-04-09 2015-04-07 装置改性的基材制品及其制备方法 Active CN106457758B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201461977364P 2014-04-09 2014-04-09
US61/977,364 2014-04-09
PCT/US2015/024600 WO2015157202A1 (en) 2014-04-09 2015-04-07 Device modified substrate article and methods for making

Publications (2)

Publication Number Publication Date
CN106457758A true CN106457758A (zh) 2017-02-22
CN106457758B CN106457758B (zh) 2018-11-16

Family

ID=52988479

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201580030925.3A Active CN106457758B (zh) 2014-04-09 2015-04-07 装置改性的基材制品及其制备方法

Country Status (8)

Country Link
US (1) US11192340B2 (zh)
EP (1) EP3129221A1 (zh)
JP (1) JP2017518954A (zh)
KR (1) KR20160145062A (zh)
CN (1) CN106457758B (zh)
SG (1) SG11201608442TA (zh)
TW (1) TW201601211A (zh)
WO (1) WO2015157202A1 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110783172A (zh) * 2019-09-09 2020-02-11 长江存储科技有限责任公司 用于分离堆叠封装结构中多个裸片的混合溶剂和方法
CN110831909A (zh) * 2017-03-21 2020-02-21 康宁股份有限公司 托架设备以及加工托架设备的方法
CN113039469A (zh) * 2018-10-30 2021-06-25 奇跃公司 用于增强和混合现实系统的聚合物目镜组件
CN113710384A (zh) * 2019-04-11 2021-11-26 康宁股份有限公司 抗反射透明疏油表面及其制造方法

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015157202A1 (en) 2014-04-09 2015-10-15 Corning Incorporated Device modified substrate article and methods for making
US10543662B2 (en) 2012-02-08 2020-01-28 Corning Incorporated Device modified substrate article and methods for making
US9340443B2 (en) 2012-12-13 2016-05-17 Corning Incorporated Bulk annealing of glass sheets
TWI617437B (zh) 2012-12-13 2018-03-11 康寧公司 促進控制薄片與載體間接合之處理
US10086584B2 (en) 2012-12-13 2018-10-02 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
US10014177B2 (en) 2012-12-13 2018-07-03 Corning Incorporated Methods for processing electronic devices
US10510576B2 (en) 2013-10-14 2019-12-17 Corning Incorporated Carrier-bonding methods and articles for semiconductor and interposer processing
KR102353030B1 (ko) 2014-01-27 2022-01-19 코닝 인코포레이티드 얇은 시트와 캐리어의 제어된 결합을 위한 물품 및 방법
EP3216050B1 (en) 2014-11-05 2021-09-08 Corning Incorporated Bottom-up electrolytic via plating method
CN107635769B (zh) 2015-05-19 2020-09-15 康宁股份有限公司 使片材与载体粘结的制品和方法
EP3313799B1 (en) 2015-06-26 2022-09-07 Corning Incorporated Methods and articles including a sheet and a carrier
TWI757257B (zh) * 2015-11-19 2022-03-11 美商康寧公司 玻璃物件以及黏合玻璃片與載體之方法
TW201737766A (zh) 2016-01-21 2017-10-16 康寧公司 處理基板的方法
US10410883B2 (en) 2016-06-01 2019-09-10 Corning Incorporated Articles and methods of forming vias in substrates
US10134657B2 (en) * 2016-06-29 2018-11-20 Corning Incorporated Inorganic wafer having through-holes attached to semiconductor wafer
US10794679B2 (en) 2016-06-29 2020-10-06 Corning Incorporated Method and system for measuring geometric parameters of through holes
TW201825623A (zh) 2016-08-30 2018-07-16 美商康寧公司 用於片材接合的矽氧烷電漿聚合物
TWI821867B (zh) 2016-08-31 2023-11-11 美商康寧公司 具以可控制式黏結的薄片之製品及製作其之方法
US10580725B2 (en) 2017-05-25 2020-03-03 Corning Incorporated Articles having vias with geometry attributes and methods for fabricating the same
US11078112B2 (en) 2017-05-25 2021-08-03 Corning Incorporated Silica-containing substrates with vias having an axially variable sidewall taper and methods for forming the same
US11331692B2 (en) 2017-12-15 2022-05-17 Corning Incorporated Methods for treating a substrate and method for making articles comprising bonded sheets
US10917966B2 (en) 2018-01-29 2021-02-09 Corning Incorporated Articles including metallized vias
US11554984B2 (en) 2018-02-22 2023-01-17 Corning Incorporated Alkali-free borosilicate glasses with low post-HF etch roughness
US11152294B2 (en) 2018-04-09 2021-10-19 Corning Incorporated Hermetic metallized via with improved reliability
DE102018214475A1 (de) * 2018-08-27 2020-02-27 Robert Bosch Gmbh ESD-Schutzvorrichtung für ein MEMS-Element
JP2022521578A (ja) 2019-02-21 2022-04-11 コーニング インコーポレイテッド 銅金属化貫通孔を有するガラスまたはガラスセラミック物品およびその製造方法
TW202124324A (zh) * 2019-11-21 2021-07-01 美商康寧公司 回收玻璃及玻璃陶瓷載體基板

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102548758A (zh) * 2011-02-01 2012-07-04 株式会社微龙技术研究所 薄板玻璃基板贴合体及其制造方法
CN103042803A (zh) * 2011-10-12 2013-04-17 旭硝子株式会社 电子装置的制造方法
WO2013119737A2 (en) * 2012-02-08 2013-08-15 Corning Incorporated Processing flexible glass with a carrier

Family Cites Families (374)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1082116A (en) 1964-09-03 1967-09-06 Owens Illinois Inc Organopolysiloxane resins and their production
US3722181A (en) 1970-05-22 1973-03-27 Du Pont Chromatographic packing with chemically bonded organic stationary phases
FR2110495A5 (zh) 1970-10-19 1972-06-02 Michelin & Cie
IE35508B1 (en) 1971-08-11 1976-03-03 Intercontinental Chem Co Ltd Method of forming adhesive bonds between surfaces
US4096315A (en) 1976-12-15 1978-06-20 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Process for producing a well-adhered durable optical coating on an optical plastic substrate
GB1583544A (en) 1977-07-25 1981-01-28 Uop Inc Metal-clad laminates
US4179324A (en) 1977-11-28 1979-12-18 Spire Corporation Process for fabricating thin film and glass sheet laminate
US4397722A (en) 1981-12-31 1983-08-09 International Business Machines Corporation Polymers from aromatic silanes and process for their preparation
US4599243A (en) 1982-12-23 1986-07-08 International Business Machines Corporation Use of plasma polymerized organosilicon films in fabrication of lift-off masks
US4849284A (en) 1987-02-17 1989-07-18 Rogers Corporation Electrical substrate material
US4822466A (en) 1987-06-25 1989-04-18 University Of Houston - University Park Chemically bonded diamond films and method for producing same
US4810326A (en) 1987-08-31 1989-03-07 International Business Machines Corporation Interlaminate adhesion between polymeric materials and electrolytic copper surfaces
US5357726A (en) 1989-02-02 1994-10-25 Chemfab Corporation Composite materials for structural end uses
US5141800A (en) 1989-02-02 1992-08-25 Chemical Fabrics Corporation Method of making laminated PTFE-containing composites and products thereof
US4990462A (en) 1989-04-12 1991-02-05 Advanced Micro Devices, Inc. Method for coplanar integration of semiconductor ic devices
US5073181A (en) 1990-08-09 1991-12-17 Corning Incorporated Method of protecting glass surfaces using submicron refractory particles
CA2065918A1 (en) 1991-04-22 1992-10-23 Bradley W. Reed Liquid membrane modules with minimal effective membrane thickness and methods of making the same
CA2069038C (en) 1991-05-22 1997-08-12 Kiyofumi Sakaguchi Method for preparing semiconductor member
WO1992022604A1 (en) 1991-06-14 1992-12-23 W.L. Gore & Associates, Inc. Surface modified porous expanded polytetrafluoroethylene and process for making
US5462781A (en) 1991-06-14 1995-10-31 W. L. Gore & Associates, Inc. Surface modified porous expanded polytetrafluoroethylene and process for making
US5222494A (en) 1991-07-31 1993-06-29 Cyberonics, Inc. Implantable tissue stimulator output stabilization system
JP3039070B2 (ja) 1991-10-09 2000-05-08 住友電気工業株式会社 弗素樹脂被覆物
FR2690279B1 (fr) 1992-04-15 1997-10-03 Picogiga Sa Composant photovoltauique multispectral.
US5491571A (en) 1993-01-19 1996-02-13 Hughes Aircraft Company Liquid crystal display including electrodes and driver devices integrally formed in monocrystalline semiconductor layer
US5482896A (en) 1993-11-18 1996-01-09 Eastman Kodak Company Light emitting device comprising an organic LED array on an ultra thin substrate and process for forming same
US5616179A (en) 1993-12-21 1997-04-01 Commonwealth Scientific Corporation Process for deposition of diamondlike, electrically conductive and electron-emissive carbon-based films
US5554680A (en) 1994-02-16 1996-09-10 E. I. Du Pont De Nemours And Company Heat-resistant perfluoroelastomer composition
JP3081122B2 (ja) 1994-07-18 2000-08-28 シャープ株式会社 基板搬送用治具及びそれを用いた液晶表示素子の製造方法
US5760100B1 (en) 1994-09-06 2000-11-14 Ciba Vision Corp Extended wear ophthalmic lens
US5413940A (en) 1994-10-11 1995-05-09 Taiwan Semiconductor Manufacturing Company Process of treating SOG layer using end-point detector for outgassing
DE69606942T2 (de) 1995-09-25 2000-10-05 Dow Corning Verwendung von präkeramischen Polymeren als Klebstoffe für Elektronik
US5718967A (en) 1995-10-13 1998-02-17 The Dow Chemical Company Coated plastic substrate
US5661618A (en) 1995-12-11 1997-08-26 International Business Machines Corporation Magnetic recording device having a improved slider
JP3930591B2 (ja) 1995-12-22 2007-06-13 東陶機器株式会社 光触媒性親水性コーティング組成物、親水性被膜の形成方法および被覆物品
US5888591A (en) 1996-05-06 1999-03-30 Massachusetts Institute Of Technology Chemical vapor deposition of fluorocarbon polymer thin films
US5989998A (en) 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
WO2004079826A1 (ja) 1996-10-22 2004-09-16 Mitsutoshi Miyasaka 薄膜トランジスタの製造方法、及び表示装置と電子機器
US5820991A (en) 1997-02-24 1998-10-13 Cabo; Ana M. Fused glass sheets having ceramic paint and metal foil and method of making same
DE19711459A1 (de) 1997-03-19 1998-09-24 Flachglas Automotive Gmbh Verfahren zur Herstellung einer gebogenen Verbundsicherheitsglasscheibe
JP3551702B2 (ja) 1997-05-08 2004-08-11 カシオ計算機株式会社 液晶表示素子とその駆動方法
US6687969B1 (en) 1997-05-16 2004-02-10 Micron Technology, Inc. Methods of fixturing flexible substrates and methods of processing flexible substrates
US5972152A (en) 1997-05-16 1999-10-26 Micron Communications, Inc. Methods of fixturing flexible circuit substrates and a processing carrier, processing a flexible circuit and processing a flexible circuit substrate relative to a processing carrier
JPH1126733A (ja) 1997-07-03 1999-01-29 Seiko Epson Corp 薄膜デバイスの転写方法、薄膜デバイス、薄膜集積回路装置,アクティブマトリクス基板、液晶表示装置および電子機器
WO1999010927A1 (en) 1997-08-29 1999-03-04 Farrens Sharon N In situ plasma wafer bonding method
US5966622A (en) 1997-10-08 1999-10-12 Lucent Technologies Inc. Process for bonding crystalline substrates with different crystal lattices
US20010045351A1 (en) 1997-11-21 2001-11-29 Korea Institute Of Science And Technology Plasma polymerization on surface of material
US6852650B2 (en) 1998-02-05 2005-02-08 Asm Japan K.K. Insulation film on semiconductor substrate and method for forming same
EP2280398A3 (en) 1998-02-23 2011-03-09 Kabushiki Kaisha Toshiba Information storage medium, information playback method and apparatus and information recording method
US6159385A (en) 1998-05-08 2000-12-12 Rockwell Technologies, Llc Process for manufacture of micro electromechanical devices having high electrical isolation
EP1048628A1 (de) 1999-04-30 2000-11-02 Schott Glas Polymerbeschichtete Dünnglasfoliensubstrate
US6379746B1 (en) 1999-02-02 2002-04-30 Corning Incorporated Method for temporarily protecting glass articles
JP3202718B2 (ja) 1999-02-23 2001-08-27 鹿児島日本電気株式会社 表示装置製造用治具及びそれを用いた表示装置の製造方法
US6387736B1 (en) 1999-04-26 2002-05-14 Agilent Technologies, Inc. Method and structure for bonding layers in a semiconductor device
US6338901B1 (en) 1999-05-03 2002-01-15 Guardian Industries Corporation Hydrophobic coating including DLC on substrate
US6602606B1 (en) 1999-05-18 2003-08-05 Nippon Sheet Glass Co., Ltd. Glass sheet with conductive film, method of manufacturing the same, and photoelectric conversion device using the same
US8853696B1 (en) 1999-06-04 2014-10-07 Semiconductor Energy Laboratory Co., Ltd. Electro-optical device and electronic device
US6824879B2 (en) 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
SG93210A1 (en) 1999-06-29 2002-12-17 Univ Singapore Method for lamination of fluoropolymer to metal and printed circuit board (pcb) substrate
TW544727B (en) 1999-08-13 2003-08-01 Semiconductor Energy Lab Method of manufacturing a semiconductor device
US6674140B2 (en) 2000-02-01 2004-01-06 Analog Devices, Inc. Process for wafer level treatment to reduce stiction and passivate micromachined surfaces and compounds used therefor
US6902987B1 (en) * 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
US6528145B1 (en) 2000-06-29 2003-03-04 International Business Machines Corporation Polymer and ceramic composite electronic substrates
DE10034737C2 (de) 2000-07-17 2002-07-11 Fraunhofer Ges Forschung Verfahren zur Herstellung einer permanenten Entformungsschicht durch Plasmapolymerisation auf der Oberfläche eines Formteilwerkzeugs, ein nach dem Verfahren herstellbares Formteilwerkzeug und dessen Verwendung
US6649540B2 (en) 2000-11-09 2003-11-18 The Boc Group, Inc. Organosilane CVD precursors and their use for making organosilane polymer low-k dielectric film
FR2823599B1 (fr) 2001-04-13 2004-12-17 Commissariat Energie Atomique Substrat demomtable a tenue mecanique controlee et procede de realisation
FR2823596B1 (fr) 2001-04-13 2004-08-20 Commissariat Energie Atomique Substrat ou structure demontable et procede de realisation
FR2824821B1 (fr) 2001-05-17 2003-08-29 Essilor Int Procede de preparation d'un verre apte au debordage, verre ainsi obtenu et procede de debordage d'un tel verre
US6956268B2 (en) 2001-05-18 2005-10-18 Reveo, Inc. MEMS and method of manufacturing MEMS
US7045878B2 (en) 2001-05-18 2006-05-16 Reveo, Inc. Selectively bonded thin film layer and substrate layer for processing of useful devices
JP5415658B2 (ja) 2001-05-28 2014-02-12 三菱樹脂株式会社 中間膜用粘着シート及び透明積層体
CN100440568C (zh) 2001-06-20 2008-12-03 昭和电工株式会社 发光材料和有机发光装置
JP4036018B2 (ja) 2001-06-20 2008-01-23 昭和電工株式会社 有機発光素子および発光材料
DE60221753T2 (de) 2001-06-29 2007-12-06 Crystal Systems Inc. Beschlagungsresistente transparente Artikel, Stoffe, die eine hydrophile anorganische Schicht hoher Härte bilden und Verfahren zur Herstellung einer beschlagungsarmen Linse
US6735982B2 (en) 2001-07-12 2004-05-18 Intel Corporation Processing relatively thin glass sheets
US6649212B2 (en) 2001-07-30 2003-11-18 Guardian Industries Corporation Modified silicon-based UV absorbers useful in crosslinkable polysiloxane coatings via sol-gel polymerization
TW558743B (en) 2001-08-22 2003-10-21 Semiconductor Energy Lab Peeling method and method of manufacturing semiconductor device
JP2003077187A (ja) 2001-08-30 2003-03-14 Toshiba Corp 光ディスクの製造方法
JP2003071937A (ja) 2001-09-05 2003-03-12 Toppan Printing Co Ltd 積層体及びその製造方法、並びに多層回路基板
US7033910B2 (en) 2001-09-12 2006-04-25 Reveo, Inc. Method of fabricating multi layer MEMS and microfluidic devices
US7056751B2 (en) 2002-11-20 2006-06-06 Reveo, Inc. Method and system for increasing yield of vertically integrated devices
US6528351B1 (en) 2001-09-24 2003-03-04 Jigsaw Tek, Inc. Integrated package and methods for making same
US6814833B2 (en) 2001-10-26 2004-11-09 Corning Incorporated Direct bonding of articles containing silicon
US6521857B1 (en) 2001-11-19 2003-02-18 Geomat Insights, Llc Plasma enhanced bonding method and device
DE10162435A1 (de) 2001-12-19 2003-07-17 Joerg Lahann Verfahren zur Erzeugung von Oberflächenbeschichtungen, die die Adsorption von Proteinen bzw. die Adhäsion von Bakterien und/oder Zellen vermindern
US6824872B2 (en) 2002-04-23 2004-11-30 Laurel Products Llc Surface-treating fluoropolymer powders using atmospheric plasma
US6699798B2 (en) 2002-04-24 2004-03-02 Intel Corporation Promoting adhesion of fluoropolymer films to semiconductor substrates
FR2874455B1 (fr) 2004-08-19 2008-02-08 Soitec Silicon On Insulator Traitement thermique avant collage de deux plaquettes
JP3639978B2 (ja) 2002-05-10 2005-04-20 日本航空電子工業株式会社 光スイッチ
EP1363319B1 (en) 2002-05-17 2009-01-07 Semiconductor Energy Laboratory Co., Ltd. Method of transferring an object and method of manufacturing a semiconductor device
KR101005989B1 (ko) 2002-06-11 2011-01-05 코니카 미놀타 홀딩스 가부시키가이샤 표면 처리 방법 및 광학 부품
US7101947B2 (en) 2002-06-14 2006-09-05 Florida State University Research Foundation, Inc. Polyelectrolyte complex films for analytical and membrane separation of chiral compounds
CN1675058B (zh) 2002-08-07 2010-12-29 株式会社丰田中央研究所 包括粘合层的层压产品和包括保护膜的层压产品
JP3941627B2 (ja) 2002-08-07 2007-07-04 株式会社豊田中央研究所 密着層を備える積層体
EP1573086A4 (en) 2002-09-18 2012-10-03 Air Prod & Chem ADDITIVES FOR PREVENTING DETERIORATION OF ALKYL-HYDROGEN SILOXANES
DE20215401U1 (de) 2002-10-07 2004-02-19 Schott Glas Verbund aus einem Dünnstsubsrat und einem Trägersubstrat mit lösbarem Verbindungsmittel
TW578439B (en) 2002-10-25 2004-03-01 Ritdisplay Corp Organic light emitting diode and material applied in the organic light emitting diode
JP2004178891A (ja) 2002-11-26 2004-06-24 Mitsubishi Electric Corp 電子放出型発光素子の製造方法
AU2003299296A1 (en) 2002-11-29 2004-06-23 Fraunhofer-Gesellschaft Zur Forderung Der Angewandten Forschung E.V. Method and device for machining a wafer, in addition to a wafer comprising a separation layer and a support layer
DE10353530A1 (de) 2003-11-14 2005-06-23 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Wafer mit Deckschicht und Trennschicht, Verfahren zur Herstellung eines solchen Wafers sowie Verfahren zum Dünnen bzw. Rückseitenmetallisieren eines Wafers
EP1581347B1 (de) 2002-12-17 2009-02-25 Wipf AG Substrat mit einer polaren plasmapolymerisierten schicht
US6762074B1 (en) 2003-01-21 2004-07-13 Micron Technology, Inc. Method and apparatus for forming thin microelectronic dies
US6964201B2 (en) 2003-02-25 2005-11-15 Palo Alto Research Center Incorporated Large dimension, flexible piezoelectric ceramic tapes
US7089635B2 (en) 2003-02-25 2006-08-15 Palo Alto Research Center, Incorporated Methods to make piezoelectric ceramic thick film arrays and elements
TWI361814B (en) 2003-03-07 2012-04-11 Kuraray Co Plastic bonding method
US7220656B2 (en) 2003-04-29 2007-05-22 Micron Technology, Inc. Strained semiconductor by wafer bonding with misorientation
US6969166B2 (en) 2003-05-29 2005-11-29 3M Innovative Properties Company Method for modifying the surface of a substrate
JP2005014599A (ja) 2003-05-30 2005-01-20 Toyota Central Res & Dev Lab Inc 樹脂シート及びエレクトロルミネッセンス表示装置
FR2855909B1 (fr) 2003-06-06 2005-08-26 Soitec Silicon On Insulator Procede d'obtention concomitante d'au moins une paire de structures comprenant au moins une couche utile reportee sur un substrat
US20040258850A1 (en) 2003-06-18 2004-12-23 Ann Straccia Environmentally friendly reactive fixture to allow localized surface engineering for improved adhesion to coated and non-coated substrates
US20050001201A1 (en) 2003-07-03 2005-01-06 Bocko Peter L. Glass product for use in ultra-thin glass display applications
FR2859312B1 (fr) 2003-09-02 2006-02-17 Soitec Silicon On Insulator Scellement metallique multifonction
US7242041B2 (en) 2003-09-22 2007-07-10 Lucent Technologies Inc. Field-effect transistors with weakly coupled layered inorganic semiconductors
US20050069713A1 (en) 2003-09-30 2005-03-31 Rahul Gupta Capillary coating method
WO2005036604A2 (en) 2003-10-09 2005-04-21 E.I. Dupont De Nemours And Company Apparatus and method for supporting a flexible substrate during processing
US6992371B2 (en) 2003-10-09 2006-01-31 Freescale Semiconductor, Inc. Device including an amorphous carbon layer for improved adhesion of organic layers and method of fabrication
US20050081993A1 (en) 2003-10-16 2005-04-21 Ilkka Steven J. Method of bonding glass
GB0326537D0 (en) 2003-11-14 2003-12-17 Koninkl Philips Electronics Nv Flexible devices
US20050118742A1 (en) 2003-11-17 2005-06-02 Frank Henning Method for reducing the adhesive properties of MEMS and anti-adhesion-coated device
GB0327093D0 (en) 2003-11-21 2003-12-24 Koninkl Philips Electronics Nv Active matrix displays and other electronic devices having plastic substrates
KR101002936B1 (ko) 2003-12-17 2010-12-21 삼성전자주식회사 캐리어 기판, 이를 이용한 플라스틱 기판의 적층 방법 및유연한 디스플레이 장치의 제조 방법
US7220497B2 (en) 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
KR101073032B1 (ko) 2003-12-19 2011-10-12 삼성전자주식회사 플라스틱 기판의 적층 방법 및 이를 이용한 유연한디스플레이 장치의 제조방법
US7041608B2 (en) 2004-02-06 2006-05-09 Eastman Kodak Company Providing fluorocarbon layers on conductive electrodes in making electronic devices such as OLED devices
US7147891B2 (en) 2004-02-11 2006-12-12 Dionex Corporation Polymer substrate coated with weak cationic-exchange functional layer
CN102856390B (zh) 2004-03-12 2015-11-25 独立行政法人科学技术振兴机构 包含薄膜晶体管的lcd或有机el显示器的转换组件
US7087134B2 (en) 2004-03-31 2006-08-08 Hewlett-Packard Development Company, L.P. System and method for direct-bonding of substrates
JP2005300972A (ja) 2004-04-13 2005-10-27 Seiko Epson Corp 表示装置の製造方法及び基板貼り合わせ装置
JP2006003684A (ja) 2004-06-18 2006-01-05 Nikon Corp 基板レスフィルタの製造方法
ITMI20041252A1 (it) 2004-06-22 2004-09-22 Solvay Solexis Spa Composizioni perfluoroelastomeriche
US7261793B2 (en) 2004-08-13 2007-08-28 Hewlett-Packard Development Company, L.P. System and method for low temperature plasma-enhanced bonding
US7226869B2 (en) 2004-10-29 2007-06-05 Lam Research Corporation Methods for protecting silicon or silicon carbide electrode surfaces from morphological modification during plasma etch processing
US20060134362A1 (en) 2004-12-17 2006-06-22 3M Innovative Properties Company Optically clear pressure sensitive adhesive
US7541264B2 (en) 2005-03-01 2009-06-02 Dow Corning Corporation Temporary wafer bonding method for semiconductor processing
US8043697B2 (en) 2005-04-19 2011-10-25 Ube Industries, Ltd. Polyimide film-laminated body
US20060246218A1 (en) 2005-04-29 2006-11-02 Guardian Industries Corp. Hydrophilic DLC on substrate with barrier discharge pyrolysis treatment
TWI402935B (zh) 2005-05-17 2013-07-21 Koninkl Philips Electronics Nv 彩色主動矩陣顯示器
US7462552B2 (en) * 2005-05-23 2008-12-09 Ziptronix, Inc. Method of detachable direct bonding at low temperatures
US20070020451A1 (en) 2005-07-20 2007-01-25 3M Innovative Properties Company Moisture barrier coatings
US7166520B1 (en) 2005-08-08 2007-01-23 Silicon Genesis Corporation Thin handle substrate method and structure for fabricating devices using one or more films provided by a layer transfer process
JP5200538B2 (ja) 2005-08-09 2013-06-05 旭硝子株式会社 薄板ガラス積層体及び薄板ガラス積層体を用いた表示装置の製造方法
US20070048530A1 (en) 2005-08-29 2007-03-01 Wen-Kuang Tsao Anti-static substrate
TWI288493B (en) 2005-09-13 2007-10-11 Ind Tech Res Inst Method for fabricating a device with flexible substrate and method for stripping flexible-substrate
US8039049B2 (en) 2005-09-30 2011-10-18 Tokyo Electron Limited Treatment of low dielectric constant films using a batch processing system
US7462551B2 (en) 2005-09-30 2008-12-09 Intel Corporation Adhesive system for supporting thin silicon wafer
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
JP2007138144A (ja) 2005-10-18 2007-06-07 Hitachi Chem Co Ltd シリカ系被膜形成用組成物
KR20070047114A (ko) 2005-11-01 2007-05-04 주식회사 엘지화학 플렉서블 기판을 구비한 소자의 제조방법 및 이에 의해제조된 플렉서블 기판을 구비한 소자
WO2007055142A1 (en) 2005-11-11 2007-05-18 Semiconductor Energy Laboratory Co., Ltd. Layer having functionality, method for forming flexible substrate having the same, and method for manufacturing semiconductor device
FR2893750B1 (fr) * 2005-11-22 2008-03-14 Commissariat Energie Atomique Procede de fabrication d'un dispositif electronique flexible du type ecran comportant une pluralite de composants en couches minces.
US20070134784A1 (en) 2005-12-09 2007-06-14 Halverson Kurt J Microreplicated microarrays
EP1818860B1 (en) 2006-02-08 2011-03-30 Semiconductor Energy Laboratory Co., Ltd. RFID device
KR100831562B1 (ko) 2006-03-23 2008-05-21 주식회사 엘지화학 유연성 기판 반송용 점착제 조성물
US7909928B2 (en) 2006-03-24 2011-03-22 The Regents Of The University Of Michigan Reactive coatings for regioselective surface modification
US7737035B1 (en) 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US20070248809A1 (en) 2006-04-19 2007-10-25 Steven Vincent Haldeman Interlayers Comprising Stable Infrared Absorbing Agents
WO2007121524A1 (en) 2006-04-20 2007-11-01 Epitactix Pty Ltd. Method of manufacture and resulting structures for semiconductor devices
WO2007129554A1 (ja) 2006-05-08 2007-11-15 Asahi Glass Company, Limited 薄板ガラス積層体、薄板ガラス積層体を用いた表示装置の製造方法および、支持ガラス基板
KR101358255B1 (ko) 2006-06-27 2014-02-05 엘지디스플레이 주식회사 광경화 타입 소수성 몰드 및 그 제조방법
CN101489949B (zh) 2006-07-12 2012-12-19 旭硝子株式会社 带保护用玻璃的玻璃基板、使用带保护用玻璃的玻璃基板的显示装置的制造方法及剥离纸用硅酮
US20080044588A1 (en) 2006-08-15 2008-02-21 Sakhrani Vinay G Method for Treating a Hydrophilic Surface
US8084103B2 (en) 2006-08-15 2011-12-27 Sakhrani Vinay G Method for treating a hydrophilic surface
JP2008072087A (ja) 2006-08-16 2008-03-27 Kyoto Univ 半導体装置および半導体装置の製造方法、ならびに表示装置
EP2074188A1 (en) 2006-10-13 2009-07-01 Sunwoo AMC Co., Ltd. Laminating film of plastic/teflon-silicon and method for preparing the same
GB0620955D0 (en) 2006-10-20 2006-11-29 Speakman Stuart P Methods and apparatus for the manufacture of microstructures
US7903083B2 (en) 2006-11-13 2011-03-08 Motorola Mobility, Inc. Mixed-mode encapsulated electrophoretic display for electronic device
JP5008381B2 (ja) 2006-11-15 2012-08-22 富士フイルム株式会社 可撓性基板を用いた有機エレクトロルミネッセンス発光パネルの製造方法、それにより製造された有機エレクトロルミネッセンス発光パネル、及びその製造に使用する支持基板
US8069229B2 (en) 2006-12-28 2011-11-29 Computer Associates Think, Inc. Topology static zones
KR100890250B1 (ko) 2007-01-08 2009-03-24 포항공과대학교 산학협력단 플렉서블 소자의 제조 방법 및 플렉서블 표시 장치의 제조방법
US8110906B2 (en) 2007-01-23 2012-02-07 Infineon Technologies Ag Semiconductor device including isolation layer
WO2008111361A1 (ja) 2007-03-12 2008-09-18 Asahi Glass Company, Limited 保護ガラス付ガラス基板及び保護ガラス付ガラス基板を用いた表示装置の製造方法
JP5277552B2 (ja) 2007-03-19 2013-08-28 富士通セミコンダクター株式会社 半導体装置の製造方法
US8399047B2 (en) 2007-03-22 2013-03-19 The Regents Of The Univeristy Of Michigan Multifunctional CVD coatings
DE502008002309D1 (de) 2007-04-26 2011-02-24 Basf Se Silane enthaltend phenothiazin-s-oxid oder phenothiazin-s,s-dioxid-gruppen und deren verwendung in oleds
US7635617B2 (en) 2007-04-27 2009-12-22 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor substrate and manufacturing method of semiconductor device
US7960916B2 (en) 2007-05-16 2011-06-14 Advanced Lcd Technologies Development Center Co., Ltd. Display device and electronic device using thin-film transistors formed on semiconductor thin films which are crystallized on insulating substrates
EP2172433A4 (en) * 2007-06-20 2012-12-19 Asahi Glass Co Ltd METHOD FOR TREATING THE SURFACE OF OXID GLASS WITH A FLUORIZING AGENT
US7935780B2 (en) 2007-06-25 2011-05-03 Brewer Science Inc. High-temperature spin-on temporary bonding compositions
KR20080113576A (ko) 2007-06-25 2008-12-31 엘지디스플레이 주식회사 액정표시장치 및 그 제조방법
TW200907003A (en) 2007-07-03 2009-02-16 Hitachi Chemical Co Ltd Adhesive composition and method of manufacturing thereof, adhesive member using the adhesive composition and method of manufacturing thereof, supporting member for mounting semiconductor and method of manufacturing thereof, and semiconductor apparatus an
JP2009035721A (ja) 2007-07-11 2009-02-19 Seiko Epson Corp 接合膜付き基材、接合方法および接合体
JP2009028922A (ja) 2007-07-24 2009-02-12 Seiko Epson Corp 接合方法、接合体、液滴吐出ヘッドおよび液滴吐出装置
JP2009028923A (ja) 2007-07-24 2009-02-12 Seiko Epson Corp 接合方法、接合体および配線基板
JP2009074002A (ja) 2007-09-21 2009-04-09 Seiko Epson Corp 接着シート、接合方法および接合体
US20090091025A1 (en) 2007-10-04 2009-04-09 Agency For Science, Technology And Research Method for forming and releasing interconnects
WO2009085362A2 (en) 2007-10-05 2009-07-09 The Regents Of The University Of Michigan Ultrastrong and stiff layered polymer nanocomposites and hierarchical laminate materials thereof
JP4710897B2 (ja) 2007-11-28 2011-06-29 セイコーエプソン株式会社 接合体の剥離方法
KR101445734B1 (ko) 2007-12-07 2014-10-01 삼성전자 주식회사 액정표시장치
KR101096142B1 (ko) 2008-01-24 2011-12-19 브레우어 사이언스 인코포레이션 캐리어 기판에 디바이스 웨이퍼를 가역적으로 장착하는 방법
CA2713980C (en) 2008-02-05 2013-06-25 Saint-Gobain Performance Plastics Corporation A multi-layer barrier article
JP5024087B2 (ja) 2008-02-05 2012-09-12 旭硝子株式会社 ガラス積層体、支持体付き表示装置用パネル、およびそれらの製造方法
GB0802912D0 (en) 2008-02-15 2008-03-26 Carben Semicon Ltd Thin-film transistor, carbon-based layer and method of production thereof
KR20090095026A (ko) 2008-03-04 2009-09-09 삼성전자주식회사 표시 장치 제조 방법
US8685201B2 (en) 2008-04-08 2014-04-01 Arizona Board Of Regents, A Body Corporate Of The State Of Arizona, Acting For And On Behalf Of Arizona State University Assemblies and methods for reducing warp and bow of a flexible substrate during semiconductor processing
KR101500684B1 (ko) 2008-04-17 2015-03-10 삼성디스플레이 주식회사 캐리어 기판 및 이를 이용한 가요성 표시 장치의 제조 방법
WO2009128359A1 (ja) 2008-04-17 2009-10-22 旭硝子株式会社 ガラス積層体、支持体付き表示装置用パネルおよびこれらの製造方法
US7842548B2 (en) 2008-04-22 2010-11-30 Taiwan Semconductor Manufacturing Co., Ltd. Fixture for P-through silicon via assembly
KR101458901B1 (ko) 2008-04-29 2014-11-10 삼성디스플레이 주식회사 가요성 표시 장치의 제조 방법
US7960840B2 (en) 2008-05-12 2011-06-14 Texas Instruments Incorporated Double wafer carrier process for creating integrated circuit die with through-silicon vias and micro-electro-mechanical systems protected by a hermetic cavity created at the wafer level
JP2009283155A (ja) 2008-05-19 2009-12-03 Seiko Epson Corp 表示装置の製造方法、表示装置および電子機器
JP5029523B2 (ja) 2008-07-14 2012-09-19 旭硝子株式会社 ガラス積層体、支持体付き表示装置用パネル、表示装置用パネル、表示装置およびこれらの製造方法
US7690344B2 (en) 2008-07-24 2010-04-06 Gm Global Technology Operations, Inc. Method and apparatus for supporting stop-and-go engine functionality
GB2462615A (en) 2008-08-12 2010-02-17 Nec Corp Optional Access Stratum security activation depending on purpose of request or message parameter in an evolved UTRAN communication network.
DE102008045370B4 (de) 2008-09-02 2010-07-08 Grenzebach Maschinenbau Gmbh Verfahren und Vorrichtung zum Transport großflächiger, dünner Glasplatten
US20110311789A1 (en) 2008-09-12 2011-12-22 Arizona Board of Regents, a body corporate acting for and on behalf of Arizona State University Methods for Attaching Flexible Substrates to Rigid Carriers and Resulting Devices
TWI354854B (en) 2008-09-15 2011-12-21 Ind Tech Res Inst Substrate structures applied in flexible electrica
JP2010107597A (ja) 2008-10-28 2010-05-13 Seiko Epson Corp 光学素子および光学素子の製造方法
KR101555551B1 (ko) 2008-11-07 2015-09-24 엘지디스플레이 주식회사 플렉시블 표시장치 제조방법
WO2010059710A1 (en) 2008-11-19 2010-05-27 Dow Corning Corporation A silicone composition and a method for preparing the same
US8211270B2 (en) 2008-11-21 2012-07-03 Nitto Denko Corporation Method of detaching attached boards from each other
JP5620921B2 (ja) 2008-12-05 2014-11-05 コーニンクレッカ フィリップス エヌ ヴェ プラスチック基板を有する電子デバイス及びその製造方法
DE102009022628A1 (de) 2008-12-05 2010-06-10 Evonik Goldschmidt Gmbh Verfahren zur Modifizierung von Oberflächen
TW201033000A (en) 2009-01-09 2010-09-16 Asahi Glass Co Ltd Glass laminate and manufacturing method therefor
US9063605B2 (en) 2009-01-09 2015-06-23 Apple Inc. Thin glass processing using a carrier
JP5207306B2 (ja) 2009-01-26 2013-06-12 武井電機工業株式会社 薄膜積層ガラス基板の薄膜除去方法及び装置
US20110318589A1 (en) 2009-02-27 2011-12-29 Massimo Pignatelli Plasma Treated EVOH Multilayer Film
TWI410329B (zh) 2009-03-09 2013-10-01 Ind Tech Res Inst 可撓式裝置的取下設備及其取下方法
JP5402184B2 (ja) 2009-04-13 2014-01-29 日本電気硝子株式会社 ガラスフィルムおよびその製造方法
CN101873532B (zh) 2009-04-22 2013-01-02 电信科学技术研究院 广播多播系统中发送和获取控制信息的方法、基站和ue
KR101561729B1 (ko) 2009-05-06 2015-10-19 코닝 인코포레이티드 유리 기판의 캐리어
WO2010128611A1 (ja) 2009-05-08 2010-11-11 日立化成工業株式会社 半導体封止用フィルム状接着剤、半導体装置及びその製造方法
GB0908193D0 (en) 2009-05-13 2009-06-24 Albright Patents Treatment of disease state
WO2010141257A2 (en) 2009-06-03 2010-12-09 Applied Materials, Inc. Method and apparatus for etching
CN101924067B (zh) 2009-06-09 2013-05-08 财团法人工业技术研究院 挠性膜自载板上脱离的方法及可挠式电子装置的制造方法
JP2010284869A (ja) 2009-06-11 2010-12-24 Shin-Etsu Chemical Co Ltd 接合部材
JP5594522B2 (ja) 2009-07-03 2014-09-24 日本電気硝子株式会社 電子デバイス製造用ガラスフィルム積層体
US7987566B2 (en) 2009-07-15 2011-08-02 Sturzebecher Richard J Capacitor forming method
US8568184B2 (en) 2009-07-15 2013-10-29 Apple Inc. Display modules
CN101989469A (zh) 2009-07-31 2011-03-23 群康科技(深圳)有限公司 导电板
US8048794B2 (en) 2009-08-18 2011-11-01 International Business Machines Corporation 3D silicon-silicon die stack structure and method for fine pitch interconnection and vertical heat transport
DE102009028640A1 (de) 2009-08-19 2011-02-24 Evonik Goldschmidt Gmbh Härtbare Masse enthaltend Urethangruppen aufweisende silylierte Polymere und deren Verwendung in Dicht- und Klebstoffen, Binde- und/oder Oberflächenmodifizierungsmitteln
JP2011048979A (ja) 2009-08-26 2011-03-10 Canon Inc 画像表示装置
KR20120059512A (ko) 2009-08-27 2012-06-08 아사히 가라스 가부시키가이샤 플렉시블 기재-지지체의 적층 구조체, 지지체를 갖는 전자 디바이스용 패널 및 전자 디바이스용 패널의 제조 방법
US9847243B2 (en) 2009-08-27 2017-12-19 Corning Incorporated Debonding a glass substrate from carrier using ultrasonic wave
JP5562597B2 (ja) * 2009-08-28 2014-07-30 荒川化学工業株式会社 支持体、ガラス基板積層体、支持体付き表示装置用パネル、および表示装置用パネルの製造方法
WO2011030716A1 (ja) 2009-09-08 2011-03-17 旭硝子株式会社 ガラス/樹脂積層体、及びそれを用いた電子デバイス
JP2011058579A (ja) 2009-09-10 2011-03-24 Tokyo Institute Of Technology エネルギ吸収構造体
KR101583394B1 (ko) 2009-09-11 2016-01-07 헨켈 아이피 앤드 홀딩 게엠베하 중합체 결합을 위한 조성물
JP5510901B2 (ja) 2009-09-18 2014-06-04 日本電気硝子株式会社 ガラスフィルムの製造方法及びガラスフィルムの処理方法並びにガラスフィルム積層体
CN102576106B (zh) 2009-10-20 2015-02-11 旭硝子株式会社 玻璃层叠体及其制造方法、显示面板的制造方法及利用该制造方法获得的显示面板
JP5637140B2 (ja) 2009-10-20 2014-12-10 旭硝子株式会社 ガラス積層体、支持体付き表示装置用パネル、表示装置用パネル、表示装置、およびこれらの製造方法
KR101617280B1 (ko) 2009-10-21 2016-05-03 엘지디스플레이 주식회사 플라스틱 기판을 이용한 표시장치 제조 방법
KR20110043376A (ko) 2009-10-21 2011-04-27 엘지디스플레이 주식회사 전기영동 표시장치 및 그 제조 방법
KR20110045136A (ko) 2009-10-26 2011-05-04 주식회사 삼영테크놀로지 윈도우와 디스플레이 패널을 분리하기 위한 코팅방법
US9019211B2 (en) 2009-10-30 2015-04-28 Corning Incorporated Methods and apparatus for providing touch sensitive displays
KR101635914B1 (ko) 2009-12-16 2016-07-05 엘지디스플레이 주식회사 플렉서블 표시장치의 제조 방법
CA2784967A1 (en) 2009-12-17 2011-07-14 Ceramtec Gmbh Surface conditioning for improving bone cement adhesion to ceramic substrates
CN102695685B (zh) * 2010-01-12 2015-02-11 日本电气硝子株式会社 玻璃膜层叠体及其制造方法以及玻璃膜的制造方法
JP2011159697A (ja) 2010-01-29 2011-08-18 Dainippon Printing Co Ltd 薄膜トランジスタ搭載基板、その製造方法及び画像表示装置
GB201003067D0 (en) 2010-02-23 2010-04-07 Semblant Ltd Plasma-polymerized polymer coating
US8995146B2 (en) 2010-02-23 2015-03-31 Semblant Limited Electrical assembly and method
JP2011201977A (ja) 2010-03-24 2011-10-13 Seiko Epson Corp 接合方法
JP2011201976A (ja) 2010-03-24 2011-10-13 Seiko Epson Corp 接合方法
US8349727B2 (en) 2010-04-08 2013-01-08 Liang Guo Integrated method for high-density interconnection of electronic components through stretchable interconnects
US20110256385A1 (en) 2010-04-15 2011-10-20 Seiko Epson Corporation Bonding film-attached substrate and bonding film-attached substrate manufacturing method
JP5625470B2 (ja) 2010-05-10 2014-11-19 セイコーエプソン株式会社 接合方法
JP5516046B2 (ja) 2010-05-11 2014-06-11 セイコーエプソン株式会社 接合膜転写シートおよび接合方法
JP5835214B2 (ja) 2010-05-11 2015-12-24 旭硝子株式会社 積層体の製造方法、および積層体
JP2011235556A (ja) 2010-05-11 2011-11-24 Seiko Epson Corp 励起エネルギー特定方法、励起エネルギー特定装置、接合方法および接合装置
JP2011248011A (ja) 2010-05-25 2011-12-08 Bridgestone Corp 表示媒体用粒子およびこれを用いた情報表示用パネル
GB2481187B (en) 2010-06-04 2014-10-29 Plastic Logic Ltd Processing substrates
KR101721414B1 (ko) 2010-06-09 2017-03-31 삼성디스플레이 주식회사 백라이트 어셈블리 및 이를 포함하는 액정표시장치
US8852391B2 (en) 2010-06-21 2014-10-07 Brewer Science Inc. Method and apparatus for removing a reversibly mounted device wafer from a carrier substrate
TWI432835B (zh) 2010-06-24 2014-04-01 Au Optronics Corp 可撓性顯示面板及其製造方法
DE102010025967B4 (de) 2010-07-02 2015-12-10 Schott Ag Verfahren zur Erzeugung einer Vielzahl von Löchern, Vorrichtung hierzu und Glas-Interposer
CN101916022B (zh) 2010-07-06 2012-10-10 友达光电股份有限公司 可挠性显示面板及其制造方法
EP2703156B1 (en) 2010-07-28 2015-01-14 Nippon Electric Glass Co., Ltd. Glass film laminate
JP5602529B2 (ja) 2010-07-29 2014-10-08 日本合成化学工業株式会社 積層体の製法、ガラス基板付き偏光板の製法、およびそれにより得られたガラス基板付き偏光板
US20120035309A1 (en) 2010-08-06 2012-02-09 Baker Hughes Incorporated Method to disperse nanoparticles into elastomer and articles produced therefrom
US9263314B2 (en) 2010-08-06 2016-02-16 Brewer Science Inc. Multiple bonding layers for thin-wafer handling
JP5462107B2 (ja) 2010-08-09 2014-04-02 株式会社日本製鋼所 中空成形品の製造方法および製造装置
US8846499B2 (en) 2010-08-17 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Composite carrier structure
TWI446420B (zh) 2010-08-27 2014-07-21 Advanced Semiconductor Eng 用於半導體製程之載體分離方法
US20120063952A1 (en) 2010-09-10 2012-03-15 Hong Keith C Uv resistant clear laminates
KR101164945B1 (ko) 2010-09-13 2012-07-12 한국과학기술원 플렉시블 소자의 제작 방법
KR101779586B1 (ko) 2010-09-27 2017-10-10 엘지디스플레이 주식회사 플라스틱 기판을 이용한 표시장치 제조 방법
US20130188324A1 (en) 2010-09-29 2013-07-25 Posco Method for Manufacturing a Flexible Electronic Device Using a Roll-Shaped Motherboard, Flexible Electronic Device, and Flexible Substrate
US8822306B2 (en) 2010-09-30 2014-09-02 Infineon Technologies Ag Method for manufacturing a composite wafer having a graphite core, and composite wafer having a graphite core
KR101267529B1 (ko) 2010-10-30 2013-05-24 엘지디스플레이 주식회사 플렉서블한 유기전계 발광소자 제조 방법
US8859103B2 (en) 2010-11-05 2014-10-14 Joseph Eugene Canale Glass wafers for semiconductor fabrication processes and methods of making same
CN102034746B (zh) 2010-11-08 2013-06-05 昆山工研院新型平板显示技术中心有限公司 一种有源矩阵有机发光显示器阵列基板的制造方法
KR101295532B1 (ko) 2010-11-11 2013-08-12 엘지디스플레이 주식회사 플렉시블 평판소자의 제조방법
KR101271838B1 (ko) 2010-11-24 2013-06-07 주식회사 포스코 보강기판을 이용한 플렉서블 전자소자의 제조방법, 플렉서블 전자소자 및 플렉서블 기판
KR101842134B1 (ko) 2010-11-26 2018-03-26 엘지디스플레이 주식회사 전기영동 표시장치 및 그 제조 방법
EP2458620B1 (en) 2010-11-29 2021-12-01 IHP GmbH-Innovations for High Performance Microelectronics / Leibniz-Institut für innovative Mikroelektronik Fabrication of graphene electronic devices using step surface contour
TW201238014A (en) 2010-11-30 2012-09-16 Corning Inc Methods of forming a glass wiring board substrate
JP2012119611A (ja) 2010-12-03 2012-06-21 Asahi Glass Co Ltd 貫通電極基板の製造方法
KR101049380B1 (ko) 2010-12-21 2011-07-15 한국기계연구원 전해도금을 이용한 반도체 소자 3차원 패키지용 관통 전극 및 그 제조 방법
CN102070120B (zh) 2010-12-31 2012-09-05 东南大学 用于微电子系统级封装的高密度转接板的制备方法
JP2012166999A (ja) 2011-02-16 2012-09-06 Asahi Glass Co Ltd インターポーザ用ガラス基板の製造方法、インターポーザの製造方法、インターポーザ用ガラス基板、およびインターポーザ
KR101918284B1 (ko) 2011-03-03 2019-01-30 엘지디스플레이 주식회사 플렉시블 표시장치의 제조 방법
JP5355618B2 (ja) 2011-03-10 2013-11-27 三星ディスプレイ株式會社 可撓性表示装置及びこの製造方法
JP2012209545A (ja) 2011-03-17 2012-10-25 Sekisui Chem Co Ltd 半導体積層体の製造方法
TWI445626B (zh) 2011-03-18 2014-07-21 Eternal Chemical Co Ltd 製造軟性元件的方法
JP2012227310A (ja) 2011-04-19 2012-11-15 Panasonic Corp セラミックス多層基板とその製造方法
US10155361B2 (en) 2011-11-09 2018-12-18 Corning Incorporated Method of binding nanoparticles to glass
CN103492173B (zh) 2011-04-22 2015-05-20 旭硝子株式会社 层叠体、其制造方法和用途
JP5862238B2 (ja) 2011-05-27 2016-02-16 東洋紡株式会社 積層体とその製造方法及びそれを用いたデバイス構造体の製造方法
JP5760696B2 (ja) 2011-05-27 2015-08-12 株式会社デンソー 画像認識装置
JP5862866B2 (ja) 2011-05-30 2016-02-16 東洋紡株式会社 積層体の作成方法および、この積層体を利用したフィルムデバイスの作成方法
JP5883236B2 (ja) 2011-06-10 2016-03-09 日東電工株式会社 薄層基材用キャリア材
KR20130000211A (ko) 2011-06-22 2013-01-02 삼성전자주식회사 기판 가공 방법
KR20130003997A (ko) 2011-07-01 2013-01-09 엘지디스플레이 주식회사 캐리어 기판과 박형 글라스의 탈부착 방법
WO2013006865A2 (en) 2011-07-07 2013-01-10 Brewer Science Inc. Methods of transferring device wafers or layers between carrier substrates and other surfaces
US8617925B2 (en) 2011-08-09 2013-12-31 Soitec Methods of forming bonded semiconductor structures in 3D integration processes using recoverable substrates, and bonded semiconductor structures formed by such methods
US8383460B1 (en) 2011-09-23 2013-02-26 GlobalFoundries, Inc. Method for fabricating through substrate vias in semiconductor substrate
EP2761051B1 (en) 2011-09-27 2018-11-07 Applied Materials, Inc. Carrier for thin glass substrates and use thereof
JP5796449B2 (ja) 2011-10-12 2015-10-21 旭硝子株式会社 電子デバイスの製造方法、樹脂層付きキャリア基板の製造方法
KR101973826B1 (ko) 2011-10-18 2019-08-26 에이지씨 가부시키가이샤 적층체, 적층체의 제조 방법 및 전자 디바이스용 부재가 부착된 유리 기판의 제조 방법
KR101820171B1 (ko) 2011-10-24 2018-01-19 엘지디스플레이 주식회사 경량 박형의 액정표시장치 제조방법
US8696864B2 (en) 2012-01-26 2014-04-15 Promerus, Llc Room temperature debonding composition, method and stack
US8550061B2 (en) 2012-01-26 2013-10-08 Maruzen Company Limited Toy gun
US9725357B2 (en) * 2012-10-12 2017-08-08 Corning Incorporated Glass articles having films with moderate adhesion and retained strength
US8975157B2 (en) 2012-02-08 2015-03-10 Advanced Semiconductor Engineering, Inc. Carrier bonding and detaching processes for a semiconductor wafer
WO2015157202A1 (en) 2014-04-09 2015-10-15 Corning Incorporated Device modified substrate article and methods for making
US10543662B2 (en) * 2012-02-08 2020-01-28 Corning Incorporated Device modified substrate article and methods for making
US8696212B2 (en) 2012-03-01 2014-04-15 Amsted Rail Company, Inc. Roller bearing backing ring assembly
JP2013184346A (ja) 2012-03-07 2013-09-19 Asahi Glass Co Ltd ガラス積層体、電子デバイスの製造方法
JP2013184872A (ja) 2012-03-09 2013-09-19 Nippon Electric Glass Co Ltd ガラス基板の端部処理方法、ガラス基板の端部処理装置及びガラス基板
KR101390212B1 (ko) 2012-03-14 2014-05-14 한양대학교 에리카산학협력단 전기분무건조를 이용한 글리아딘 나노입자의 제조방법
JP2013207084A (ja) 2012-03-28 2013-10-07 Sumitomo Electric Ind Ltd 放熱板付基板モジュールおよび放熱板付基板モジュールの製造方法
JP2013216513A (ja) 2012-04-05 2013-10-24 Nippon Electric Glass Co Ltd ガラスフィルムの切断方法及びガラスフィルム積層体
EP2650938A1 (en) 2012-04-13 2013-10-16 Acreo Swedish ICT AB Organic Field-Effect Transistor Device
JP2013224475A (ja) 2012-04-23 2013-10-31 Shimazu Kogyo Kk 溶射用粒子、溶射皮膜の形成方法及び溶射部材
DE102012207149A1 (de) 2012-04-27 2013-10-31 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verbund mit temporärer Schutzfolie
KR20150023312A (ko) 2012-05-29 2015-03-05 아사히 가라스 가부시키가이샤 유리 적층체 및 전자 디바이스의 제조 방법
KR20130095605A (ko) 2012-06-22 2013-08-28 코스텍시스템(주) 디바이스 웨이퍼와 캐리어 웨이퍼의 본딩과 디본딩 장치
CN102815052B (zh) 2012-06-29 2016-08-24 法国圣戈班玻璃公司 超疏水减反基板及其制作方法
US9139469B2 (en) 2012-07-17 2015-09-22 Corning Incorporated Ion exchangeable Li-containing glass compositions for 3-D forming
JP2014019597A (ja) 2012-07-17 2014-02-03 Nippon Electric Glass Co Ltd ガラスフィルムの製造方法及びガラスフィルム積層体
CN102789125B (zh) 2012-07-27 2013-11-13 京东方科技集团股份有限公司 隔垫物制作方法
CN110330225A (zh) 2012-08-17 2019-10-15 康宁股份有限公司 超薄强化玻璃
CN102820262A (zh) 2012-09-05 2012-12-12 江苏物联网研究发展中心 一种玻璃通孔的制作及互连的方法
CN104582955B (zh) * 2012-09-28 2017-05-31 Hoya株式会社 电子设备用罩玻璃及其制造方法
WO2014059263A1 (en) 2012-10-12 2014-04-17 Corning Incorporated Articles having retained strength
US20140150244A1 (en) 2012-11-30 2014-06-05 General Electric Company Adhesive-free carrier assemblies for glass substrates
CN103035490A (zh) 2012-12-11 2013-04-10 京东方科技集团股份有限公司 柔性显示器件的制备方法
TWI617437B (zh) 2012-12-13 2018-03-11 康寧公司 促進控制薄片與載體間接合之處理
CN106030686A (zh) 2012-12-13 2016-10-12 康宁股份有限公司 玻璃和制备玻璃制品的方法
US9340443B2 (en) 2012-12-13 2016-05-17 Corning Incorporated Bulk annealing of glass sheets
US10086584B2 (en) * 2012-12-13 2018-10-02 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
US10014177B2 (en) 2012-12-13 2018-07-03 Corning Incorporated Methods for processing electronic devices
KR102046534B1 (ko) 2013-01-25 2019-11-19 삼성전자주식회사 기판 가공 방법
WO2014137801A1 (en) 2013-03-03 2014-09-12 John Moore Temporary adhesive with tunable adhesion force sufficient for processing thin solid materials
US9790407B2 (en) 2013-03-09 2017-10-17 Moore John Aqueous detergent soluble coating and adhesive and methods of temporary bonding for manufacturing
TWI679175B (zh) 2013-03-15 2019-12-11 美商康寧公司 玻璃片之大量退火
WO2014142280A1 (ja) 2013-03-15 2014-09-18 日産自動車株式会社 非水電解質二次電池
KR101432575B1 (ko) 2013-03-29 2014-08-21 엘지디스플레이 주식회사 경량 박형의 액정표시장치 제조방법
CN105073674A (zh) 2013-04-02 2015-11-18 旭硝子株式会社 带覆膜的玻璃基板和其制造方法
KR102070617B1 (ko) 2013-08-21 2020-01-30 엘지이노텍 주식회사 멤스 진폭 변조기 및 이를 포함하는 멤스 자계 센서
KR20150034829A (ko) 2013-08-30 2015-04-06 주식회사 엔씰텍 플렉시블 정보 표시 소자 제조용 지지 기판, 이의 제조 방법, 이를 이용하여 제조된 플렉시블 정보 표시 소자 및 이의 제조방법
KR101580015B1 (ko) 2013-08-30 2015-12-24 주식회사 엔씰텍 임시 점착/탈착층을 사용하는 플렉시블 정보 표시 소자 제조용 지지 기판, 이의 제조 방법, 그를 이용한 플렉시블 정보 표시 소자 및 그의 제조 방법
US20150099110A1 (en) 2013-10-07 2015-04-09 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
US10510576B2 (en) 2013-10-14 2019-12-17 Corning Incorporated Carrier-bonding methods and articles for semiconductor and interposer processing
JP6119567B2 (ja) 2013-11-11 2017-04-26 旭硝子株式会社 ガラス積層体の製造方法および電子デバイスの製造方法
JP6176067B2 (ja) 2013-11-11 2017-08-09 旭硝子株式会社 ガラス積層体および電子デバイスの製造方法
JP6136909B2 (ja) 2013-12-17 2017-05-31 旭硝子株式会社 樹脂層付き支持基板の製造方法、ガラス積層体の製造方法、電子デバイスの製造方法
TWI654088B (zh) 2014-01-27 2019-03-21 美商康寧公司 用於聚合物表面與載具之受控接合之物件及方法
KR102353030B1 (ko) 2014-01-27 2022-01-19 코닝 인코포레이티드 얇은 시트와 캐리어의 제어된 결합을 위한 물품 및 방법
WO2015113023A1 (en) 2014-01-27 2015-07-30 Corning Incorporated Treatment of a surface modification layer for controlled bonding of thin sheets with carriers
KR101522941B1 (ko) 2014-02-05 2015-05-26 도레이첨단소재 주식회사 실리콘 이형필름 및 그 제조방법
JP6443350B2 (ja) 2014-02-07 2018-12-26 Agc株式会社 ガラス積層体
US9406746B2 (en) 2014-02-19 2016-08-02 International Business Machines Corporation Work function metal fill for replacement gate fin field effect transistor process
JP2017087417A (ja) 2014-03-26 2017-05-25 旭硝子株式会社 ガラス積層体
CN106163798B (zh) 2014-04-10 2019-05-10 Agc株式会社 玻璃层叠体及其制造方法、电子器件的制造方法
JP6322469B2 (ja) 2014-04-25 2018-05-09 ニッタ株式会社 基板加工方法
KR20160146712A (ko) 2014-04-25 2016-12-21 아사히 가라스 가부시키가이샤 유리 적층체 및 전자 디바이스의 제조 방법
WO2016017645A1 (ja) 2014-08-01 2016-02-04 旭硝子株式会社 無機膜付き支持基板およびガラス積層体、ならびに、それらの製造方法および電子デバイスの製造方法
US9790593B2 (en) * 2014-08-01 2017-10-17 Corning Incorporated Scratch-resistant materials and articles including the same
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
CN107635769B (zh) * 2015-05-19 2020-09-15 康宁股份有限公司 使片材与载体粘结的制品和方法
EP3313799B1 (en) 2015-06-26 2022-09-07 Corning Incorporated Methods and articles including a sheet and a carrier
JP6123919B2 (ja) 2016-01-07 2017-05-10 住友ベークライト株式会社 化粧板
CN109641425A (zh) 2016-08-22 2019-04-16 康宁股份有限公司 可控结合的片材的制品及其制造方法
US10079154B1 (en) 2017-03-20 2018-09-18 Lam Research Corporation Atomic layer etching of silicon nitride

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102548758A (zh) * 2011-02-01 2012-07-04 株式会社微龙技术研究所 薄板玻璃基板贴合体及其制造方法
CN103042803A (zh) * 2011-10-12 2013-04-17 旭硝子株式会社 电子装置的制造方法
WO2013119737A2 (en) * 2012-02-08 2013-08-15 Corning Incorporated Processing flexible glass with a carrier

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110831909A (zh) * 2017-03-21 2020-02-21 康宁股份有限公司 托架设备以及加工托架设备的方法
CN113039469A (zh) * 2018-10-30 2021-06-25 奇跃公司 用于增强和混合现实系统的聚合物目镜组件
CN113710384A (zh) * 2019-04-11 2021-11-26 康宁股份有限公司 抗反射透明疏油表面及其制造方法
CN113710384B (zh) * 2019-04-11 2024-03-22 康宁股份有限公司 抗反射透明疏油表面及其制造方法
CN110783172A (zh) * 2019-09-09 2020-02-11 长江存储科技有限责任公司 用于分离堆叠封装结构中多个裸片的混合溶剂和方法

Also Published As

Publication number Publication date
CN106457758B (zh) 2018-11-16
SG11201608442TA (en) 2016-11-29
WO2015157202A1 (en) 2015-10-15
US11192340B2 (en) 2021-12-07
JP2017518954A (ja) 2017-07-13
EP3129221A1 (en) 2017-02-15
KR20160145062A (ko) 2016-12-19
US20200139677A1 (en) 2020-05-07
TW201601211A (zh) 2016-01-01

Similar Documents

Publication Publication Date Title
CN106457758B (zh) 装置改性的基材制品及其制备方法
CN106133899B (zh) 用于半导体和插入物加工的载体粘结方法和制品
TWI671200B (zh) 用於薄片與載體之受控制接合的物件及方法
CN105143121B (zh) 用于控制片材和载体之间的结合的促进的加工
US10543662B2 (en) Device modified substrate article and methods for making
TW473810B (en) Semiconductor element forming process having a step of separating film structure from substrate
Crnogorac et al. Semiconductor crystal islands for three-dimensional integration
CN106030686A (zh) 玻璃和制备玻璃制品的方法
TW202343661A (zh) 用於簡化的輔具晶圓的dbi至矽接合
CN106104778A (zh) 用于聚合物表面与载体的受控粘结的制品和方法
CN103165625A (zh) 用于制造半导体器件的复合晶圆
CN103426726B (zh) 使用应力源层部分的剥脱方法
US20170011946A1 (en) Method of Forming a Flexible Semiconductor Layer and Devices on a Flexible Carrier
US9558997B2 (en) Integration of Ru wet etch and CMP for beol interconnects with Ru layer
DE102011113642B4 (de) Verfahren zur Herstellung eines Halbleiterbauelementes unter Verwendung eines Hilfsträgers
KR20130116629A (ko) 도너 웨이퍼 및 이를 이용한 반도체 장치 제조 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant