TW202343661A - 用於簡化的輔具晶圓的dbi至矽接合 - Google Patents

用於簡化的輔具晶圓的dbi至矽接合 Download PDF

Info

Publication number
TW202343661A
TW202343661A TW112124035A TW112124035A TW202343661A TW 202343661 A TW202343661 A TW 202343661A TW 112124035 A TW112124035 A TW 112124035A TW 112124035 A TW112124035 A TW 112124035A TW 202343661 A TW202343661 A TW 202343661A
Authority
TW
Taiwan
Prior art keywords
bonding
wafer
die
bonding surface
layer
Prior art date
Application number
TW112124035A
Other languages
English (en)
Inventor
肯卓瑟卡 曼達拉普
蓋烏斯 吉爾曼 方騰二世
桂蓮 高
Original Assignee
美商艾德亞半導體接合科技有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商艾德亞半導體接合科技有限公司 filed Critical 美商艾德亞半導體接合科技有限公司
Publication of TW202343661A publication Critical patent/TW202343661A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L21/6836Wafer tapes, e.g. grinding or dicing support tapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/33Structure, shape, material or disposition of the layer connectors after the connecting process of a plurality of layer connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/98Methods for disconnecting semiconductor or solid-state bodies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68318Auxiliary support including means facilitating the separation of a device or wafer from the auxiliary support
    • H01L2221/68322Auxiliary support including means facilitating the selective separation of some of a plurality of devices from the auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/6834Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to protect an active side of a device or wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68354Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to support diced chips prior to mounting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68368Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used in a transfer process involving at least two transfer steps, i.e. including an intermediate handle substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68381Details of chemical or physical process used for separating the auxiliary support from a device or wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/03001Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate
    • H01L2224/03002Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate for supporting the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05005Structure
    • H01L2224/05006Dual damascene structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • H01L2224/091Disposition
    • H01L2224/0918Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/09181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/33Structure, shape, material or disposition of the layer connectors after the connecting process of a plurality of layer connectors
    • H01L2224/331Disposition
    • H01L2224/3318Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/33181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80003Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/80006Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80009Pre-treatment of the bonding area
    • H01L2224/8003Reshaping the bonding area in the bonding apparatus, e.g. flattening the bonding area
    • H01L2224/80031Reshaping the bonding area in the bonding apparatus, e.g. flattening the bonding area by chemical means, e.g. etching, anodisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80009Pre-treatment of the bonding area
    • H01L2224/8003Reshaping the bonding area in the bonding apparatus, e.g. flattening the bonding area
    • H01L2224/80035Reshaping the bonding area in the bonding apparatus, e.g. flattening the bonding area by heating means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80009Pre-treatment of the bonding area
    • H01L2224/8003Reshaping the bonding area in the bonding apparatus, e.g. flattening the bonding area
    • H01L2224/80047Reshaping the bonding area in the bonding apparatus, e.g. flattening the bonding area by mechanical means, e.g. severing, pressing, stamping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8034Bonding interfaces of the bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80909Post-treatment of the bonding area
    • H01L2224/80948Thermal treatments, e.g. annealing, controlled cooling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80986Specific sequence of steps, e.g. repetition of manufacturing steps, time sequence
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/83009Pre-treatment of the layer connector or the bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/83894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/83896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/83909Post-treatment of the layer connector or bonding area
    • H01L2224/83948Thermal treatments, e.g. annealing, controlled cooling

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Pressure Welding/Diffusion-Bonding (AREA)
  • Die Bonding (AREA)

Abstract

本發明提供裝置及技術,其包括用於製備各種微電子組件之製程步驟,該些微電子組件用於接合,諸如用於在無黏著劑之情況下之直接接合。該些製程包括:將第一接合表面設置於該些微電子組件之第一表面上;將輔具接合至所製備之第一接合表面;及當在該輔具處夾持該些微電子組件時,加工該些微電子組件之第二表面。在一些具體實例中,該些製程包括自該第一接合表面移除該輔具,及將該第一接合表面處的該些微電子組件直接接合至其他微電子組件。

Description

用於簡化的輔具晶圓的DBI至矽接合
以下描述係關於積體電路(「IC」)。更特定而言,以下描述係關於製造IC晶粒及晶圓。 優先權主張及相關申請案之交叉參考
本申請案主張2019年4月17日申請的美國非臨時申請案第16/386,261號及2018年4月20日申請的美國臨時申請案第62/660,509號之權益,該些申請案因而以全文引用之方式併入。
微電子元件通常包含半導體材料(諸如矽或砷化鎵)之較薄厚塊,其通常稱為半導體晶圓。晶圓可經形成為包括晶圓表面上及/或部分嵌入晶圓內之多個積體晶片或晶粒。與晶圓分隔開之晶粒通常經設置為單獨的經預封裝單元。在一些封裝設計中,晶粒經安裝至基板或晶片載體,該基板或晶片載體繼而經安裝在諸如印刷電路板(printed circuit board;PCB)之電路面板上。舉例而言,許多晶粒經設置於適合表面安裝之封裝中。
亦可以「堆疊」配置來設置經封裝半導體晶粒,其中一個封裝經設置於例如電路板或其他載體上,而另一封裝經安裝於第一封裝之頂部上。該些配置可允許將若干不同晶粒或裝置安裝於電路板上之單個佔據面積內,且可藉由在封裝之間設置較短互連件來進一步促成高速操作。通常,此互連距離可僅略大於晶粒自身之厚度。對於待在晶粒封裝之堆疊內達成的互連,用於機械及電連接之互連結構可設置於每一晶粒封裝(除了最頂部封裝以外)之兩側(例如,面)上。
此外,晶粒或晶圓可以三維配置堆疊作為各種微電子封裝方案之部分。此可包括在基底晶粒、裝置、晶圓、基板或類似者上堆疊一或多個晶粒、裝置及/或晶圓之層,以豎直或水平配置堆疊多個晶粒或晶圓,以及兩者之各種組合。
可使用各種接合技術以堆疊配置來接合晶粒或晶圓,該些接合技術包括直接介電質接合、無黏著劑技術(諸如ZiBond®)或混合接合技術(諸如DBI®),兩種技術均可自Invensas接合技術公司(先前係Ziptronix公司)、Xperi公司購得(例如參看美國專利第6,864,585號及第7,485,968號,該專利以其全文併入本文中)。經接合晶粒或晶圓之各別配合表面通常包括嵌入式導電互連結構或類似者。在一些實例中,接合表面經配置且對準使得來自各別表面之導電互連結構在接合期間結合。結合的互連結構在堆疊晶粒或晶圓之間形成連續導電互連件(用於信號、功率等)。
實施經堆疊晶粒及晶圓配置可存在多種挑戰。當使用直接接合或混合接合技術來接合經堆疊晶粒時,通常需要待接合之晶粒的表面極平坦、光滑且潔淨。舉例而言,該些表面一般應具有極低的表面拓樸差異(亦即,奈米尺度差異)使得該些表面可緊密配合以形成持續接合。
可形成雙側晶粒並準備進行堆疊及接合,其中晶粒之兩側將諸如利用多個晶粒對晶粒或晶粒對晶圓應用來接合至其他基板或晶粒。製備晶粒之兩側包括:修整兩個表面以符合介電質粗糙度規格(dielectric roughness specifications)及金屬層(例如銅等)凹進規格(metallic layer recess specifications)。舉例而言,接合表面處之導電互連結構可輕微凹進,略低於接合表面之絕緣材料。可藉由裝置或應用之尺寸公差、規格或實體限制來判定低於接合表面之凹進量。混合表面可使用化學機械研磨(chemical mechanical polishing;CMP)製程或類似者來準備與另一晶粒、晶圓或其他基板進行接合。
在加工步驟期間可使用輔具晶圓來保持晶粒,該輔具晶圓在加工期間可暫時膠合至晶粒之一側,且隨後移除該輔具晶圓。然而,黏著劑接合通常不提供使晶圓精確薄化為1至10 um之矽厚度所必需的均一性,通常亦不考慮在高於250C之溫度下進行加工。此外,黏著劑層通常過於具有壓縮性以致於無法藉由化學機械研磨(CMP)來支援充分平坦化。
揭示代表性技術及裝置,該代表性技術及裝置包括用於製備各種微電子組件的製程步驟,該些微電子組件用於接合,諸如用於在無黏著劑之情況下之直接接合。該些製程包括:將第一接合表面設置於微電子組件之第一表面上;將輔具接合至所製備之第一接合表面;及當在輔具處夾持微電子組件時,加工微電子組件之第二表面。在一些具體實例中,該些製程包括自第一接合表面移除輔具,及將第一接合表面處的微電子組件直接接合至其他微電子組件。
在各種實施方案中,微電子組裝件包含:第一基板,其包括含具有第一預定最大表面差異之平坦化表面形貌的第一接合表面;及第二基板,其具有含平坦化表面形貌之接合表面。使用直接介電質對介電質無黏著劑技術將第二基板接合至第一基板之第一接合表面以形成輔具。在加工與第一表面相對之第一基板之第二表面時,第二基板經配置以支撐第一基板。在一個實例中,當在輔具處夾持第一基板時,使第二表面平坦化以形成第一基板之具有第二預定最大表面差異之第二接合表面。
在一實施方案中,第一基板之第一接合表面包含介電質且包括一或多個導電互連件。在另一實施方案中,第二基板包含矽。
在各種實施方案中,形成微電子組裝件之方法包含:製備第一基板之第一接合表面,其包括使該第一接合表面平坦化以具有第一預定最大表面差異;及將第二基板沉積至第一接合表面上以形成輔具;及當在輔具處夾持第一基板時,加工與第一表面相對之第一基板之第二表面。在一具體實例中,該方法包括使第二表面平坦化以形成具有第二預定最大表面差異之第二接合表面。在另一具體實例中,該方法包括:使用直接介電質對介電質無黏著劑接合技術將第二基板直接接合至第一接合表面。在另一具體實例中,該方法包括移除輔具及將第一基板單體化(singulate)為複數個微電子晶粒。
參考電組件及電子組件及變化之載體來論述各種實施方案及配置。儘管提及具體組件(亦即,晶粒、晶圓、積體電路(integrated circuit;IC)晶片晶粒、基板等),但此並不意欲為限制性的,而是為了易於論述及方便說明。參考晶圓、晶粒、基板或類似者所論述之技術及裝置適用於任何類型或數目之電組件、電路(例如積體電路(IC)、混合電路、ASICS、記憶體裝置、處理器等)、組件群組、封裝式組件、結構(例如晶圓、面板、板、PCB等)及類似者,其可經耦接以彼此介接,以與外部電路、系統、載體及類似者介接。這些不同組件、電路、群組、封裝、結構及類似者中之每一者可通常稱作「微電子組件」。為簡單起見,除非另外指定,否則接合至另一組件之組件將在本文中稱作「晶粒」。
此概述並不意欲給出完整描述。在下文使用複數個實例更詳細地解釋實施方案。儘管在此處且在下文論述各種實施方案及實例,但其他實施方案及實例可藉由組合個別實施方案及實例之特徵及元件來成為可能。
概述
經圖案化金屬及氧化層常常設置於晶粒、晶圓或其他基板(在下文中稱為「晶粒」)上作為混合接合表面層或DBI®表面層。就雙側晶粒而言,具有所製備之接合表面之經圖案化金屬及氧化層可設置於晶粒之兩側上。氧化物通常與氧化物表面處或凹進為略低於該氧化物表面的金屬層(例如嵌入式導電特徵)高度平坦(通常針對奈米級粗糙度)。通常藉由尺寸公差、規格或實體限制來判定低於氧化物之凹進量。接合表面通常使用化學機械研磨(CMP)步驟及/或其他製備步驟來準備與另一晶粒、晶圓或其他基板進行直接接合
當加工厚度低於200 um之薄晶圓時,出於在不破裂之情況下處置晶圓之目的,通常將一些描述之輔具晶圓附接至裝置晶圓。當出於晶圓堆疊及接合之目的來加工晶圓之背側時尤其如此。對於許多應用,需要加工厚度只有幾微米之矽厚度的晶圓,且在高於250C之較高溫度下多次進行加工。
然而,使用黏著劑將輔具晶圓接合至裝置晶圓通常不提供使裝置晶圓精確薄化為1至10 um之矽厚度所必需的均一性,通常亦不考慮在高於250C之溫度下進行加工。黏著劑層通常過於具有壓縮性以致於無法藉由化學機械研磨(CMP)來支援充分平坦化。
本文所揭示之裝置及技術描述使用直接接合技術將輔具晶圓接合至裝置晶圓以允許在加工晶粒之接合表面時、在高於250C之溫度下加工晶粒時、為CMP平坦化提供充分支援時以及諸如此類操作之時的均一性。輔具晶圓便於在加工步驟期間處置晶粒,且在不再需要該輔具晶圓時可將其選擇性地移除。在各種實施方案中,輔具晶圓直接接合至所製備之接合表面中之一者。使用直接接合技術而非黏著劑將輔具附接至裝置晶圓意謂選擇性移除製程使所製備之接合表面保留在裝置晶圓上。
圖1至13說明用於製備各種微電子組件(諸如晶粒302)的代表性裝置及製程,該些微電子組件用於接合,諸如用於在無黏著劑之情況下之直接接合。該些製程包括:將第一接合表面(諸如第一接合表面108)設置於微電子組件(其可為裝置晶圓102或其他基板之部分)之第一表面上,其包括設置嵌入於第一接合表面中之第一導電互連特徵110或結構;將輔具(諸如輔具晶圓114)接合至所製備之第一接合表面;將第二接合表面(諸如第二接合表面202)設置於微電子組件之第二表面上,其包括設置嵌入於第二接合表面中之第二導電互連特徵110'或結構;自第一接合表面移除輔具;及藉由在接合表面處直接接合微電子組件來形成微電子組裝件,等等。
所描述製程之次序並不意欲解釋成限制,且可按任何次序組合製程中之任何數目個所描述製程區塊以實施製程或替代製程。此外,可在不脫離本文中所描述之主題之精神及範疇的情況下自製程中之任一者刪除個別區塊。此外,在不脫離本文中所描述之主題之範疇的情況下,製程可以任何合適之硬體、軟體、韌體或其組合實施。在替代實施方案中,其他技術可以各種組合包括於製程中,且仍然在本發明之範疇內。 例示性具體實例
參考圖1至3,在製程100中,代表性裝置晶圓102(例如晶圓、基板、晶粒等)可使用各種技術而形成為包括基底基板104及絕緣或介電層106。基底基板104可包含矽、鍺、玻璃、石英、介電性表面、直接或間接能隙半導體材料或層或另一合適材料。絕緣層106沉積或形成於基板104上方,且可包含無機介電材料層,諸如氧化物、氮化物、氮氧化物、碳氧化物、碳化物、碳氮化物、金剛石、金剛石類材料、玻璃、陶瓷、玻璃-陶瓷及類似者以及其組合。
如在區塊A處所展示,裝置晶圓102之接合表面108可包括導電特徵110(諸如跡線、襯墊及互連結構),其嵌入於絕緣層106中且經佈置以使得來自相對裝置之各別接合表面108之導電特徵110可視需要在接合期間配對並結合。經結合導電特徵110可形成堆疊裝置之間的連續導電互連件(用於信號、功率等)。
鑲嵌製程(或類似者)可在絕緣層106中用於形成嵌入式導電特徵110。導電特徵110可包含金屬(例如銅等)或其他導電材料或這些材料之組合,且包括結構、跡線、襯墊、圖案等等。在一些實例中,障壁層112可在沉積導電特徵110之材料之前沉積於導電特徵110之凹穴中,使得障壁層112安置於導電特徵110與絕緣層106之間。障壁層112可包含(例如)鉭或另一導電材料,以防止或減少將導電特徵110之材料擴散至絕緣層106中。
導電特徵110可嵌入於絕緣層106中以設置電及/或熱路徑,或替代地可經組態以經由使用額外襯墊或所謂虛設襯墊、跡線、圖案或類似者來平衡接合表面108之金屬化。在形成導電特徵110之後,可(例如經由CMP)使裝置晶圓102之曝露表面(其包括絕緣層106及導電特徵110)平坦化以形成平坦接合表面108。
形成接合表面108包括修整表面108以符合介電質粗糙度規格及金屬層(例如銅等)凹進規格,以製備用於直接接合之表面108。換言之,接合表面108經形成為儘可能平坦及光滑的,且具有極小表面拓樸差異。各種習知製程(諸如化學機械研磨(CMP)、乾式蝕刻或濕式蝕刻等等)可用於實現低表面粗糙度。此製程提供產生可靠接合的平坦及光滑之表面108。
在一些情況下,如圖1中所展示,導電特徵110之曝露表面可相對於接合表面108有意凹進,以允許材料膨脹,尤其係在加熱退火期間,在要對其執行加熱退火之情況下。在其他情況下,導電特徵110之曝露表面可經形成為超出凹進規格,且可突起至接合表面108之上,以允許導電特徵110在稍後加工期間之氧化。舉例而言,此可藉由選擇性蝕刻介電層106而實現。
在研磨或其他製程步驟期間,接合層108處之導電特徵110之表面可變為氧化的(或類似者),此可導致其變成不合所需規格。導電特徵110可選擇性地經蝕刻、經接觸研磨或類似者,以移除氧化物並改善後續接合及電連接,包括相對於接合表面108恢復所需凹部。
在製備之後,裝置晶圓102之第一接合表面108可接合至另一支撐晶圓114(例如「矽載體」、「輔具晶圓」或類似者)以用於製造第二(亦即,背側)接合表面202。舉例而言,添加輔具晶圓114輔助在第二側加工期間(尤其在裝置晶圓102較薄或在加工期間薄化時)處置裝置晶圓102。輔具晶圓114可包含類似於基底層104之矽基板,或類似者。具有與基底層104類似之熱膨脹係數(coefficient of thermal expansion;CTE)之輔具晶圓114可幫助控制在加工期間(尤其在加工期間對裝置進行加熱時)之翹曲。雖然在本文中對輔具晶圓進行了參考,但輔具不限於晶圓形式,諸如矽晶圓。輔具可替代地經設置為面板、晶粒或其他形式及/或材料以容納不同大小之基板104。
如在區塊A處所展示,可藉由將絕緣層118沉積於輔具晶圓114上來製備輔具晶圓114之接合表面116。絕緣層118可包含無機介電材料層,諸如氧化物、氮化物、氮氧化物、碳氧化物、碳化物、碳氮化物、金剛石、金剛石類材料、玻璃、陶瓷、玻璃-陶瓷及類似者以及其組合(例如絕緣層118可部分或完全地包含與絕緣層106相同之材料,但並非必須的)。(使用CMP或類似者)使接合表面116平坦化以獲得高度平坦之表面,以供與裝置晶圓102可靠地直接接合。在一具體實例中,接合表面108及接合表面116(例如絕緣層118(若存在))中之一者或兩者可在製備以用於接合時經電漿活化。
如在區塊B處所展示,製程100包括:在不使用黏著劑之情況下藉由將輔具114之接合表面116(若存在,位於絕緣層118上)直接接合至裝置晶圓102之接合表面108來將輔具晶圓114接合至裝置晶圓102。在一些實例中,在環境或「室溫」(例如小於90℃)條件下執行接合。在其他實例中,可對經接合組裝件進行熱退火以增強接合。所添加之犧牲輔具114為極薄主動晶粒(例如<<50 um)提供機械支撐。輔具114亦可在高溫加工(例如大約300℃持續2小時,或類似者)期間保護DBI金屬層(例如銅)。
如在區塊C處所展示,在形成並研磨第二接合表面202之前,可使基底層104薄化,且使任何矽穿孔(through silicon via;TSV)曝露並平坦化。藉由使用直接接合將輔具晶圓114附接至裝置晶圓102,可實現裝置晶圓102之極精確薄化,且可在高於250C之溫度下加工接合對。在一具體實例中,使基底層104薄化為具有小於20微米之厚度及小於3微米之總厚度差異(total thickness variation;TTV)。在其他具體實例中,基底層104之厚度及TTV可具有略微較大之大小。
參考圖2,如在區塊D處所展示,在使輔具晶圓114處於適當位置之情況下,裝置晶圓102之背側上之第二接合表面202隨後可經沉積、形成且修整以在如上文所描述之最小表面拓樸差異之情況下符合最大介電質粗糙度規格及金屬層(例如銅等)凹進規格。舉例而言,絕緣層106'可沉積於裝置晶圓102之背側上,且導電特徵110'視需要嵌入於該絕緣層中。包括絕緣層106'(及導電特徵110')之第二接合表面202在製備以用於直接接合時經平坦化。
在區塊E處,暫時載體204可例如利用暫時黏著劑206或類似者附接至第二接合表面202。
如在區塊F處所展示,隨後可使輔具晶圓114薄化並使用多種技術(包括但不限於背面研磨、化學機械研磨(CMP)、乾式蝕刻及濕式化學蝕刻或其組合)選擇性地移除該輔具晶圓。在一些情況下,可利用與基底層114(其可包含例如矽)不同之技術(例如不同化學及/或機械製程或技術)移除輔具晶圓114之絕緣(例如氧化)層118。在移除輔具晶圓114時露出第一接合表面108,其包括絕緣層106及任何導電層(諸如導電特徵110)。在各種實例中,第一接合表面108可在無進一步加工之情況下準備進行直接接合。在其他實例中,可在接合之前執行一些製備步驟(例如研磨、清洗、沖洗、活化等)。
在一些情況下,可將保護塗層208塗覆至經研磨第一接合表面108或第二接合表面202以在加工期間提供保護。在一個實例中,如在區塊F處所展示,在移除輔具晶圓114之後,可將保護塗層208塗覆至經曝露第一接合表面108。在輔具晶圓114已經蝕刻、溶解、磨掉或以其他方式自第一接合表面108移除之後,保護塗層208可保護第一接合表面108,其包括用於未來直接或混合接合步驟之絕緣層106及任何導電特徵110。在一些具體實例中,保護塗層208可包含光阻、聚合物塗層或類似者。
如在區塊G處所展示,在完成裝置晶圓102之兩側之後,可移除暫時載體204及暫時接合材料206。在區塊H處(參看圖3),經修整之雙側裝置晶圓102隨後可安裝至在切割構架中保持之切割帶304且經單體化以形成一定量的雙側晶粒302。可藉由電漿切割、鋸切切割、隱形切割或其他技術使裝置晶圓102單體化為晶粒302。視情況,在製備以用於接合時可將晶粒302轉移至夾環。在一實施方案中,晶粒302在安裝至切割構架或夾環時可經清洗(或進行其他加工)。
在一些具體實例中,在單體化之前或之後可將超過一種類型之保護層塗覆至接合表面108及/或202。舉例而言(在區塊H處所展示),第一保護層208可包含疏水性保護層,且上覆疏水層208的可為親水性保護層306。下伏疏水層208可允許在清洗晶粒302之側表面(在單體化之後)期間使用侵蝕性蝕刻化學品,且亦延長所製備之接合表面108及/或202之存放期。
上覆親水層306容納或可浸漬有在切割製程期間產生的粒子、殘渣、切割帶、黏著劑等。親水層306以及粒子及殘渣經移除。換言之,該些粒子及殘渣隨著移除親水層306而移走。在一些情況下,疏水層208可暫時保留在晶粒302上以在後續加工或儲存期間保護表面108及/或202。然而,如在區塊I處所展示,可在接合之前移除疏水層208(或任何剩餘的保護塗層)。
在區塊J處,製程100包括使用取放式裝置或類似者將單體化晶粒302堆疊並接合至所製備之主體晶粒、晶圓、基板308或類似者。製備主體基板308可包括:在基板308之表面上沉積並形成接合表面310,其包括設置導電特徵312或類似者;及形成高度平坦表面拓樸,其包含基底層104"上方之絕緣層106",如上文所描述。
在一些情況下,接合表面108及/或接合表面310可經電漿處理以增強直接接合。如在區塊K處所展示,額外晶粒302可經堆疊且接合至基板308或經堆疊且接合至先前接合之晶粒302。另外,一旦視需要堆疊且接合晶粒302,即可對組裝件300進行熱退火以進一步接合導電特徵110及312。當然,應瞭解,晶粒302可在接合至基板308之前經堆疊。此外,可在每一接合步驟之後實施熱退火,或一旦堆疊了所有晶粒及基板即可實施熱退火。
參考圖4至6,展示製程400,其中例如,裸矽犧牲輔具晶圓114可在無絕緣層118之情況下接合至裝置晶圓102。如圖4中所展示,在區塊A處,製程400包括設置裝置晶圓102(例如晶圓、基板、晶粒等),該裝置晶圓可如上文所論述一樣形成為包括基底基板104及絕緣或介電層106。
如在區塊A處所展示,裝置晶圓102之接合表面108可包括導電特徵110。鑲嵌製程(或類似者)可用於在絕緣層106中形成嵌入式導電特徵110。導電特徵110可包含金屬(例如銅等)或其他導電材料或該些材料之組合,且包括結構、跡線、襯墊、圖案等。在一些實例中,如上文所論述,障壁層112(圖中未示)可在沉積導電特徵110之材料之前沉積於導電特徵110之凹穴中,使得障壁層112安置於導電特徵110與絕緣層106之間。
在形成導電特徵110之後,可(例如經由CMP)使裝置晶圓102之曝露表面(其包括絕緣層106及導電特徵110)平坦化以形成平坦接合表面108。形成接合表面108包括修整表面108以符合介電質粗糙度規格及金屬層(例如銅等)凹進規格,以製備用於直接接合之表面108。
在製備之後,裝置晶圓102之第一接合表面108可接合至輔具晶圓114以用於製造第二(亦即,背側)接合表面202。在一實施方案中,輔具晶圓114包含矽基板或類似者。如在區塊A處所展示,(使用CMP或類似者)使輔具晶圓114之接合表面116平坦化以獲得高度平坦表面,以供與裝置晶圓102可靠地直接接合。在一具體實例中,可利用強清潔性蝕刻劑(piranha etch)(例如硫酸及過氧化氫)製備輔具晶圓114之接合表面116。另外或可替代地,輔具晶圓114之接合表面116可具有諸如可藉由熱氧化製程而非上文所描述之氧化沉積製程提供之薄氧化層。此類薄氧化層可小於10 nm。在一具體實例中,接合表面108可在製備以用於接合時經電漿活化。
如在區塊B處所展示,製程400包括在不使用黏著劑之情況下藉由將輔具114之接合表面116直接接合至裝置晶圓102之接合表面108來將輔具晶圓114接合至裝置晶圓102。在一些實例中,在環境或「室溫」(例如小於90℃)條件下執行接合。在其他實例中,可對經接合組裝件進行熱退火以增強接合。所添加之犧牲輔具114為極薄主動晶粒(例如<<50 um)提供機械支撐。輔具114亦可在高溫加工(例如大約300℃持續2小時,或類似者)期間保護DBI金屬層(例如銅)。
如在區塊C處所展示,在形成並研磨第二接合表面202之前,可使基底層104薄化,並使任何矽穿孔(TSV)曝露。藉由使用直接接合將輔具晶圓114附接至裝置晶圓102,可實現裝置晶圓102之極精確薄化,且可在高於250C之溫度下加工接合對。
參考圖5,如在區塊D處所展示,在使輔具晶圓114處於適當位置之情況下,裝置晶圓102之背側上之第二接合表面202隨後可經沉積、形成且修整以在如上文所描述之最小表面拓樸差異之情況下符合最大介電質粗糙度規格及金屬層(例如銅等)凹進規格。舉例而言,絕緣層106'可沉積於裝置晶圓102之背側上,且導電特徵110'視需要嵌入於該絕緣層中。包括絕緣層106'(及導電特徵110')之第二接合表面202在製備以用於直接接合時經平坦化。
在區塊E處,暫時載體204可例如利用暫時黏著劑206或類似者附接至第二接合表面202。
如在區塊F處所展示,隨後可使輔具晶圓114薄化並使用多種技術(包括但不限於背面研磨、接觸CMP、乾式蝕刻及濕式化學蝕刻或其組合)選擇性地移除該輔具晶圓。在一些實例中,可利用輔具晶圓114移除極薄之氧化層。舉例而言,如上文所論述,薄氧化層在其接合至第一接合表面時可為輔具晶圓114之部分。在移除輔具晶圓114時露出第一接合表面108,其包括絕緣層106及任何導電層(諸如導電特徵110)。在各種實例中,第一接合表面108可在無進一步加工(諸如CMP)之情況下準備進行直接接合。在其他實例中,可在接合之前執行一些製備步驟(例如研磨、清洗、沖洗、活化等)。
在一些情況下,如上文所描述,可將一或多個保護塗層208及/或306塗覆至經研磨第一接合表面108或第二接合表面202以在加工期間提供保護。在一個實例中,如在區塊F處所展示,在移除輔具晶圓114之後,可將保護塗層208及/或306塗覆至經曝露第一接合表面108。
如在區塊G處所展示,在完成裝置晶圓102之兩側之後,可移除暫時載體204及暫時接合材料206。在區塊H處(參看圖6),經修整之雙側裝置晶圓102可安裝至構架上之切割帶304且使用電漿切割、鋸切切割、隱形切割或其他技術來進行單體化以形成一定量的雙側晶粒302。視情況,在製備以用於接合時可將晶粒302轉移至夾環。在一實施方案中,晶粒302在安裝於在切割構架或夾環中保持之帶304上時可經清洗(或進行其他加工)。
在一些情況下,輔具晶圓114在前述製程步驟中可能尚未經移除,且其可利用薄晶圓進行分割並在此製程步驟中用於處置晶粒302。在那些情況下,可在分批製程或類似者中自多個晶粒302移除經分割輔具114。舉例而言,可使用濕式蝕刻移除輔具114,視需要可添加接合表面108之輕接觸研磨(light touch polish)。在替代具體實例中,經分割輔具114可與一些晶粒302保持接合以視需要增大晶粒302之高度或用於其他製程目的。
如上文所論述,在單體化之前或之後可將超過一種類型之保護層(例如208及/或306)塗覆至接合表面108及/或202。舉例而言,可將第一疏水性保護塗層208及上覆親水性保護塗層306以及一或多個其他保護塗層塗覆至接合表面108及/或202。如上文所論述,來自單體化之粒子及殘渣可隨著移除一或多個保護塗層(例如親水層306)而移走。在一些情況下,疏水層208可暫時保留在晶粒302上以在後續加工或儲存期間保護表面108及/或202。然而,如在區塊I處所展示,可在接合之前移除疏水層208(或任何剩餘的保護塗層)。
在區塊J處,製程100包括使用取放式裝置或類似者將單體化晶粒302堆疊並接合至所製備之主體晶粒、晶圓、基板308或類似者。製備主體基板308可包括:在基板308之表面上沉積並形成接合表面310,其包括設置導電特徵312或類似者;及形成高度平坦表面拓樸,其包含基底層104"上方之絕緣層106",如上文所描述。
在一些情況下,接合表面108及/或接合表面310可經電漿處理以增強直接接合。如在區塊K處所展示,額外晶粒302可經堆疊且接合至基板308或經堆疊且接合至先前接合之晶粒302。另外,一旦視需要堆疊且接合晶粒302,即可對組裝件300進行熱退火以進一步接合導電特徵110及312。
將裸矽晶圓用作輔具114之優點對於熟習此項技術者來說將顯而易見。舉例而言,輔具晶圓114可直接接合至準備進行直接接合之表面,諸如氧化表面或DBI®(例如混合)表面。在利用製程(諸如但不限於背面研磨、CMP、乾式蝕刻或濕式化學蝕刻或其組合)之組合移除輔具晶圓114時,可藉由具有高度選擇性之各種技術將輔具晶圓114完全移除,此係由於僅涉及一種材料(例如矽)。
如所論述,裸矽輔具晶圓114可尤其適用於製備及處置用於接合製程之薄晶粒302。舉例而言,準備進行DBI®(例如混合)接合之裝置晶圓102可直接接合至裸矽輔具晶圓114,且隨後經薄化至低於例如10 um之主動矽厚度。可在裝置晶圓102之背側上加工此接合晶圓對以製備用於接合之第二DBI®(例如混合)表面。
視需要,裝置晶圓102之切割道區域可經圖案化並向下蝕刻至輔具晶圓114或蝕刻至該輔具晶圓中以獲得用於接合之均勻光滑之晶粒302邊緣。隨後可使輔具晶圓114薄化至所需厚度以便進行接合晶粒302所需之切割及處置。在晶粒302已接合至第二裝置晶圓308(或類似者)之後,可藉由例如濕式化學蝕刻來選擇性地移除輔具114。可選擇濕式蝕刻,例如TMAH,其在矽、氧化物、銅與障壁金屬之間具有極高之選擇性,使得可在不損壞接合表面108或202之情況下移除矽輔具114。必要時,可在蝕刻以光滑晶粒302之表面108及/或202之後採用輕CMP製程以便接合第二晶粒302。可重複此製程以獲得裝置晶圓308(或類似者)上之裝置晶粒302之堆疊。
在無黏著劑之情況下使用直接接合技術將具有氧化層118之輔具晶圓114或裸矽輔具晶圓114接合至裝置晶圓102的一些優點包括:輔具114適應裝置晶圓102之精準薄化;輔具114適應裝置晶圓102之高溫加工;與經黏著劑接合之輔具晶圓相比,輔具114在輔具114與裝置晶圓102之間提供更佳熱傳導;輔具114適應用於加工之晶圓102之一般處置(相較於可能需要特殊處置之透明輔具晶圓);及輔具114提供潔淨且沒有含可移動離子之材料或其他污染物(諸如具有玻璃晶圓)之輔具技術。
參考圖7至9,展示製程700,其中例如,裸矽犧牲輔具晶圓114可在無絕緣層118之情況下接合至裝置晶圓102。在一實施方案中,裝置晶圓102可在一些製程步驟中安裝至製程夾盤(process chuck)。如圖7中所展示,在區塊A處,製程700包括設置裝置晶圓102(例如晶圓、基板、晶粒等),其可如上文所論述經形成為包括基底基板104及絕緣或介電層106。
如在區塊A處所展示,裝置晶圓102之接合表面108可包括如上文所論述之導電特徵110,該接合表面亦可包括導電特徵110與絕緣層106之間之障壁層112(圖中未示)。
在製備接合表面108之後,裝置晶圓102之第一接合表面108可接合至輔具晶圓114(例如裸矽晶圓、具有由電漿或濕式化學製程形成之薄熱氧化物或薄氧化物之矽或類似者)以用於製造第二(亦即,背側)接合表面202。在一實施方案中,(使用CMP或類似者)使輔具晶圓114平坦化以獲得高度平坦之表面,且可利用強清潔性蝕刻劑(舉例而言,例如硫酸及過氧化氫)製備該輔具晶圓。在一具體實例中,接合表面108可在製備以用於接合時經電漿活化。
如在區塊B處所展示,製程700包括在不使用黏著劑之情況下藉由將輔具114之接合表面116直接接合至裝置晶圓102之接合表面108來將輔具晶圓114接合至裝置晶圓102。在一些實例中,在環境或「室溫」(例如小於90℃)條件下執行接合。在其他實例中,可對經接合組裝件進行熱退火以增強接合。
如在區塊C處所展示,在形成並研磨第二接合表面202之前,可使基底層104薄化,且使任何矽穿孔(TSV)曝露並平坦化。藉由使用直接接合將輔具晶圓114附接至裝置晶圓102,可實現裝置晶圓102之極精確薄化,且可在高於250C之溫度下加工接合對。
參考圖8,如在區塊D處所展示,在使輔具晶圓114處於適當位置之情況下,裝置晶圓102之背側上之第二接合表面202隨後可經沉積、形成且修整以在如上文所描述之最小表面拓樸差異之情況下符合最大介電質粗糙度規格及金屬層(例如銅等)凹進規格。舉例而言,絕緣層106'可沉積於裝置晶圓102之背側上,且導電特徵110'視需要嵌入於該絕緣層中。包括絕緣層106'(及導電特徵110')之第二接合表面202在製備以用於直接接合時經平坦化。
在區塊E處,裝置晶圓102可安裝至製程夾盤802(或類似者),諸如真空夾盤或靜電夾盤。
如在區塊F處所展示,隨後可使輔具晶圓114薄化並使用多種技術(包括但不限於背面研磨、接觸CMP、乾式蝕刻及濕式化學蝕刻或其組合)選擇性地移除該輔具晶圓。在移除輔具晶圓114時露出第一接合表面108,其包括絕緣層106及任何導電層(諸如導電特徵110)。在各種實例中,在剩餘之較少量氧化物可在所需粗糙度規格內時,第一接合表面108可在無進一步加工之情況下準備進行直接接合。在其他實例中,可在接合之前執行一些製備步驟(例如研磨、清洗、沖洗、活化等)。
在一些情況下,如上文所描述,可將一或多個保護塗層208及/或306塗覆至經研磨第一接合表面108或第二接合表面202以在加工期間提供保護。在一個實例中,參考區塊F,在移除輔具晶圓114之後,可將保護塗層208及/或306(圖中未示)塗覆至經曝露第一接合表面108。
如在區塊G處所展示,在完成裝置晶圓102之兩側時且(參看圖9,在區塊H處)在經修整之雙側裝置晶圓102安裝至在切割構架中保持之切割帶304時,自製程夾盤802釋放裝置晶圓102。晶圓隨後使用電漿切割、鋸切切割、隱形切割或其他技術來進行單體化以形成一定量的雙側晶粒302。視情況,在製備以用於接合時可將晶粒302轉移至在夾環中保持之切割帶。在一實施方案中,晶粒302在安裝至在切割構架或夾環中保持之切割帶304時可經清洗(或進行其他加工)。
在一些情況下,輔具晶圓114在前述製程步驟中可能尚未經移除,且其可在此製程步驟中用於處置晶粒302。在那些情況下,可在分批製程或類似者中自多個晶粒302移除輔具114。舉例而言,可使用濕式蝕刻移除輔具114,其中視需要可添加接合表面108之輕接觸研磨。
如上文所論述,在單體化之前或之後可將超過一種類型之保護層(例如208及/或306)塗覆至接合表面108及/或202。舉例而言,可將第一疏水性保護塗層208及上覆親水性保護塗層306以及一或多個其他保護塗層塗覆至接合表面108及/或202。如上文所論述,來自單體化之粒子及殘渣可隨著移除一或多個保護塗層(例如親水層306)而移走。在一些情況下,疏水層208可暫時保留在晶粒302上以在後續加工或儲存期間保護表面108及/或202。然而,如在區塊I處所展示,可在接合之前移除疏水層208(或任何剩餘的保護塗層)。
在區塊J處,製程100包括使用取放式裝置或類似者將單體化晶粒302堆疊並接合至所製備之主體晶粒、晶圓、基板308或類似者。製備主體基板308可包括:在基板308之表面上沉積並形成接合表面310,其包括設置導電特徵312或類似者;及形成高度平坦表面拓樸,其包含基底層104"上方之絕緣層106",如上文所描述。
在一些情況下,接合表面108及/或接合表面310可經電漿處理以增強直接接合。如在區塊K處所展示,額外晶粒302可經堆疊且接合至基板308或經堆疊且接合至先前接合之晶粒302。另外,一旦視需要堆疊且接合晶粒302,即可對組裝件300進行熱退火以進一步接合導電特徵110及312。
參考圖10至12,展示簡化製程1000,其中例如,裸矽犧牲輔具晶圓114在無絕緣層118之情況下接合至裝置晶圓102。在一實施方案中,無額外暫時輔具或載體與裝置晶圓102一起使用。如圖10中所展示,在區塊A處,製程1000包括設置裝置晶圓102(例如晶圓、基板、晶粒等),其可如上文所論述經形成為包括基底基板104及絕緣或介電層106。
如在區塊A處所展示,裝置晶圓102之接合表面108可包括如上文所論述之導電特徵110,該接合表面亦可包括導電特徵110與絕緣層106之間之障壁層112(圖中未示)。
在製備接合表面108之後,裝置晶圓102之第一接合表面108可接合至輔具晶圓114(例如裸矽晶圓或類似者)以用於製造第二(亦即,背側)接合表面202。在一實施方案中,(使用CMP或類似者)使輔具晶圓114平坦化以獲得高度平坦之表面,且可利用強清潔性蝕刻劑(舉例而言,例如硫酸及過氧化氫)製備該輔具晶圓。另外或可替代地,輔具晶圓114之接合表面116可具有諸如可藉由熱氧化製程而非上文所描述之氧化沉積製程提供之薄氧化層。在一具體實例中,接合表面108可在製備以用於接合時被電漿活化。
如在區塊B處所展示,製程1000包括在不使用黏著劑之情況下藉由將輔具114之接合表面116直接接合至裝置晶圓102之接合表面108來將輔具晶圓114接合至裝置晶圓102。在一些實例中,在環境或「室溫」(例如小於90℃)條件下執行接合。在其他實例中,可對經接合組裝件進行熱退火以增強接合。
如在區塊C處所展示,在形成並研磨第二接合表面202之前,可使基底層104薄化,且使任何矽穿孔(TSV)曝露並平坦化。藉由使用直接接合將輔具晶圓114附接至裝置晶圓102,可實現裝置晶圓102之極精確薄化,且可在高於250C之溫度下加工接合對。
參考圖11,如在區塊D處所展示,在使輔具晶圓114處於適當位置之情況下,裝置晶圓102之背側上之第二接合表面202隨後可經沉積、形成且修整以在如上文所描述之最小表面拓樸差異之情況下符合最大介電質粗糙度規格及金屬層(例如銅等)凹進規格。舉例而言,絕緣層106'可沉積於裝置晶圓102之背側上,且導電特徵110'視需要嵌入於該絕緣層中。包括絕緣層106'(及導電特徵110')之第二接合表面202在製備以用於直接接合時經平坦化。
在區塊E處,可視需要使矽輔具晶圓114薄化。舉例而言,若輔具114意欲與單體化晶粒302保持接合,則在製程1000中之此步驟中或另一步驟中可使輔具晶圓114薄化至所需高度。在一些情況下,如上文所描述,可將一或多個保護塗層208及/或306塗覆至經研磨第二接合表面202以在加工期間提供保護。
如在區塊F處所展示,裝置晶圓102與輔具晶圓114向下倒置且附接至在切割構架中保持之切割帶304以用於單體化,且在製備以用於接合時可將晶粒302轉移至在夾環中保持之切割帶。在一實施方案中,晶粒302在安裝至在切割構架或夾環中保持之切割帶304時可經清洗(或進行其他加工)。
如上文所論述,在單體化之前或之後可將超過一種類型之保護層(例如208及/或306)塗覆至接合表面108及/或202。舉例而言,可將第一疏水性保護塗層208及上覆親水性保護塗層306以及一或多個其他保護塗層塗覆至接合表面108及/或202。如上文所論述,來自單體化之粒子及殘渣可隨著移除一或多個保護塗層(例如親水層306)而移走。在一些情況下,疏水層208可暫時保留在晶粒302上以在後續加工或儲存期間保護表面108及/或202。然而,如在區塊G處所展示,可在接合之前移除疏水層208(或任何剩餘的保護塗層)。
在區塊H處,製程1000包括使用取放式裝置或類似者將單體化晶粒302堆疊並接合至所製備之主體晶粒、晶圓、基板308或類似者。製備主體基板308可包括:在基板308之表面上沉積並形成接合表面310,其包括設置導電特徵312或類似者;及形成高度平坦表面拓樸,其包含基底層104"上方之絕緣層106",如上文所描述。在一些情況下,接合表面108及/或接合表面310可經電漿處理以增強直接接合。
如在區塊H處所展示,例如在分批製程中可使用濕式蝕刻自經堆疊及接合之晶粒302移除輔具114。在一些實例中,可利用輔具114移除極薄之氧化層。舉例而言,如上文所論述,薄氧化層(<10 nm)在其接合至第一接合表面時可為輔具晶圓114之部分。可視需要執行接合表面108之輕接觸研磨(例如CMP)。如在區塊I處所展示,額外晶粒302可經堆疊且接合至基板308或經堆疊且接合至先前接合之晶粒302。在接合之後(視需要,在分批製程中),可自額外堆疊晶粒302中之每一者移除輔具114。替代地,一些輔具114可與一些晶粒302保持接合(例如未自一些晶粒302移除)以例如視需要增大晶粒302之高度。另外,一旦視需要堆疊且接合晶粒302,即可對組裝件300進行熱退火以進一步接合導電特徵110及312。
參考圖13,展示實例微電子組裝件300,其可使用上文所描述之製程100、400、700、1000中之一或多者或另一製程來形成。在一具體實例中,如在製程1000之區塊H處所描述,堆疊且接合多個晶粒302(例如晶粒302A至302N)。在各晶粒302接合至前述晶粒302(或接合至基板308)之後,可在接合後續晶粒302之前自接合晶粒302移除輔具114。在各種具體實例中,若適用,除其他移除技術之外,亦可使用選擇性濕式化學蝕刻或類似者來移除輔具114。
在一實施方案中,使用選擇性濕式蝕刻化學物質移除輔具114亦可使組裝件300之其他部分曝露於化學物質。舉例而言,晶粒302中之一或多者之基底層104及絕緣層106可在輔具114移除步驟期間曝露於蝕刻化學物質。當使這些層(104及106)曝露於化學蝕刻時,選擇性濕式蝕刻化學物質可將基底層104之材料(例如矽)蝕刻至比絕緣層106高得多的程度(在其完全蝕刻絕緣層106之情況下)。此可產生晶粒302(其包括先前已堆疊並接合至組裝件300之晶粒302)之基底層104之凹進1302。因此,在堆疊中位於較低層之晶粒302由於更大程度地曝露於化學蝕刻而可具有更大凹進1302。
舉例而言,如圖13中所展示,晶粒302A首先接合至主體基板308,且在接合之後使用化學蝕刻移除晶粒302A之輔具114。晶粒302A之基底層104可在移除步驟期間曝露於選擇性化學蝕刻,從而產生基底層104之一些凹進1302。晶粒302A之絕緣層106亦可曝露於選擇性化學蝕刻,但可因化學蝕刻之選擇性而經受極小凹進或不經受凹進。此可使基底層104之凹進1302在視覺上更易於覺察到。
一旦自晶粒302A移除輔具114且頂部接合表面108經曝露並準備進行接合,晶粒302B即接合至晶粒302A。該堆疊在移除晶粒302B之輔具114期間再次曝露於選擇性化學蝕刻,從而產生晶粒302B之基底層104的一些凹進1302及晶粒302A之基底層104的較大凹進1302。晶粒302A及302B之絕緣層106因化學蝕刻之選擇性而經受極小甚至不凹進。
在將每一後續晶粒302C至302N添加至堆疊時,移除每一晶粒之輔具114,且堆疊曝露於選擇性蝕刻化學物質。組裝件300上之所產生之不均勻輪廓標記可以如圖13中所示出之形式顯現,其中許多或所有基底層104已經受一些凹進1302(例如基底層104之至少一部分具有比絕緣層106窄之寬度),而絕緣層106經受極小甚至不經受凹進。此外,與組裝件300之上部晶粒302(諸如晶粒302N)相比,下部晶粒302(諸如晶粒302A)可展現更大程度之凹進1302。
此產生尖突狀且不持續或均勻之輪廓邊緣,但其中與晶粒之堆疊之相對端處的晶粒302(諸如晶粒302A)之基底層104之最窄寬度(a)相比,晶粒302之堆疊之第一端處的晶粒302(諸如晶粒302N)之基底層104之最窄寬度(n)具有更大尺寸。舉例而言,接合晶粒302之堆疊之每一晶粒302的基底層104之最窄寬度具有自堆疊之第一端(寬度=n)至堆疊之相對端(寬度=a)之愈來愈小之尺寸(n、c、b、a)。而晶粒302中之每一者之絕緣層106的尺寸(w)實質上恆定,且大於具有最窄寬度之最大尺寸的基底層104之最窄寬度(n)。
在各種具體實例中,相較於本文中所描述之製程步驟,可修改或消除一些製程步驟。
本文中所描述之技術、組件及裝置不限於圖1至13之說明,且可在不脫離本發明之範疇的情況下應用於包括其他電組件之其他設計、類型、配置及構造。在一些情況下,額外或替代組件、技術、序列或製程可用於實施本文中所描述之技術。另外,組件及/或技術可以各種組合配置及/或組合,同時產生類似或大致相同之結果。 結論
儘管已以特定於結構特徵及/或方法動作之語言描述本發明之實施方案,但應理解,實施方案不一定限於所描述之具體特徵或動作。確切而言,將具體特徵及動作揭示為實施實例裝置及技術之代表性形式。
100:製程 102:裝置晶圓 104:基底基板 104'':基底層 106:絕緣或介電層 106':絕緣層 106'':絕緣層 108:第一接合表面 110:第一導電互連特徵 110':第二導電互連特徵 112:障壁層 114:輔具晶圓 116:接合表面 118:絕緣層 202:第二接合表面 204:暫時載體 206:暫時黏著劑 208:第一保護層 300:微電子組裝件 302:晶粒 302A至302N:晶粒 304:切割帶 306:上覆親水層 308:主體基板 310:接合表面 312:導電特徵 400:製程 700:製程 802:製程夾盤 1000:簡化製程 1302:凹進 A:區塊 a:最窄寬度 B:區塊 b:尺寸 C:區塊 c:尺寸 D:區塊 E:區塊 F:區塊 J:區塊 H:區塊 I:區塊 J:區塊 K:區塊 n:最窄寬度 w:尺寸
參考隨附圖式闡述詳細描述。在圖式中,元件符號之一或多個最左側數字識別首次出現該元件符號之圖式。在不同圖式中使用相同元件符號指示類似或相同物件。 對於此論述,圖式中所說明之裝置及系統展示為具有大量組件。如本文中所描述,裝置及/或系統之各種實施方案可包括更少組件且仍然在本發明之範疇內。替代地,裝置及/或系統之其他實施方案可包括額外組件或所描述組件之各種組合,且仍然在本發明之範疇內。 [圖1至3]展示說明根據具體實例之在製備用於接合之晶粒時使用輔具晶圓的實例製程的實例圖形流程圖。 [圖4至6]展示說明根據具體實例之在製備用於接合之晶粒時使用輔具晶圓的另一實例製程的實例圖形流程圖。 [圖7至9]展示說明根據具體實例之在製備用於接合之晶粒時使用輔具晶圓的額外實例製程的實例圖形流程圖。 [圖10至12]展示說明根據具體實例之在製備用於接合之晶粒時使用輔具晶圓的又一實例製程的實例圖形流程圖。 [圖13]說明根據具體實例之包含多個經堆疊及接合之微電子元件的實例微電子組裝件。
104:基底基板
104":基底層
106:絕緣或介電層
106":絕緣層
108:第一接合表面
300:微電子組裝件
302A:晶粒
302B至302N:晶粒
308:主體基板
1302:凹進
a:最窄寬度
b:尺寸
c:尺寸
n:最窄寬度
w:尺寸

Claims (1)

  1. 一種形成微電子組裝件之方法,其包含: 製備第一基板之第一接合表面,該第一接合表面包含介電質且包括一或多個導電互連件; 使用直接介電質對介電質無黏著劑技術將第二基板直接接合至該第一接合表面; 當在輔具處支撐該第一基板時,該輔具接合至該第一基板的該第一接合表面,使與該第一接合表面相對之該第一基板之第二表面平坦化; 將該第一基板單體化為複數個微電子晶粒;以及 移除該輔具。
TW112124035A 2018-04-20 2019-04-19 用於簡化的輔具晶圓的dbi至矽接合 TW202343661A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862660509P 2018-04-20 2018-04-20
US62/660,509 2018-04-20
US16/386,261 US10964664B2 (en) 2018-04-20 2019-04-17 DBI to Si bonding for simplified handle wafer
US16/386,261 2019-04-17

Publications (1)

Publication Number Publication Date
TW202343661A true TW202343661A (zh) 2023-11-01

Family

ID=68238309

Family Applications (2)

Application Number Title Priority Date Filing Date
TW112124035A TW202343661A (zh) 2018-04-20 2019-04-19 用於簡化的輔具晶圓的dbi至矽接合
TW108113828A TWI809092B (zh) 2018-04-20 2019-04-19 用於簡化的輔具晶圓的dbi至矽接合

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW108113828A TWI809092B (zh) 2018-04-20 2019-04-19 用於簡化的輔具晶圓的dbi至矽接合

Country Status (4)

Country Link
US (2) US10964664B2 (zh)
CN (1) CN112020763B (zh)
TW (2) TW202343661A (zh)
WO (1) WO2019204532A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20240071915A1 (en) * 2020-05-19 2024-02-29 Adeia Semiconductor Bonding Technologies Inc. Laterally unconfined structure

Families Citing this family (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
US10719762B2 (en) 2017-08-03 2020-07-21 Xcelsis Corporation Three dimensional chip structure implementing machine trained network
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
US11626363B2 (en) 2016-12-29 2023-04-11 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
WO2018169968A1 (en) 2017-03-16 2018-09-20 Invensas Corporation Direct-bonded led arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
KR20210009426A (ko) 2018-06-13 2021-01-26 인벤사스 본딩 테크놀로지스 인코포레이티드 패드로서의 tsv
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
US11664357B2 (en) 2018-07-03 2023-05-30 Adeia Semiconductor Bonding Technologies Inc. Techniques for joining dissimilar materials in microelectronics
WO2020010136A1 (en) 2018-07-06 2020-01-09 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
US11462419B2 (en) 2018-07-06 2022-10-04 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US20200075533A1 (en) 2018-08-29 2020-03-05 Invensas Bonding Technologies, Inc. Bond enhancement in microelectronics by trapping contaminants and arresting cracks during direct-bonding processes
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
WO2020150159A1 (en) 2019-01-14 2020-07-23 Invensas Bonding Technologies, Inc. Bonded structures
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11562982B2 (en) * 2019-04-29 2023-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit packages and methods of forming the same
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
US11842894B2 (en) 2019-12-23 2023-12-12 Adeia Semiconductor Bonding Technologies Inc. Electrical redundancy for bonded structures
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
KR20220040537A (ko) 2020-09-23 2022-03-31 삼성전자주식회사 반도체 패키지
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
KR20230164716A (ko) * 2021-03-31 2023-12-04 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 직접 결합 방법 및 구조
US20230360950A1 (en) * 2022-05-05 2023-11-09 Adeia Semiconductor Bonding Technologies Inc. Gang-flipping of dies prior to bonding

Family Cites Families (246)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5034343A (en) 1990-03-08 1991-07-23 Harris Corporation Manufacturing ultra-thin wafer using a handle wafer
FR2681472B1 (fr) 1991-09-18 1993-10-29 Commissariat Energie Atomique Procede de fabrication de films minces de materiau semiconducteur.
DE69429848T2 (de) 1993-11-01 2002-09-26 Matsushita Electric Ind Co Ltd Elektronische Anordnung und Verfahren zur Herstellung
KR960009074A (ko) 1994-08-29 1996-03-22 모리시다 요이치 반도체 장치 및 그 제조방법
DE19640594B4 (de) 1996-10-01 2016-08-04 Osram Gmbh Bauelement
US5985742A (en) 1997-05-12 1999-11-16 Silicon Genesis Corporation Controlled cleavage process and device for patterned films
US6097096A (en) 1997-07-11 2000-08-01 Advanced Micro Devices Metal attachment method and structure for attaching substrates at low temperatures
FR2773261B1 (fr) 1997-12-30 2000-01-28 Commissariat Energie Atomique Procede pour le transfert d'un film mince comportant une etape de creation d'inclusions
JP3532788B2 (ja) 1999-04-13 2004-05-31 唯知 須賀 半導体装置及びその製造方法
JP2001094005A (ja) 1999-09-22 2001-04-06 Oki Electric Ind Co Ltd 半導体装置及び半導体装置の製造方法
US6984571B1 (en) 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
JP3440057B2 (ja) 2000-07-05 2003-08-25 唯知 須賀 半導体装置およびその製造方法
US6423640B1 (en) 2000-08-09 2002-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Headless CMP process for oxide planarization
TW522531B (en) 2000-10-20 2003-03-01 Matsushita Electric Ind Co Ltd Semiconductor device, method of manufacturing the device and mehtod of mounting the device
FR2823596B1 (fr) 2001-04-13 2004-08-20 Commissariat Energie Atomique Substrat ou structure demontable et procede de realisation
JP2002353416A (ja) 2001-05-25 2002-12-06 Sony Corp 半導体記憶装置およびその製造方法
US6887769B2 (en) 2002-02-06 2005-05-03 Intel Corporation Dielectric recess for wafer-to-wafer and die-to-die metal bonding and method of fabricating the same
US6762076B2 (en) 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US7105980B2 (en) 2002-07-03 2006-09-12 Sawtek, Inc. Saw filter device and method employing normal temperature bonding for producing desirable filter production and performance characteristics
JP4083502B2 (ja) 2002-08-19 2008-04-30 株式会社フジミインコーポレーテッド 研磨方法及びそれに用いられる研磨用組成物
US7023093B2 (en) 2002-10-24 2006-04-04 International Business Machines Corporation Very low effective dielectric constant interconnect Structures and methods for fabricating the same
US6962835B2 (en) 2003-02-07 2005-11-08 Ziptronix, Inc. Method for room temperature metal direct bonding
US6908027B2 (en) 2003-03-31 2005-06-21 Intel Corporation Complete device layer transfer without edge exclusion via direct wafer bonding and constrained bond-strengthening process
US7109092B2 (en) * 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
US6911375B2 (en) * 2003-06-02 2005-06-28 International Business Machines Corporation Method of fabricating silicon devices on sapphire with wafer bonding at low temperature
US6992371B2 (en) 2003-10-09 2006-01-31 Freescale Semiconductor, Inc. Device including an amorphous carbon layer for improved adhesion of organic layers and method of fabrication
US20050082526A1 (en) 2003-10-15 2005-04-21 International Business Machines Corporation Techniques for layer transfer processing
US6867073B1 (en) 2003-10-21 2005-03-15 Ziptronix, Inc. Single mask via method and device
JP4405246B2 (ja) 2003-11-27 2010-01-27 スリーエム イノベイティブ プロパティズ カンパニー 半導体チップの製造方法
US7226812B2 (en) 2004-03-31 2007-06-05 Intel Corporation Wafer support and release in wafer processing
US20060057945A1 (en) 2004-09-16 2006-03-16 Chia-Lin Hsu Chemical mechanical polishing process
US7462552B2 (en) 2005-05-23 2008-12-09 Ziptronix, Inc. Method of detachable direct bonding at low temperatures
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US7193423B1 (en) 2005-12-12 2007-03-20 International Business Machines Corporation Wafer-to-wafer alignments
GB2454603B (en) 2006-02-24 2010-05-05 Wolfson Microelectronics Plc Mems device
US7750488B2 (en) 2006-07-10 2010-07-06 Tezzaron Semiconductor, Inc. Method for bonding wafers to produce stacked integrated circuits
US20080014532A1 (en) 2006-07-14 2008-01-17 3M Innovative Properties Company Laminate body, and method for manufacturing thin substrate using the laminate body
US7795113B2 (en) 2006-12-21 2010-09-14 Imec Method for bonding a die or substrate to a carrier
US7910458B2 (en) 2007-01-29 2011-03-22 Silicon Genesis Corporation Method and structure using selected implant angles using a linear accelerator process for manufacture of free standing films of materials
US7803693B2 (en) 2007-02-15 2010-09-28 John Trezza Bowed wafer hybridization compensation
EP2091070A1 (en) * 2008-02-13 2009-08-19 S.O.I. TEC Silicon Semiconductor substrate surface preparation method
US8349635B1 (en) 2008-05-20 2013-01-08 Silicon Laboratories Inc. Encapsulated MEMS device and method to form the same
US9893004B2 (en) 2011-07-27 2018-02-13 Broadpak Corporation Semiconductor interposer integration
US7867876B2 (en) 2008-12-23 2011-01-11 International Business Machines Corporation Method of thinning a semiconductor substrate
US8476165B2 (en) 2009-04-01 2013-07-02 Tokyo Electron Limited Method for thinning a bonding wafer
FR2950734B1 (fr) 2009-09-28 2011-12-09 Soitec Silicon On Insulator Procede de collage et de transfert d'une couche
US8482132B2 (en) 2009-10-08 2013-07-09 International Business Machines Corporation Pad bonding employing a self-aligned plated liner for adhesion enhancement
JP5517800B2 (ja) 2010-07-09 2014-06-11 キヤノン株式会社 固体撮像装置用の部材および固体撮像装置の製造方法
US8461017B2 (en) 2010-07-19 2013-06-11 Soitec Methods of forming bonded semiconductor structures using a temporary carrier having a weakened ion implant region for subsequent separation along the weakened region
FR2966283B1 (fr) 2010-10-14 2012-11-30 Soi Tec Silicon On Insulator Tech Sa Procede pour realiser une structure de collage
US8377798B2 (en) 2010-11-10 2013-02-19 Taiwan Semiconductor Manufacturing Co., Ltd Method and structure for wafer to wafer bonding in semiconductor packaging
US8620164B2 (en) 2011-01-20 2013-12-31 Intel Corporation Hybrid III-V silicon laser formed by direct bonding
US8796116B2 (en) 2011-01-31 2014-08-05 Sunedison Semiconductor Limited Methods for reducing the metal content in the device layer of SOI structures and SOI structures produced by such methods
US8716105B2 (en) 2011-03-31 2014-05-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures and intermediate structures formed using such methods
US8501537B2 (en) 2011-03-31 2013-08-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures formed using such methods
KR102574526B1 (ko) 2011-05-24 2023-09-07 소니그룹주식회사 반도체 장치
US20130137244A1 (en) 2011-05-26 2013-05-30 Solexel, Inc. Method and apparatus for reconditioning a carrier wafer for reuse
JP5982748B2 (ja) 2011-08-01 2016-08-31 ソニー株式会社 半導体装置、半導体装置の製造方法、および電子機器
US8697493B2 (en) 2011-07-18 2014-04-15 Soitec Bonding surfaces for direct bonding of semiconductor structures
US8441131B2 (en) 2011-09-12 2013-05-14 Globalfoundries Inc. Strain-compensating fill patterns for controlling semiconductor chip package interactions
JP2015516672A (ja) 2012-02-26 2015-06-11 ソレクセル、インコーポレイテッド レーザ分割及び装置層移設のためのシステム及び方法
CN103377911B (zh) 2012-04-16 2016-09-21 中国科学院微电子研究所 提高化学机械平坦化工艺均匀性的方法
US9142517B2 (en) 2012-06-05 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding mechanisms for semiconductor wafers
US8809123B2 (en) 2012-06-05 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Three dimensional integrated circuit structures and hybrid bonding methods for semiconductor wafers
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
JP5685567B2 (ja) 2012-09-28 2015-03-18 株式会社東芝 表示装置の製造方法
US8987057B2 (en) 2012-10-01 2015-03-24 Nxp B.V. Encapsulated wafer-level chip scale (WLSCP) pedestal packaging
US10086584B2 (en) 2012-12-13 2018-10-02 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
US20140175655A1 (en) 2012-12-22 2014-06-26 Industrial Technology Research Institute Chip bonding structure and manufacturing method thereof
KR102075635B1 (ko) 2013-01-03 2020-03-02 삼성전자주식회사 웨이퍼 지지 구조물, 웨이퍼 지지 구조물을 포함하는 반도체 패키지의 중간 구조물, 및 중간 구조물을 이용한 반도체 패키지의 제조 방법
KR102077248B1 (ko) 2013-01-25 2020-02-13 삼성전자주식회사 기판 가공 방법
US8946784B2 (en) 2013-02-18 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for image sensor packaging
US8802538B1 (en) 2013-03-15 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for hybrid wafer bonding
US9450115B2 (en) 2013-03-15 2016-09-20 First Solar, Inc. Method of manufacturing a photovoltaic device
US9443796B2 (en) 2013-03-15 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Air trench in packages incorporating hybrid bonding
US9064937B2 (en) 2013-05-30 2015-06-23 International Business Machines Corporation Substrate bonding with diffusion barrier structures
US9929050B2 (en) 2013-07-16 2018-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming three-dimensional integrated circuit (3DIC) stacking structure
JP6330151B2 (ja) 2013-09-17 2018-05-30 パナソニックIpマネジメント株式会社 半導体装置及びその製造方法
US9723716B2 (en) 2013-09-27 2017-08-01 Infineon Technologies Ag Contact pad structure, an electronic component, and a method for manufacturing a contact pad structure
US9257399B2 (en) 2013-10-17 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. 3D integrated circuit and methods of forming the same
JP2015115446A (ja) 2013-12-11 2015-06-22 株式会社東芝 半導体装置の製造方法
US9437572B2 (en) 2013-12-18 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive pad structure for hybrid bonding and methods of forming same
KR102239643B1 (ko) 2013-12-26 2021-04-12 쇼와덴코머티리얼즈가부시끼가이샤 가고정용 필름, 가고정용 필름 시트 및 반도체 장치
KR102353030B1 (ko) 2014-01-27 2022-01-19 코닝 인코포레이티드 얇은 시트와 캐리어의 제어된 결합을 위한 물품 및 방법
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US10157766B2 (en) * 2014-03-19 2018-12-18 Samsung Electronics Co., Ltd. Method of fabricating a semiconductor device
US9230941B2 (en) 2014-03-28 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structure for stacked semiconductor devices
US9299736B2 (en) 2014-03-28 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding with uniform pattern density
US9472458B2 (en) 2014-06-04 2016-10-18 Semiconductor Components Industries, Llc Method of reducing residual contamination in singulated semiconductor die
US9786643B2 (en) 2014-07-08 2017-10-10 Micron Technology, Inc. Semiconductor devices comprising protected side surfaces and related methods
KR102275705B1 (ko) 2014-07-11 2021-07-09 삼성전자주식회사 웨이퍼 대 웨이퍼 접합 구조
US9536848B2 (en) 2014-10-16 2017-01-03 Globalfoundries Inc. Bond pad structure for low temperature flip chip bonding
US9394161B2 (en) 2014-11-14 2016-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. MEMS and CMOS integration with low-temperature bonding
KR102327141B1 (ko) 2014-11-19 2021-11-16 삼성전자주식회사 프리패키지 및 이를 사용한 반도체 패키지의 제조 방법
KR20160067517A (ko) 2014-12-04 2016-06-14 삼성전자주식회사 반도체 소자의 제조방법
US11069734B2 (en) 2014-12-11 2021-07-20 Invensas Corporation Image sensor device
JP2016146395A (ja) 2015-02-06 2016-08-12 株式会社テラプローブ 半導体装置の製造方法及び半導体装置
KR102004195B1 (ko) 2015-03-23 2019-07-26 후지필름 가부시키가이샤 키트 및 적층체
US20160343685A1 (en) 2015-05-21 2016-11-24 Mediatek Inc. Semiconductor package assembly and method for forming the same
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US9656852B2 (en) 2015-07-06 2017-05-23 Taiwan Semiconductor Manufacturing Company Ltd. CMOS-MEMS device structure, bonding mesa structure and associated method
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US10075657B2 (en) 2015-07-21 2018-09-11 Fermi Research Alliance, Llc Edgeless large area camera system
US9728521B2 (en) 2015-07-23 2017-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bond using a copper alloy for yield improvement
US9559081B1 (en) 2015-08-21 2017-01-31 Apple Inc. Independent 3D stacking
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
JP2017054861A (ja) 2015-09-07 2017-03-16 株式会社東芝 半導体装置の製造方法
US10032751B2 (en) * 2015-09-28 2018-07-24 Invensas Corporation Ultrathin layer for forming a capacitive interface between joined integrated circuit components
US9496239B1 (en) 2015-12-11 2016-11-15 International Business Machines Corporation Nitride-enriched oxide-to-oxide 3D wafer bonding
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US10224219B2 (en) 2015-12-30 2019-03-05 International Business Machines Corporation Handler bonding and debonding for semiconductor dies
US9923011B2 (en) * 2016-01-12 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with stacked semiconductor dies
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US10026716B2 (en) 2016-04-15 2018-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC formation with dies bonded to formed RDLs
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
KR102505856B1 (ko) 2016-06-09 2023-03-03 삼성전자 주식회사 웨이퍼 대 웨이퍼 접합 구조체
US9941241B2 (en) * 2016-06-30 2018-04-10 International Business Machines Corporation Method for wafer-wafer bonding
US9892961B1 (en) 2016-08-09 2018-02-13 International Business Machines Corporation Air gap spacer formation for nano-scale semiconductor devices
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10672663B2 (en) 2016-10-07 2020-06-02 Xcelsis Corporation 3D chip sharing power circuit
US10719762B2 (en) 2017-08-03 2020-07-21 Xcelsis Corporation Three dimensional chip structure implementing machine trained network
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
US10163750B2 (en) 2016-12-05 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure for heat dissipation
JP6512454B2 (ja) 2016-12-06 2019-05-15 パナソニックIpマネジメント株式会社 素子チップの製造方法
US10453832B2 (en) 2016-12-15 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Seal ring structures and methods of forming same
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
CN117878055A (zh) * 2016-12-28 2024-04-12 艾德亚半导体接合科技有限公司 堆栈基板的处理
US20180182665A1 (en) 2016-12-28 2018-06-28 Invensas Bonding Technologies, Inc. Processed Substrate
US20180190583A1 (en) 2016-12-29 2018-07-05 Invensas Bonding Technologies, Inc. Bonded structures with integrated passive component
US11626363B2 (en) 2016-12-29 2023-04-11 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10276909B2 (en) 2016-12-30 2019-04-30 Invensas Bonding Technologies, Inc. Structure comprising at least a first element bonded to a carrier having a closed metallic channel waveguide formed therein
US10431614B2 (en) 2017-02-01 2019-10-01 Semiconductor Components Industries, Llc Edge seals for semiconductor packages
US10522499B2 (en) 2017-02-09 2019-12-31 Invensas Bonding Technologies, Inc. Bonded structures
WO2018169968A1 (en) 2017-03-16 2018-09-20 Invensas Corporation Direct-bonded led arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
JP6640780B2 (ja) 2017-03-22 2020-02-05 キオクシア株式会社 半導体装置の製造方法および半導体装置
US10784191B2 (en) 2017-03-31 2020-09-22 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10854568B2 (en) 2017-04-07 2020-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with Si-substrate-free interposer and method forming same
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10580823B2 (en) 2017-05-03 2020-03-03 United Microelectronics Corp. Wafer level packaging method
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10453711B2 (en) * 2017-05-31 2019-10-22 Facebook Technologies, Llc Fluidic pick-up head for small semiconductor devices
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10217720B2 (en) 2017-06-15 2019-02-26 Invensas Corporation Multi-chip modules formed using wafer-level processing of a reconstitute wafer
CN107611075A (zh) 2017-09-04 2018-01-19 华进半导体封装先导技术研发中心有限公司 一种临时键合结构及临时键合方法
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US11251157B2 (en) 2017-11-01 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure with hybrid bonding structure and method of fabricating the same and package
US11011503B2 (en) 2017-12-15 2021-05-18 Invensas Bonding Technologies, Inc. Direct-bonded optoelectronic interconnect for high-density integrated photonics
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US11127738B2 (en) 2018-02-09 2021-09-21 Xcelsis Corporation Back biasing of FD-SOI circuit blocks
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11256004B2 (en) 2018-03-20 2022-02-22 Invensas Bonding Technologies, Inc. Direct-bonded lamination for improved image clarity in optical devices
US10991804B2 (en) 2018-03-29 2021-04-27 Xcelsis Corporation Transistor level interconnection methodologies utilizing 3D interconnects
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US11398258B2 (en) 2018-04-30 2022-07-26 Invensas Llc Multi-die module with low power operation
US10403577B1 (en) 2018-05-03 2019-09-03 Invensas Corporation Dielets on flexible and stretchable packaging for microelectronics
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
US10923413B2 (en) 2018-05-30 2021-02-16 Xcelsis Corporation Hard IP blocks with physically bidirectional passageways
US11171117B2 (en) 2018-06-12 2021-11-09 Invensas Bonding Technologies, Inc. Interlayer connection of stacked microelectronic components
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
KR20210009426A (ko) 2018-06-13 2021-01-26 인벤사스 본딩 테크놀로지스 인코포레이티드 패드로서의 tsv
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
US11664357B2 (en) 2018-07-03 2023-05-30 Adeia Semiconductor Bonding Technologies Inc. Techniques for joining dissimilar materials in microelectronics
WO2020010136A1 (en) 2018-07-06 2020-01-09 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
US11462419B2 (en) 2018-07-06 2022-10-04 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US20200035641A1 (en) 2018-07-26 2020-01-30 Invensas Bonding Technologies, Inc. Post cmp processing for hybrid bonding
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US20200075533A1 (en) 2018-08-29 2020-03-05 Invensas Bonding Technologies, Inc. Bond enhancement in microelectronics by trapping contaminants and arresting cracks during direct-bonding processes
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
WO2020150159A1 (en) 2019-01-14 2020-07-23 Invensas Bonding Technologies, Inc. Bonded structures
WO2020159856A1 (en) 2019-01-28 2020-08-06 Amerasia International Technology, Inc. Semiconductor wafer processing system and method
US11387202B2 (en) 2019-03-01 2022-07-12 Invensas Llc Nanowire bonding interconnect for fine-pitch microelectronics
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US20200395321A1 (en) 2019-06-12 2020-12-17 Invensas Bonding Technologies, Inc. Sealed bonded structures and methods for forming the same
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US20210098412A1 (en) 2019-09-26 2021-04-01 Invensas Bonding Technologies, Inc. Direct gang bonding methods and structures
US20210118864A1 (en) 2019-10-21 2021-04-22 Invensas Corporation Non-Volatile Dynamic Random Access Memory
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
US11842894B2 (en) 2019-12-23 2023-12-12 Adeia Semiconductor Bonding Technologies Inc. Electrical redundancy for bonded structures
US20210242152A1 (en) 2020-02-05 2021-08-05 Invensas Bonding Technologies, Inc. Selective alteration of interconnect pads for direct bonding
WO2021188846A1 (en) 2020-03-19 2021-09-23 Invensas Bonding Technologies, Inc. Dimension compensation control for directly bonded structures
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
WO2021236361A1 (en) 2020-05-19 2021-11-25 Invensas Bonding Technologies, Inc. Laterally unconfined structure
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
WO2022094579A1 (en) 2020-10-29 2022-05-05 Invensas Bonding Technologies, Inc. Direct bonding methods and structures
CN116529867A (zh) 2020-10-29 2023-08-01 美商艾德亚半导体接合科技有限公司 直接接合方法和结构
EP4268273A1 (en) 2020-12-28 2023-11-01 Adeia Semiconductor Bonding Technologies Inc. Structures with through-substrate vias and methods for forming the same
JP2024501017A (ja) 2020-12-28 2024-01-10 アデイア セミコンダクター ボンディング テクノロジーズ インコーポレイテッド 基板貫通ビアを有する構造体及びそれを形成する方法
WO2022147460A1 (en) 2020-12-30 2022-07-07 Invensas Bonding Technologies, Inc. Directly bonded structures
KR20230126736A (ko) 2020-12-30 2023-08-30 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 전도성 특징부를 갖는 구조 및 그 형성방법
EP4302325A1 (en) 2021-03-03 2024-01-10 Adeia Semiconductor Bonding Technologies Inc. Contact structures for direct bonding
EP4315398A1 (en) 2021-03-31 2024-02-07 Adeia Semiconductor Bonding Technologies Inc. Direct bonding and debonding of carrier
JP2024515032A (ja) 2021-03-31 2024-04-04 アデイア セミコンダクター ボンディング テクノロジーズ インコーポレイテッド 担体の直接接合及び剥離
KR20230164716A (ko) 2021-03-31 2023-12-04 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 직접 결합 방법 및 구조
US20230005850A1 (en) 2021-06-30 2023-01-05 Invensas Bonding Technologies, Inc. Element with routing structure in bonding layer
KR20240036032A (ko) 2021-07-16 2024-03-19 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 접합된 구조물의 광학적 차단 보호 요소
KR20240036698A (ko) 2021-08-02 2024-03-20 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 결합 구조체를 위한 보호 반도체 소자
US20230067677A1 (en) 2021-09-01 2023-03-02 Invensas Bonding Technologies, Inc. Sequences and equipment for direct bonding
WO2023034738A1 (en) 2021-09-01 2023-03-09 Adeia Semiconductor Technologies Llc Stacked structure with interposer
WO2023044308A1 (en) 2021-09-14 2023-03-23 Adeia Semiconductor Bonding Technologies Inc. Method of bonding thin substrates
US20230100032A1 (en) 2021-09-24 2023-03-30 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with active interposer
US20230122531A1 (en) 2021-10-18 2023-04-20 Invensas Llc Reduced parasitic capacitance in bonded structures
WO2023069912A1 (en) 2021-10-19 2023-04-27 Adeia Semiconductor Bonding Technologies Inc. Stacked inductors in multi-die stacking
WO2023070033A1 (en) 2021-10-22 2023-04-27 Adeia Semiconductor Technologies Llc Radio frequency device packages
WO2023076842A1 (en) 2021-10-25 2023-05-04 Adeia Semiconductor Bonding Technologies Inc. Power distribution for stacked electronic devices
US20230125395A1 (en) 2021-10-27 2023-04-27 Adeia Semiconductor Bonding Technologies Inc. Stacked structures with capacitive coupling connections
US20230132632A1 (en) 2021-10-28 2023-05-04 Adeia Semiconductor Bonding Technologies Inc. Diffusion barriers and method of forming same
US20230142680A1 (en) 2021-10-28 2023-05-11 Adeia Semiconductor Bonding Technologies Inc. Stacked electronic devices
US20230140107A1 (en) 2021-10-28 2023-05-04 Adeia Semiconductor Bonding Technologies Inc. Direct bonding methods and structures
US20230207437A1 (en) 2021-11-05 2023-06-29 Adeia Semiconductor Bonding Technologies Inc. Multi-channel device stacking
WO2023091430A1 (en) 2021-11-17 2023-05-25 Adeia Semiconductor Bonding Technologies Inc. Thermal bypass for stacked dies
WO2023091485A1 (en) 2021-11-18 2023-05-25 Adeia Semiconductor Bonding Technologies Inc. Fluid cooling for die stacks
US20230187264A1 (en) 2021-12-13 2023-06-15 Adeia Semiconductor Technologies Llc Methods for bonding semiconductor elements
WO2023114726A1 (en) 2021-12-13 2023-06-22 Adeia Semiconductor Bonding Technologies Inc. Interconnect structures
US20230197453A1 (en) 2021-12-17 2023-06-22 Adeia Semiconductor Bonding Technologies Inc. Structure with conductive feature for direct bonding and method of forming same
US20230197559A1 (en) 2021-12-20 2023-06-22 Adeia Semiconductor Bonding Technologies Inc. Thermoelectric cooling for die packages
US20230197560A1 (en) 2021-12-20 2023-06-22 Adeia Semiconductor Bonding Technologies Inc. Thermoelectric cooling in microelectronics
WO2023122513A1 (en) 2021-12-20 2023-06-29 Adeia Semiconductor Bonding Technologies Inc. Direct bonding and debonding of elements
WO2023122559A1 (en) 2021-12-22 2023-06-29 Adeia Semiconductor Bonding Technologies Inc. Low stress direct hybrid bonding
US20230207474A1 (en) 2021-12-23 2023-06-29 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with interconnect assemblies
US20230207514A1 (en) 2021-12-23 2023-06-29 Adeia Semiconductor Bonding Technologies Inc. Apparatuses and methods for die bond control
WO2023122732A1 (en) 2021-12-23 2023-06-29 Adeia Semiconductor Bonding Technologies Inc. Direct bonding on package substrates
WO2023129901A1 (en) 2021-12-27 2023-07-06 Adeia Semiconductor Bonding Technologies Inc. Directly bonded frame wafers

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20240071915A1 (en) * 2020-05-19 2024-02-29 Adeia Semiconductor Bonding Technologies Inc. Laterally unconfined structure

Also Published As

Publication number Publication date
CN112020763B (zh) 2024-04-09
CN112020763A (zh) 2020-12-01
US20210233889A1 (en) 2021-07-29
US20190326252A1 (en) 2019-10-24
US10964664B2 (en) 2021-03-30
US11791307B2 (en) 2023-10-17
WO2019204532A1 (en) 2019-10-24
TWI809092B (zh) 2023-07-21
TW202004976A (zh) 2020-01-16

Similar Documents

Publication Publication Date Title
TWI809092B (zh) 用於簡化的輔具晶圓的dbi至矽接合
US11652083B2 (en) Processed stacked dies
CN111742398B (zh) 用于处理器件的技术
TW202333198A (zh) 接合薄基板的方法
TW202021092A (zh) 用於混合接合的後化學機械研磨處理
US11097306B2 (en) Support for bonding a workpiece and method thereof
JP5095394B2 (ja) ウエハの移動方法
TWI445101B (zh) 暫時性半導體結構接合方法及相關經接合的半導體結構
US11688639B2 (en) Semiconductor device and method
CN111863704B (zh) 用于熔接和剥离的低密度硅氧化物的方法和结构
TWI836062B (zh) 用於低密度矽氧化物的熔融接合與脫接方法及結構