CN112020763A - 用于简化的手柄晶片的dbi到si的键合 - Google Patents

用于简化的手柄晶片的dbi到si的键合 Download PDF

Info

Publication number
CN112020763A
CN112020763A CN201980026853.3A CN201980026853A CN112020763A CN 112020763 A CN112020763 A CN 112020763A CN 201980026853 A CN201980026853 A CN 201980026853A CN 112020763 A CN112020763 A CN 112020763A
Authority
CN
China
Prior art keywords
substrate
bonding
bonding surface
forming
handle
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201980026853.3A
Other languages
English (en)
Other versions
CN112020763B (zh
Inventor
C·曼达拉普
G·G·小方丹
G·高
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Evanss Adhesive Technologies
Original Assignee
Evanss Adhesive Technologies
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Evanss Adhesive Technologies filed Critical Evanss Adhesive Technologies
Publication of CN112020763A publication Critical patent/CN112020763A/zh
Application granted granted Critical
Publication of CN112020763B publication Critical patent/CN112020763B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L21/6836Wafer tapes, e.g. grinding or dicing support tapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/33Structure, shape, material or disposition of the layer connectors after the connecting process of a plurality of layer connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/98Methods for disconnecting semiconductor or solid-state bodies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68318Auxiliary support including means facilitating the separation of a device or wafer from the auxiliary support
    • H01L2221/68322Auxiliary support including means facilitating the selective separation of some of a plurality of devices from the auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/6834Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to protect an active side of a device or wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68354Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to support diced chips prior to mounting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68368Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used in a transfer process involving at least two transfer steps, i.e. including an intermediate handle substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68381Details of chemical or physical process used for separating the auxiliary support from a device or wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/03001Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate
    • H01L2224/03002Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate for supporting the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05005Structure
    • H01L2224/05006Dual damascene structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • H01L2224/091Disposition
    • H01L2224/0918Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/09181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/33Structure, shape, material or disposition of the layer connectors after the connecting process of a plurality of layer connectors
    • H01L2224/331Disposition
    • H01L2224/3318Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/33181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80003Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/80006Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80009Pre-treatment of the bonding area
    • H01L2224/8003Reshaping the bonding area in the bonding apparatus, e.g. flattening the bonding area
    • H01L2224/80031Reshaping the bonding area in the bonding apparatus, e.g. flattening the bonding area by chemical means, e.g. etching, anodisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80009Pre-treatment of the bonding area
    • H01L2224/8003Reshaping the bonding area in the bonding apparatus, e.g. flattening the bonding area
    • H01L2224/80035Reshaping the bonding area in the bonding apparatus, e.g. flattening the bonding area by heating means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80009Pre-treatment of the bonding area
    • H01L2224/8003Reshaping the bonding area in the bonding apparatus, e.g. flattening the bonding area
    • H01L2224/80047Reshaping the bonding area in the bonding apparatus, e.g. flattening the bonding area by mechanical means, e.g. severing, pressing, stamping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8034Bonding interfaces of the bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80909Post-treatment of the bonding area
    • H01L2224/80948Thermal treatments, e.g. annealing, controlled cooling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80986Specific sequence of steps, e.g. repetition of manufacturing steps, time sequence
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/83009Pre-treatment of the layer connector or the bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/83894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/83896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/83909Post-treatment of the layer connector or bonding area
    • H01L2224/83948Thermal treatments, e.g. annealing, controlled cooling

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Pressure Welding/Diffusion-Bonding (AREA)
  • Die Bonding (AREA)

Abstract

器件和技术包括制备各种微电子部件的工艺步骤,该各种微电子部件用于键合,诸如用于没有粘合剂的直接键合。工艺包括在微电子部件的第一表面上提供第一键合表面,将手柄键合到制备的第一键合表面,以及在微电子部件被夹持在手柄处的情况下,处理微电子部件的第二表面。在一些实施例中,工艺包括将手柄从第一键合表面移除,以及在第一键合表面处将微电子部件直接键合到其他微电子部件。

Description

用于简化的手柄晶片的DBI到SI的键合
相关申请的交叉引用
本申请要求于2019年4月17日提交的美国非临时申请第16/386,261号,以及于2018年4月20日提交的美国临时申请第62/660,509号的权益,这些申请通过整体引用并入本文。
技术领域
以下描述涉及集成电路(“IC”)。更具体地,以下描述涉及制造IC裸片和晶片。
背景技术
微电子元件通常包括半导体材料(诸如硅和砷化镓)的薄板,该薄板通常被称为半导体晶片。晶片可以形成为包括位于晶片的表面上和/或部分被嵌入晶片中的多个集成芯片或裸片。与晶片分离的裸片通常被作为单独的预封装单元提供。在一些封装设计中,裸片安装到衬底或芯片载体上,转而该衬底或该芯片载体安装在电路面板(诸如印刷电路板(PCB))上。例如,许多裸片设置在适合于表面安装的封装中。
封装的半导体裸片也可以设置成“堆叠”布置,其中设置一个封装,例如,在电路板或其他载体上,并且在第一封装的顶部安装另一封装。这些布置可以允许许多不同的裸片或器件设置在电路板上的单个足迹中,并且可以通过在封装之间提供短的互连来进一步促进高速操作。通常,这种互连距离只能稍微大于裸片本身的厚度。为了在裸片封装的堆叠中实现互连,可以将用于机械和电气连接的互连结构设置在每个裸片封装(除了最上面的封装)的两侧(例如面)上。
附加地,作为部分的各种微电子封装方案,裸片或晶片可以以三维布置堆叠。这可以包括在基底裸片、器件、晶片,衬底等上,堆叠一个或多个裸片、器件和/或晶片的层,以在竖直或水平布置上堆叠多个裸片或晶片以及两者的各种组合。
裸片或晶片可以使用各种键合技术以堆叠布置键合,各种键合技术包括直接电介质键合的、无粘合剂技术,诸如
Figure BDA0002731352180000021
或混合的键合技术,诸如
Figure BDA0002731352180000022
两者都可从英帆萨斯邦德科技有限公司(以前的Ziptronix公司)、Xperi公司(例如参见美国专利第6,864,585号和第7,485,968号,这些专利整体并入本文)获得。键合的裸片或晶片的相应配合表面。通常包括嵌入的导电互连结构等。在一些示例中,键合表面被布置并被对齐,使得在键合工艺中导电互连结构从相应的表面接合。接合的互连结构在堆叠的裸片或晶片之间形成连续的导电互连(用于信号、功率等)。
实现堆叠的裸片和晶片布置,可能会面临各种各样的挑战。当使用直接键合或混合键合技术来键合堆叠的裸片时,通常期望待被键合的裸片表面非常平整、光滑和干净。例如,一般而言,在表面拓扑方面,表面应当具有非常低的方差(即纳米级方差),使得表面可以紧密配合,以形成持久的键合。
可以形成和制备用于堆叠和键合的双面裸片,其中裸片的两个侧面将被键合到其他衬底或裸片,诸如利用多个裸片到裸片应用或裸片到晶片的应用。制备裸片的两个侧面包括对两个表面进行抛光,以满足电介质粗糙度规范和金属化层(例如铜等)凹陷规范。例如,键合表面处的导电互连结构可能仅在键合表面的绝缘材料下方会稍微凹陷。键合表面下方的凹陷量可以由器件或应用的尺寸容差、规范或物理极限决定。可以使用化学机械抛光(CMP)工艺等来制备用于与另一裸片、晶片或其他衬底键合的混合表面。
手柄晶片在工艺步骤中可以被用于保持裸片,该手柄晶片在处理工艺中可以被临时地粘到裸片的一个侧面,并且之后被移除。然而,粘合剂键合通常不会提供使晶片精确地减薄至1μm至10μm的硅厚度所需的均匀度,通常也不会允许在高于250℃的温度下进行处理。另外,粘合层通常过于可压缩,以致于通过化学机械抛光(CMP)来支撑充分的平坦化。
附图说明
详细的描述是参照附图进行说明的。在图中,参考数字的(多个)最左边的数位标识参考数字首次出现的图。在不同的图中使用相同的参考数字来表示相似的或相同的项。
出于该讨论,图中所示的器件和系统被示出为具有多种部件。如本文所描述的,器件和/或系统的各种实施方式可以包括较少的部件并且处于本公开的范围内。备选地,器件和/或系统的其他实施方式可以包括附加部件或所描述的部件的各种组合,并且处于本公开的范围内。
图1至图3示出了根据实施例的示例图形流程图,图示了使用手柄晶片制备用于键合的裸片的示例工艺。
图4至图6示出了根据实施例的示例图形流程图,图示了使用手柄晶片制备用于键合的裸片的另一示例工艺。
图7至图9示出了根据实施例的示例图形流程图,图示了使用手柄晶片制备用于键合的裸片的附加示例工艺。
图10至图12示出了根据实施例的示例图形流程图,图示了使用手柄晶片制备用于键合的裸片的又一示例工艺。
图13图示了根据实施例的由多个堆叠且键合的微电子元件组成的示例微电子组件。
发明内容
公开了代表技术和器件,包括用于制备各种微电子部件的工艺步骤,各种微电子部件用于键合,诸如用于没有粘合剂的直接键合。该工艺包括在微电子部件的第一表面上提供第一键合表面,将手柄键合到制备的第一键合表面,以及在微电子部件被夹持在手柄处的情况下,处理微电子部件的第二表面。在一些实施例中,工艺包括从第一键合表面移除手柄,并且将微电子部件在第一键合表面处直接键合到其他微电子部件。
在各种实施方式中,微电子组件包括:第一衬底,包括具有平坦化形貌的第一键合表面,该第一键合表面具有第一预定最大表面公差;以及第二衬底,该第二衬底具有带有平坦化形貌的键合表面。第二衬底使用直接电介质到电介质的、无粘合剂技术而被键合到第一衬底的第一键合表面,以形成手柄。在第一衬底的与第一表面相对的第二表面被处理的情况下,第二衬底被布置为支撑第一衬底。在一个示例中,在第一衬底被夹持在手柄处的情况下,使第二表面平坦化,以形成第一衬底的第二键合表面(该第二键合表面具有第二预定最大表面公差)。
在实施方式中,第一衬底的第一键合表面包括电介质并且包括一个或多个导电互连。在另一种实施方式中,第二衬底包括硅。
在各种实施方式中,形成微电子组件的方法包括:制备第一衬底的第一键合表面,该制备包括使第一键合表面平坦化以具有第一预定最大表面公差以及将第二衬底沉积到第一键合表面上,以形成手柄;以及在第一衬底被夹持在手柄处的情况下,处理第一衬底的与第一表面相对的第二表面。
在实施例中,方法包括:使第二表面平坦化,以形成具有第二预定最大表面公差的第二键合表面。在另一实施例中,方法包括:使用直接电介质到电介质的、无粘合剂接合技术,将第二衬底直接键合到第一键合表面。在又一实施例中,方法包括:移除手柄以及将第一衬底单片化成多个微电子裸片。
各种实施方式和布置参考电气和电子部件以及不同的载体进行讨论。虽然提到了具体部件(即裸片、晶片、集成电路(IC)芯片裸片、衬底等),但是这并不旨在限制,而是为了便于讨论和说明。参考晶片、裸片、衬底等进行讨论的技术和器件,适用于任何类型或数目的电气部件、电路(例如集成电路(IC)、混合电路、ASIC、存储器器件、处理器等)、部件组、封装部件、结构(例如晶片、面板、板、PCB等)等,它们可以利用外部电路、系统、载体等被耦合以与彼此进行接口。这些不同的部件、电路、组、封装、结构等中的每一个通常都可以被称为“微电子部件”。为了简单起见,除非另有规定,否则被键合到另一部件的部件将在本文中被称为“裸片”。
该发明内容并不旨在给出完整的描述。下面将使用多个示例更详细地说明实施方式。虽然在此处和在下面讨论了各种实施方式和示例,但是进一步的实施方式和示例,通过组合单独的实施方式和示例的特征和要素,可能也是可以的。
具体实施方式
图案化的金属和氧化物层经常设置在裸片、晶片或其他衬底(下文称为“裸片”)上,作为混合键合或
Figure BDA0002731352180000051
表面层。在双面裸片的情况下,具有制备的键合表面的图案化的金属和氧化物层可以设置在裸片的两个侧面上。氧化物在氧化物表面处与金属化层(例如嵌入的导电特征)通常是高度平坦的(通常到纳米级粗糙度)、或仅在氧化物表面下方是凹陷的。氧化物下方的凹陷量通常由尺寸容差、规范或物理极限决定。通常使用化学机械抛光(CMP)步骤和/或其他制备步骤,来制备用于与另一裸片、晶片或其他衬底直接键合的键合表面。
当处理厚度低于200μm的薄晶片时,为了在没有破损的情况下处理晶片,某种规范的手柄晶片通常被附接到器件晶片。当为了晶片堆叠和键合的目的需要处理晶片的背面时,这尤其如此。对于许多应用来说,期望在厚度只有几微米硅厚度且多次在高于25摄氏度的温度下处理晶片。
然而,使用粘合剂将手柄晶片键合到器件晶片,通常不会提供将器件晶片精确减薄到1μm至10μm的硅厚度所需的均匀度,通常也不会允许在高于250℃的温度下进行处理。粘合层通常过于可压缩,以致于通过化学机械抛光(CMP)来支撑充分的平坦化。
本文所公开的器件和技术描述了使用直接键合技术将手柄晶片键合到器件晶片,以允许在对裸片的键合表面进行处理、在高于250℃的温度下进行处理,以及在为CMP平坦化提供充分的支撑等时的均匀度。手柄晶片便于在工艺步骤中处理裸片,并且在不再需要时,可以被选择性地移除。在各种实施方式中,手柄晶片直接键合到制备的键合表面中的一个。使用除粘合剂之外的直接键合技术将手柄附接到器件晶片意味着,选择性移除工艺使制备的键合表面留在器件晶片上。
图1至图13图示了用于制备各种微电子部件(诸如裸片302)的代表器件和工艺,各种微电子部件用于键合,诸如用于没有粘合剂的直接键合。该工艺包括:在微电子部件的第一表面(其可以是器件晶片102或其他衬底的部分)上提供第一键合表面(诸如第一键合表面108),提供第一键合表面包括提供被嵌入在第一键合表面中的第一导电互连特征110或衬底;将手柄(诸如手柄晶片114)键合到制备的第一键合表面;在微电子部件的第二表面上提供第二键合表面(诸如第二键合表面202),提供第二键合表面包括提供被嵌入在第二键合表面中的第二导电互连特征110’或结构;从第一键合表面移除手柄;以及通过在键合表面直接键合微电子部件来形成微电子组件,等等。
工艺被描述的顺序并不旨在被说明为限制,并且工艺中的任意数目的所描述工艺框可以按照任何顺序被组合以实现工艺或备选工艺。附加地,可以从任何工艺中删除单个的框,而不脱离本文所描述主题的精神和范围。此外,工艺可以在任何合适的硬件、软件、固件或其组合中实现,而不脱离本文所描述的主题范围。在备选实施方式中,其他技术可以以各种组合形式被包括在工艺中并且处于本公开的范围内。
参照图1至图3,在工艺100中,可以使用各种技术形成代表器件晶片102(例如晶片、衬底、裸片等),以包括基底衬底104和绝缘层或电介质层106。基底衬底104可以由硅、锗、玻璃、石英、电介质表面、直接或间接带隙半导体材料或半导体层或其他合适的材料组成。绝缘层106沉积或形成在衬底104之上,并且可以由无机电介质材料层组成,诸如氧化物、氮化物、氮氧化物、碳氧化物、碳化物、碳氮化物、金刚石、类金刚石材料、玻璃、陶瓷、玻璃陶瓷等以及它们的组合。
如框A所示,器件晶片102的键合表面108可以包括导电特征110,诸如迹线、衬垫和互连结构,例如,需要时,被嵌入至绝缘层106中并且设置为使得导电特征110可以在键合工艺中从相对器件的相应键合表面108被配合并结合。结合的导电特征110可以在堆叠的器件之间形成连续的导电互连(用于信号、功率等)。
镶嵌工艺(或类似的工艺)可以被用于在绝缘层106中形成嵌入的导电特征110。导电特征110可以由金属(例如铜等)或其他导电材料或材料的组合组成,并且包括结构、迹线、衬垫、图案等。在一些示例中,在沉积导电特征110的材料之前,可以在用于导电特征110的空腔中沉积阻挡层112,以便阻挡层112被沉积在导电特征110与绝缘层106之间。例如,阻挡层112可以由钽或其他导电材料组成,以防止或减少导电特征110的材料扩散到绝缘层106中。
通过使用附加的衬底或所谓的虚拟衬垫、迹线、图案等,导电特征110可以被嵌入到绝缘层106中,以提供电气和/或热路径,或相反可以被配置为平衡键合表面108的金属化。在形成导电特征110之后,可以使器件晶片102的暴露表面(包括绝缘层106和导电特征110)平坦化(例如经由CMP),以形成平的键合表面108。
形成键合表面108包括对表面108进行抛光,以满足电介质粗糙度规范和金属化层(例如铜等)凹陷规范,以制备用于直接键合的表面108。换言之,键合表面108形成为尽可能平坦且光滑,具有非常小的表面拓扑公差。各种传统工艺(诸如化学机械抛光(CMP)、干法或湿法蚀刻等)都可以被用于实现低表面粗糙度。这种工艺提供了导致可靠键合的平整的、光滑的表面108。
在一些情况下,如图1所示,导电特征110的暴露表面可以相对于键合表面108有意地凹陷,以允许材料膨胀,特别是在加热退火期间(如果要进行的话)。在其他情况下,导电特征110的暴露表面可以形成为超过凹陷规范,并且可以从键合表面108上方突出,以允许导电特征110在之后的处理中氧化。例如,这可以通过对电介质层106进行选择性蚀刻来完成。
在抛光或其他工艺步骤中,导电特征110的在键合层108处的表面可能被氧化(等等),这可能导致其超出期望的规范。导电特征110可以被选择性地蚀刻、接触抛光等,以消除氧化并改善后续的键合和电气连接,包括恢复相对于键合表面108的期望的凹陷。
在制备之后,器件晶片102的第一键合表面108可以被键合到另一支撑晶片114(例如“硅晶片”、“手柄晶片”等),以制造第二(即背面)键合表面202。例如,添加手柄晶片114有助于在第二侧面处理期间,处理器件晶片102,特别是在器件晶片102较薄或在处理期间被减薄时。手柄晶片114可以包括硅衬底,类似于基底层104等。具有与基底层104相似的热膨胀系数(CTE)的手柄晶片114,可以有助于在处理期间控制翘曲,特别是在处理期间加热器件时。在文中尽管参考了手柄晶片,手柄并不限于诸如硅晶片的晶片形式。备选地,手柄可以被提供为面板、裸片或其他形式和/或材料,用以容纳各种大小的衬底104。
如框A所示,可以通过在手柄晶片114上沉积绝缘层118,来制备手柄晶片114的键合表面116。绝缘层118可以由无机电介质材料层组成,诸如氧化物、氮化物、氮氧化物、碳氧化物、碳化物、碳氮化物、金刚石、类金刚石材料、玻璃、陶瓷、玻璃陶瓷等以及它们的组合(例如,绝缘层118可以部分或全部由与绝缘层106相同的(多种)材料组成,但不必如此)。使键合表面116平坦化(使用CMP等),以实现高度平坦的表面,以便与器件晶片102进行可靠的直接键合。在实施例中,可以对键合表面108和键合表面116(例如绝缘层118,如果存在的话)中的一个或两个进行等离子体激活,为键合做准备。
如框B所示,工艺100包括:通过将手柄114的键合表面116(在绝缘层118上,如果存在的话)直接键合到器件晶片102的键合表面108,手柄晶片114在不使用粘合剂的情况下,被键合到器件晶片102。在一些示例中,键合在环境或“室温”(例如小于90℃)条件下进行。在其他示例中,可以对键合的组件进行热退火,以加强键合。增加的牺牲手柄114为非常薄的有源裸片(例如,<<50μm)提供机械支撑。手柄114还可以在高温处理中保留DBI金属化层(例如铜),例如在约300摄氏度下保留2小时等。
如框C所示,在形成第二键合表面202并且对其进行抛光之前,基底层104可以被减薄,并且任何一个硅通孔(TSV)被暴露和平坦化。通过使用直接键合将手柄晶片114附接到器件晶片102,可以实现使器件晶片102非常精确的减薄,并且可以在高于250℃的温度下处理键合后的对。在实施例中,基底层104被减薄,以具有小于20微米的厚度和小于3微米的总厚度变化(TTV)。在其他实施例中,基底层104的厚度和TTV可能具有更大的尺寸。
参照图2,如框D所示,利用在适当位置的手柄晶片114,然后器件晶片102的背面上的第二键合表面202可以被沉积、形成并且被抛光,以在具有最小表面拓扑公差的情况下满足最大电介质粗糙度规范和金属化层(例如铜等)凹陷规范。例如,可以将绝缘层106’沉积到器件晶片102的背面上,并且如果需要,在其中嵌入导电特征110’。包括绝缘层106’(和导电特征110’)的第二键合表面202被平坦化,为直接键合做准备。
在框E中,可以将临时地载体204附接到第二键合表面202,例如利用临时地粘合剂206等。
如框F所示,随后,可以使用各种技术(包括但不限于背面研磨、化学机械抛光(CMP)、干法蚀刻和湿法化学腐蚀或其组合)使手柄晶片114减薄并且将其选择性地移除。在一些情况下,可以使用与基底层114(例如其可以由硅组成)不同的技术(例如不同的化学和/或机械工艺或技术)来移除手柄晶片114的绝缘(例如氧化物)层118。当手柄晶片114被移除时,包括绝缘层106和任何导电层(诸如导电特征110)的第一键合表面108显露出来。在各个示例中,第一键合表面108可以在无需进行进一步处理的情况下准备就绪用于直接键合。在其他示例中,在键合之前可以执行一些制备步骤(例如抛光、清洗、漂洗等)。
在一些情况下,可以将保护涂层208涂到经过抛光的第一键合表面108或第二键合表面202上,以在加工工艺中进行保护。在一个示例中,如框F所示,在手柄晶片114被移除之后,可以将保护涂层208涂到暴露的第一键合表面108上。保护涂层208可以保护第一键合表面108,包括绝缘层106和任何导电特征110,用于在手柄晶片114已被蚀刻、溶解、研磨或以其他方式从第一键合表面108移除之后的、未来的直接或混合键合步骤。在一些实施例中,保护涂层208可以包括光致抗蚀剂、聚合物涂层等。
如框G所示,在完成器件晶片102的两个侧面之后,可以移除临时地载体204和临时地键合材料206。在框H中(参见图3),完成的双面器件晶片102然后可以被安装到保持在切割框架中的切割带304上,并且被单片化以形成一定量的双面裸片302。器件晶片102可以通过等离子体切割、锯齿切割、隐形切割或其他技术被单片化成裸片302。可选地,裸片302可以被转移到夹持环,为键合做准备。在实施方式中,裸片302在被安装到切割框架或夹持环上的情况下,可以被清洗(或经历其他处理)。
在一些实施例中,在单片化之前或之后,可以将不只一种类型的保护层涂到(多个)键合表面108和/或202上。例如(如框H所示),第一保护层208可以包括疏水保护层,并且覆盖疏水层208的可以是亲水保护层306。在下面的疏水层208允许在清洗裸片302的侧表面时使用腐蚀性蚀刻化学品(在单片化之后),而且也增加了制备的键合表面108和/或202的保存期限。
在上面的亲水层接收切割工艺中所产生的颗粒、碎片、切割带、粘合剂等或可能被它们浸渍。亲水层306连同颗粒和碎片一起被移除。换言之,颗粒和碎片随亲水层306的移除而被带走。在一些情况下,疏水层208可以暂时地保留在裸片302上,以在后续的处理或存储工艺中保护(多个)表面108和/或202。然而,疏水层208(或任何剩余的保护涂层)可以在键合之前被移除,如框I所示。
在框J中,工艺100包括使用拾取和放置器件等,将单片化的裸片302堆叠和键合到制备的主裸片、晶片、衬底308等。制备主衬底308可以包括在衬底308的表面上沉积和形成键合表面310,沉积和形成键合表面310包括提供导电特征312等,以及形成高度平坦的表面拓扑,包括如上所述的在基底层104”上方的绝缘层106”。
在一些情况下,可以对键合表面108和/或键合表面310进行等离子体处理,以加强直接键合。可以将附加裸片302堆叠和键合到衬底308或先前被键合的裸片302,如框K所示。进一步地,一旦根据需要堆叠和键合(多个)裸片302,便可以对组件300进行热退火,以进一步键合导电特征110和312。当然,将了解,裸片302可以在被键合到衬底308之前被堆叠。此外,热退火可以在每个键合步骤之后或在所有裸片和衬底都被堆叠之后实现。
例如,参照图4至图6,示出了工艺400,其中在没有绝缘层118的情况下,可以将裸硅的牺牲性手柄晶片114键合到器件晶片102。如图4所示,在框A中,工艺400包括提供器件晶片102(例如晶片、衬底、裸片等),其可以如上所述形成为包括基底衬底104和绝缘层或电介质层106。
如框A所示,器件晶片102的键合表面108可以包括导电特征110。镶嵌工艺(等等)可以被用于在绝缘层106中形成嵌入的导电特征110。导电特征110可以由金属(例如铜等)或其他导电材料或材料的组合组成,并且包括结构、迹线、衬垫、图案等。在一些示例中,如上所述,在沉积导电特征110的材料之前,可以在用于导电特征110的空腔中沉积阻挡层112(未示出),以便阻挡层112被沉积在导电特征110和绝缘层106之间。
在形成导电特征110之后,可以使器件晶片102的暴露表面(包括绝缘层106和导电特征110)平坦化(例如经由CMP),以形成平的键合表面108。形成键合表面108包括:对表面108进行抛光,以满足电介质粗糙度规范和金属化层(例如铜等)凹陷规范,从而制备用于直接键合的表面108。
在制备之后,可以将器件晶片102的第一键合表面108键合到手柄晶片114,以制造第二(即背面)键合表面202。在实施方式中,手柄晶片114包括硅衬底等。如框A所示,使手柄晶片114的键合表面116平坦化(使用CMP等),以实现高度平坦表面,用于与器件晶片102形成可靠的直接键合。在实施例中,可以用食人鱼(piranha)腐蚀剂(例如硫酸和过氧化氢)来制备手柄晶片114的键合表面116。附加地或备选地,手柄晶片114的键合表面116可以具有薄的氧化物层,诸如可以通过热氧化工艺提供,而不是通过上述氧化物沉积工艺提供。这种薄的氧化物层可以小于10nm。在实施例中,可以对键合表面108进行等离子体激活,为键合做准备。
如框B所示,工艺400包括:在不使用粘合剂的情况下,通过将手柄114的键合表面116直接键合到器件晶片102的键合表面108,将手柄晶片114键合到器件晶片102。在一些示例中,键合是在周围环境或“室温”(例如小于90℃)条件下进行的。在其他示例中,可以对键合的组件进行热退火,以加强键合。增加的牺牲手柄114为非常薄的有源裸片(例如,<<50μm)提供机械支撑。手柄114还可以在高温处理期间保护DBI金属化层(例如铜),例如在约300摄氏度下达2小时等。
如框C所示,在形成第二键合表面202并且对其进行抛光之前,基底层104可以被减薄,并且任何一个硅通孔(TSV)被暴露。通过使用直接键合将手柄晶片114附接到器件晶片102,可以实现使器件晶片102非常精确的减薄,并且可以在高于250℃的温度下处理键合后的对。
参照图5,如框D所示,利用在适当位置的手柄晶片114,然后器件晶片102的背面上的第二键合表面202可以被沉积、形成且被抛光,以在具有最小表面拓扑公差的情况下满足最大电介质粗糙度规范和金属化层(例如铜等)凹陷规范。例如,可以将绝缘层106’沉积到器件晶片102的背面上,并且导电特征110’(如果需要)被嵌入其中。使包括绝缘层106’(和导电特征110’)的第二键合表面202平坦化,为直接键合做准备。
在框E中,可以将临时地载体204附接到第二键合表面202,例如利用临时地粘合剂206等。
如框F所示,随后,可以使用各种技术(包括但不限于背面研磨、触摸CMP、干法蚀刻和湿法化学腐蚀或其组合)使手柄晶片114减薄并且将其选择性地移除。在一些示例中,非常薄的氧化物层可以与手柄晶片114一起被移除。例如,在薄的氧化物层被键合到第一键合表面时,其可以是手柄晶片114的部分,如上所述。当手柄晶片114被移除时,包括绝缘层106和任何导电层(诸如导电特征110)的第一键合表面108显露出来。例如,在各个示例中,第一键合表面108可以在无需进行进一步处理(诸如CMP)的情况下准备就绪直接键合。在其他示例中,在键合之前可以执行一些制备步骤(例如抛光、清洗、漂洗等)。
在一些情况下,可以将一个或多个保护涂层208和/或306涂到经过抛光的第一键合表面108或第二键合表面202上,以在加工工艺中进行保护,如上所述。在一个示例中,如框F所示,在手柄晶片114被移除之后,可以将保护涂层208和/或306涂到暴露的第一键合表面108上。
如框G所示,在完成器件晶片102的两个侧面之后,可以移除临时地载体204和临时地键合材料206。在框H中(参见图6),使用等离子体切割、锯齿切割、隐形切割或其他技术,完成的双面器件晶片102可以被安装到框架上的切割带304上并且被单片化以形成一定量的双面裸片302。可选地,裸片302可以被转移到夹持环,为键合做准备。在实施方式中,裸片302在被安装到保持在切割框架或夹持环中的带304上的情况下,可以被清洗(或经历其他处理)。
在一些情况下,手柄晶片114可能在之前的工艺步骤中未被移除,并且可能与薄晶片一起被切割并且被用于在该工艺步骤中处理裸片302。在那些情况下,在批量处理工艺等中,可以从多个裸片302移除被切割的手柄114。例如,湿法蚀刻可以被用于移除手柄114,如果需要的话,还可以增加键合表面108的轻触抛光。在备用实施例中,如果需要,或被用于其他工艺目的,被切割的手柄114可以保持键合到裸片302中的一些裸片,以增加裸片302的高度。
如上所述,在单片化之前或之后,不只一种类型的保护层(例如208和/或306)可以被涂到(多个)键合表面108和/或202上。例如,可以将第一疏水保护涂层208和上面的亲水保护涂层306以及一个或多个其他保护涂层涂到(多个)键合表面108和/202上。如上所述,单片化所产生的颗粒和碎片可以随一个或多个保护涂层(例如亲水层306)的移除而被带走。在一些情况下,疏水层208可以临时地留在裸片302上,以在后续处理或存储工艺中保护(多个)表面108和/或202。然而,疏水层208(或任何剩余的保护涂层)可以在键合之前被移除,如框I所示。
在框J中,工艺100包括:使用拾取和放置器件等,将单片化的裸片302堆叠和键合到制备的主裸片、晶片、衬底308等。制备主衬底308可以包括:在衬底308的表面上沉积和形成键合表面310,包括提供导电特征312等,以及形成高度平面的表面拓扑,包括基底层104”上的绝缘层106”,如上所述。
在一些情况下,可以对键合表面108和/或键合表面310进行等离子体处理,以加强直接键合。附加的裸片302可以被堆叠并被键合到衬底308或之前键合的裸片308,如框K所示。进一步地,一旦(多个)裸片302根据需要被堆叠并被键合,便可以对组件300进行热退火,以进一步键合导电特征110和312。
对于本领域技术人员而言,使用裸硅晶片作为手柄114的优点是显而易见的。例如,手柄晶片114可以直接键合到为直接键合制备的表面(诸如氧化物表面或
Figure BDA0002731352180000141
(例如混合)表面)。当利用以下处理组合移除手柄晶片114时:诸如但不限于背面研磨、CMP、干法蚀刻和湿法化学腐蚀或其组合,可以在高选择度的情况下通过各种技术完全移除手柄晶片114,因为只涉及一种材料(例如硅)。
如上所述,裸硅手柄晶片114特别地用于制备和处理用于键合工艺的薄裸片302。例如,为
Figure BDA0002731352180000142
(例如混合)键合制备的器件晶片102可以直接被键合到裸硅手柄晶片114,并且随后被减薄到低于例如10μm的活性硅厚度。该键合的晶片对可以在器件晶片102的背面上进行处理,以制备用于键合的第二
Figure BDA0002731352180000151
(例如混合)表面。
如果需要,则器件晶片102的切割街区可以被图案化并被蚀刻至手柄晶片114或被蚀刻进手柄晶片114,以实现用于键合的均匀光滑的裸片302边缘。然后手柄晶片114可以被减薄到裸片302的键合所需的用于切割和处理的期望厚度。在裸片302已被键合到第二器件晶片308(等等)之后,可以通过例如湿法化学腐蚀选择性地移除手柄114。可以选择湿法蚀刻,例如TMAH,该方法在硅、氧化物、铜和阻挡金属之间具有非常高的选择度,使得能够在不损坏键合表面108或202的情况下,移除硅手柄114。如果有必要,则可以在蚀刻之后采用轻CMP处理,使裸片302的表面108和/或202光滑,以便键合第二裸片302。可以重复该处理,以在器件晶片308(等等)上实现器件裸片302的堆叠。
使用没有粘合剂的直接键合技术,将具有氧化物层118或裸硅手柄晶片114的手柄晶片114键合到器件晶片102的一些优点包括:手柄114适应器件晶片102的准确减薄;手柄114适应器件晶片102的高温处理;与粘合剂键合的手柄晶片相比,手柄114在手柄114和器件晶片102之间提供更好的热传导;手柄适应晶片的正常操作(与可能需要特殊操作的透明手柄晶片相反)用于处理;以及手柄114提供了清洁的且没有移动的包含粒子的材料或其他污染物(诸如利用玻璃晶片)的手柄技术。
例如,参照图7至图9,示出了处理700,其中在没有绝缘层118的情况下,可以将裸硅牺牲手柄晶片114键合到器件晶片102。在实施方式中,器件晶片102可以安装到工艺卡盘上,以执行一些工艺步骤。如图7所示,在框A中,处理700包括提供器件晶片102(例如晶片、衬底、裸片等),其可以如上述形成为包括基底衬底104和绝缘层或电介质层106。
如框A所示,器件晶片102的键合表面108可以包括导电特征110,如上所述,该器件晶片还可以包括导电特征110与绝缘层106之间的阻挡层112(未示出)。
在键合表面108制备之后,可以将器件晶片102的第一键合表面108键合到用于制造第二(即背面)键合表面202的手柄晶片114(例如裸硅晶片、具有通过等离子体或湿法化学处理形成的薄的热氧化物或薄的氧化物的硅等)。在实施方式中,手柄晶片114被平坦化(使用CMP等),以实现高度平面的表面,并且可以利食人鱼蚀刻剂(例如硫酸和过氧化氢)来制备。在实施例中,可以对键合表面108进行等离子体激活,为键合做准备。
如框B所示,处理700包括:通过将手柄114的键合表面116直接键合到器件晶片102的键合表面108,手柄晶片114在不使用粘合剂的情况下,被键合到器件晶片102。在一些示例中,键合是在周围环境或“室温”(例如小于90℃)条件下进行的。在其他示例中,可以对键合的组件进行热退火,以加强键合。
如框C所示,在形成第二键合表面202并且对其进行抛光之前,基底层104可以被减薄,并且任何一个硅通孔(TSV)被暴露且被平坦化。通过使用直接键合来将手柄晶片114附接到器件晶片102,可以实现使器件晶片102非常精确的减薄,并且可以在高于250℃的温度下处理键合后的对。
参照图8,如框D所示,利用在适当位置的手柄晶片114,可以沉积、形成在器件晶片102的背面上的第二键合表面202并且对其进行抛光以在具有最小表面拓扑公差的情况下满足最大电介质粗糙度规范和金属化层(例如铜等)凹陷规范。例如,可以将绝缘层106’沉积到器件晶片102的背面上,并且如果需要,导电特征110’被嵌入其中。使包括绝缘层106’(和导电特征110’)的第二键合表面202平坦化,为直接键合做准备。
在框E中,可以将器件晶片102安装到诸如真空卡盘或静电卡盘等工艺卡盘802(等等)上
如框F所示,随后,可以使用各种技术(包括但不限于背面研磨、触摸CMP、干法蚀刻和湿法化学腐蚀或其组合)使手柄晶片114减薄并且将其选择性地移除。当手柄晶片114被移除时,包括绝缘层106和任何导电层(诸如导电特征110)的第一键合表面108显露出来。在各个示例中,第一键合表面108可以在无需进行进一步处理的情况下准备就绪直接键合,因为残留的少量氧化物可能在所需的粗糙度规范内。在其他示例中,在键合之前可以执行一些制备步骤(例如抛光、清洗、漂洗等)。
在一些情况下,如上所述,可以将一个或多个保护涂层208和/或306涂到经过抛光的第一键合表面108或第二键合表面202上,以在处理中进行保护。在一个示例中,参照框F,在手柄晶片114被移除之后,可以将保护涂层208和/或306(未示出)涂到暴露的第一键合表面108上。
如框G所示,当器件晶片102的两个侧面完成时,以及(在框H中,参照图9)当完成的双面器件晶片102安装到保持在切割框架中的切割带304上时,将器件晶片102从工艺卡盘802中释放。然后使用等离子体切割、锯齿切割、隐形切割或其他技术将晶片单片化,以形成一定量的双面裸片302。可选地,裸片302可以被转移到保持在夹持环中的切割带,为键合做准备。在实施方式中,裸片302在被安装到保持在切割框架或夹持环中的带304上的情况下,可以被清洗(或经历其他处理)。
在一些情况下,手柄晶片114可能在先前的工艺步骤中未被移除,并且可以在该工艺步骤中被用于处理裸片302。在那些情况下,在批量处理工艺等中,可以将手柄114从多个裸片302移除。例如,湿法蚀刻可以被用于移除手柄114,如果需要的话,还可以增加键合表面108的轻触抛光。
如上所述,在单片化之前或之后,不只一种类型的保护层(例如208和/或306)可以被涂到(多个)键合表面108和/或202上。例如,可以将第一疏水保护涂层208和在上面的亲水保护涂层306以及一个或多个其他保护涂层涂到(多个)键合表面108和/202上。如上所述,单片化所产生的颗粒和碎片可以随一个或多个保护涂层(例如亲水层306)的移除而被带走。在一些情况下,疏水层208可以暂时的留在裸片302上,以在后续处理或储存中保护(多个)表面108和/或202。然而,疏水层208(或任何剩余的保护涂层)可以在键合之前被移除,如框I所示。
在框J中,工艺100包括:使用拾取和放置器件等,将单片化的裸片302堆叠和键合到制备的主裸片、晶片、衬底308等。制备主衬底308可以包括:在衬底308的表面上沉积和形成键合表面310,包括提供导电特征312等,以及形成高度平坦的表面拓扑,包括基底层104”上的绝缘层106”,如上所述。
在一些情况下,可以对键合表面108和/或键合表面310进行等离子体处理,以加强直接键合。附加的裸片302可以被堆叠并被键合到衬底308或先前键合的裸片308,如框K所示。进一步地,一旦(多个)裸片302根据需要被堆叠和键合,便可以对组件300进行热退火,以进一步键合导电特征110和312。
例如,参照图10至图12,示出了简化工艺1000,其中裸硅牺牲手柄晶片114可以在没有绝缘层118的情况下,被键合到器件晶片102。在实施方式中,没有附加的临时地手柄或载体与器件晶片102一起使用。如图10所示,在框A中,工艺1000包括提供器件晶片102(例如晶片、衬底、裸片等),其可以如上所述形成为包括基底衬底104和绝缘层或电介质层106。
如框A所示,器件晶片102的键合表面108可以包括导电特征110,如上所述,该器件晶片还可以包括在导电特征110与绝缘层106之间的阻挡层112(未示出)。
在键合表面108的制备之后,可以将器件晶片102的第一键合表面108键合到用于制造第二(即背面)键合表面202的手柄晶片114(例如裸硅等)。在实施方式中,手柄晶片114被平坦化(使用CMP等),以实现高度平坦的表面,并且可以利用食人鱼蚀刻(例如硫酸和过氧化氢)来制备。附加地或备选地,手柄晶片114的键合表面116可以具有薄的氧化物层,诸如可以通过热氧化工艺提供,而不是通过上述氧化物沉积工艺提供。在实施例中,可以对键合表面108进行等离子体激活,为键合做准备。
如框B所示,工艺1000包括:通过将手柄114的键合表面116直接键合到器件晶片102的键合表面108,手柄晶片114在不使用粘合剂的情况下被键合到器件晶片102。在一些示例中,键合是在周围环境或“室温”(例如小于90℃)条件下进行的。在其他示例中,可以对键合的组件进行热退火,以加强键合。
如框C所示,在形成第二键合表面202并且对其进行抛光之前,基底层104可以被减薄,并且任何一个硅通孔(TSV)被暴露且被平坦化。通过使用直接键合将手柄晶片114附接到器件晶片102,可以实现使器件晶片102非常精确的减薄,并且可以在高于250℃的温度下处理键合对。
参照图11,如框D所示,利用在适当位置的手柄晶片114,可以沉积、形成在器件晶片102的背面上的第二键合表面202并且对其进行抛光,以在具有最小表面拓扑公差的情况下满足最大电介质粗糙度规范和金属化层(例如铜等)凹陷规范。例如,可以将绝缘层106’沉积到器件晶片102的背面上,并且如果需要,导电特征110’被嵌入其中。使包括绝缘层106’(和导电特征110’)的第二键合表面202平坦化,为直接键合做准备。
在框E中,如果需要,可以使硅手柄晶片114减薄。例如,如果手柄114旨在保持被键合到单片化的裸片302,则在这个步骤中或在工艺1000中的其他步骤中,可以使手柄晶片114减薄。在一些情况下,可以将一个或多个保护涂层208和/或306涂到经过抛光的第二键合表面202上,以在处理工艺中进行保护,如上所述。
如框F所示,器件晶片102与手柄晶片114一起向下翻转,并且被附接到保持在切割框架中的切割带304,以进行单片化,并且裸片302可以被转移到保持在夹持环中的切割带,为键合做准备。在实施方式中,裸片302在被安装到保持在切割框架或夹持环中的带304上的情况下,可以被清洗(或经历其他处理)。
如上所述,在单片化之前或之后,不只一种类型的保护层(例如208和/或306)可以被涂到(多个)键合表面108和/或202上。例如,可以将第一疏水保护涂层208和在上面的亲水保护涂层306以及一个或多个其他保护涂层涂到(多个)键合表面108和/202上。如上所述,单片化所产生的颗粒和碎片可以随一个或多个保护涂层(例如亲水层306)的移除而被带走。在一些情况下,疏水层208可以暂时的保留在裸片302上,以在后续处理或储存中保护(多个)表面108和/或202。然而,疏水层208(或任何剩余的保护涂层)可以在键合之前被移除,如框G所示。
在框H中,工艺1000包括:使用拾取和放置器件等,将单片化的裸片302堆叠和键合到制备的主裸片、晶片、衬底308等。制备主衬底308可以包括:在衬底308的表面上沉积和形成键合表面310,包括提供导电特征312等,以及形成高度平坦的表面拓扑,包括基底层104”上的绝缘层106”,如上所述。在一些情况下,可以对键合表面108和/或键合表面310进行等离子体处理,以加强直接键合。
如框H所示,例如,可以使用湿法蚀刻,在批处理中将手柄114从堆叠的和键合的裸片302中移除。在一些示例中,非常薄的氧化物层可以与手柄114一起被移除。例如,薄的氧化物层(<10nm)在被键合到第一键合表面时是手柄晶片114的部分,如上所述。如果需要,则可以执行键合表面108的轻触抛光(例如CMP)。可以将附加的裸片302堆叠和键合到衬底308或先前键合的裸片302,如框I所示。在键合之后(如果需要,则在批处理中),可以将手柄114从附加的堆叠裸片302中的每个堆叠裸片中移除。备选地,例如,如果需要,则一些手柄114可以保持被键合到一些裸片302(例如,未从一些裸片302移除),以增加裸片302的高度。进一步地,一旦(多个)裸片302根据需要被堆叠且被键合,便可以对组件300进行热退火,以进一步键合导电特征110和312。
参照图13,示出了示例微电子组件300,该微电子组件可以使用上述工艺100、400、700、1000中的一个或多个或另一工艺来形成。在实施例中,将多个裸片302(例如裸片302A至302N)堆叠和键合,如工艺1000的框H所描述。在每个裸片302都被键合到先前的裸片302(或衬底308)之后,在键合后续裸片302之前,可以将手柄114从键合的裸片302中移除。在各个实施例中,如果适用,则除了其他移除技术,还可以使用选择性湿法化学腐蚀来移除手柄114。
在实施方式中,使用(多种)选择性湿法蚀刻化学品来移除手柄114,也可以将组件300的其他部分暴露于化学品。例如,在手柄114移除步骤中,可以将一个或多个裸片302的基底层104和绝缘层106暴露于蚀刻化学品。当这些层(104和106)暴露于化学腐蚀时,选择性湿法蚀刻化学品对基底层104(例如硅)材料的蚀刻程度比绝缘层106(如果它完全蚀刻绝缘层106)大得多。这可以导致裸片302(包括先前已被堆叠和键合到组件300的裸片302)的基底层104的凹陷1302。因此,由于更多的暴露于化学腐蚀剂,堆叠中较低的裸片302可能有更大的凹陷1302。
例如,如图13所示,首先将裸片302A键合到主衬底308,并且在键合之后,使用化学腐蚀剂来移除裸片302A的手柄114。在移除步骤中,裸片302A的基底层104可以暴露于选择性化学腐蚀,从而导致基底层104的一些凹陷1302。裸片302A的绝缘层106也可以暴露于选择性化学腐蚀,但是由于化学腐蚀的选择性,可以经历非常少的凹陷或不经历凹陷。这可以使基底层104的凹陷1302在视觉上更可察觉。
一旦手柄114从裸片302A移除并且顶部键合表面108被暴露并制备以进行键合,便将裸片302B键合到裸片302A。一旦在裸片302B的手柄114的移除工艺中堆叠再次暴露于选择性化学腐蚀,便会导致裸片302B的基底层104的一些凹陷1302和裸片302A的基层104的更多凹陷1302。由于化学腐蚀的选择性,裸片302A和302B的绝缘层106经历非常少的凹陷或不经历凹陷。
当每个后续裸片302C至302N都被添加到堆叠时,移除每个裸片的手柄114,并且将堆叠暴露于(多种)选择性蚀刻化学品中。组件300上产生的不均匀轮廓特征可能看起来如图13所示,其中许多或全部基底层104已经历了一些凹陷1302(例如,基底层104的至少一部分具有比绝缘层106窄的宽度),而绝缘层106已经历了非常少的凹陷或没有经历凹陷。同样,与组件300的上部裸片302(诸如裸片302N)相比,下部裸片302(诸如裸片302A)可以显示出更多的凹陷1302。
这会导致锯齿状并且不是恒定的或均匀的轮廓边缘,但是其中与裸片302(诸如裸片302A)的基底层104在裸片堆叠的相对端的最窄宽度(a)相比,裸片302(诸如裸片302N)的基底层104的在裸片堆叠302的第一端的最窄宽度(n)具有更大的尺寸。例如,键合的裸片堆叠302的每个裸片302的基底层104的最窄宽度具有从堆叠(宽度=n)的第一端到堆叠(宽度=a)的相对端逐渐减小的尺寸(n、c、b、a)。虽然每个裸片302的绝缘层106的尺寸(w)都是大体上恒定的,但是大于具有最大的最窄宽度尺寸的基底层104的最窄宽度(n)。
在各个实施例中,与本文所描述的工艺步骤相比,一些工艺步骤可能被修改或消除。
本文所描述的技术、部件和器件并不限于图1至图13的图示,并且在不脱离本公开的范围的情况下,可以应用于包括其他电器部件的其他设计、类型、布置和构造。在一些情况下,可以使用附加的或备选的部件、技术、序列或工艺来实现本文所描述的技术。进一步地,在产生相似或近似相同的结果的情况下,部件和/或技术可以在以各种组合来设置和/或组合。
虽然已经用特定于结构特征和/或方法动作的语言描述了本公开的实施方式,但是应当理解,实施方式并不一定受限于所描述的特定特征或动作。更确切地说,特定特征和动作被作为实施示例器件和技术的代表形式而公开。

Claims (32)

1.一种形成微电子组件的方法,包括:
制备第一衬底的第一键合表面,包括使所述第一键合表面平坦化,以具有第一预定最大表面公差;
将第二衬底直接键合到所述第一键合表面上,以将所述第二衬底用作手柄;以及
在所述第一衬底被键合到所述手柄的情况下,处理所述第一衬底的与所述第一表面相对的第二表面。
2.根据权利要求1所述的形成微电子组件的方法,所述方法进一步包括:使所述第二表面平坦化,以形成具有第二预定最大表面公差的第二键合表面。
3.根据权利要求1所述的形成微电子组件的方法,所述方法进一步包括:使用直接电介质到电介质的、无粘合剂键合技术,将所述第二衬底直接键合到所述第一键合表面。
4.根据权利要求1所述的形成微电子组件的方法,所述方法进一步包括:经由以下项来移除所述手柄:背面研磨、选择性湿法蚀刻、选择性干法蚀刻、化学机械平坦化、或背面研磨、选择性湿法蚀刻、选择性干法蚀刻和化学机械平坦化的组合。
5.根据权利要求1所述的形成微电子组件的方法,所述方法进一步包括:在所述第一衬底被键合到所述手柄的情况下,将所述第一衬底减薄至小于20微米。
6.根据权利要求1所述的形成微电子组件的方法,所述方法进一步包括:将所述第一衬底单片化成多个微电子裸片。
7.根据权利要求1所述的形成微电子组件的方法,其中所述第二衬底包括氧化物。
8.根据权利要求1所述的形成微电子组件的方法,其中所述第二衬底包括硅。
9.根据权利要求8所述的形成微电子组件的方法,其中所述第二衬底包括被形成在所述硅上的、小于10nm的氧化物层。
10.根据权利要求8所述的形成微电子组件的方法,其中所述第二衬底包括通过热氧化而被形成在所述硅上的氧化物层。
11.一种形成微电子组件的方法,包括:
制备第一衬底的第一键合表面,包括使所述第一键合表面平坦化,以具有第一预定最大表面公差,所述第一键合表面包括电介质、并且包括一个或多个导电互连;
使用直接电介质到电介质的、无粘合剂接合技术,将第二衬底直接键合到所述第一键合表面,以将所述第二衬底用作手柄;以及
在所述第一衬底被键合到所述手柄的情况下,使所述第一衬底的与所述第一表面相对的第二表面平坦化,以形成所述第一衬底的、具有第二预定最大表面公差的第二键合表面。
12.根据权利要求11所述的形成微电子组件的方法,所述方法进一步包括:在将所述第二衬底的键合表面键合到所述第一衬底的所述第一键合表面之前,使所述第二衬底的键合表面平坦化,并且利用包括硫酸和过氧化氢的腐蚀剂来制备所述第二衬底的所述键合表面。
13.根据权利要求11所述的形成微电子组件的方法,所述方法进一步包括:在将所述第二衬底的所述键合表面键合到所述第一衬底的所述第一键合表面之前,使所述第二衬底的键合表面平坦化,并且经由热氧化工艺在所述第二衬底的所述键合表面上形成薄的氧化物层。
14.根据权利要求11所述的形成微电子组件的方法,所述方法进一步包括:在所述第一衬底被键合到所述手柄的情况下,并且在形成所述第二键合表面之前,将所述第一衬底减薄。
15.根据权利要求14所述的形成微电子组件的方法,其中所述第一衬底被减薄,以具有小于20微米的厚度和小于3微米的总厚度变化(TTV)。
16.根据权利要求11所述的形成微电子组件的方法,所述方法进一步包括:在所述第二表面处沉积绝缘层,以形成所述第二键合表面。
17.根据权利要求11所述的形成微电子组件的方法,所述方法进一步包括:
移除所述手柄;以及
将所述第一衬底单片化成多个微电子裸片。
18.根据权利要求17所述的形成微电子组件的方法,所述方法进一步包括:经由以下项来移除所述手柄:选择性湿法蚀刻、选择性干法蚀刻、化学机械平坦化、背面研磨、或选择性湿法蚀刻、选择性干法蚀刻、化学机械平坦化和背面研磨的组合。
19.根据权利要求11所述的形成微电子组件的方法,所述方法进一步包括:等离子体激活所述第一衬底的所述第一键合表面。
20.根据权利要求11所述的形成微电子组件的方法,所述方法进一步包括:在将所述第二衬底键合到所述第一衬底之后,对所述第一衬底和所述第二衬底进行热退火。
21.根据权利要求11所述的形成微电子组件的方法,所述方法进一步包括:通过背面研磨、蚀刻和化学机械平坦化来移除所述手柄。
22.根据权利要求11所述的形成微电子组件的方法,所述方法进一步包括:在所述第一衬底的所述第一键合表面上沉积保护涂层,并且将所述第一衬底单片化成多个微电子裸片。
23.根据权利要求11所述的形成微电子组件的方法,所述方法进一步包括:在所述第一衬底被键合到所述手柄的情况下,使用没有粘合剂的直接键合技术,将所述微电子裸片堆叠并键合到制备的主裸片、晶片或衬底。
24.根据权利要求11所述的形成微电子组件的方法,所述方法进一步包括:
临时地将载体键合到所述第一衬底的所述第二键合表面;以及
在所述第一衬底被夹持在所述载体处的情况下,移除所述手柄。
25.根据权利要求11所述的形成微电子组件的方法,其中所述第二衬底包括氧化物。
26.根据权利要求11所述的形成微电子组件的方法,其中所述第二衬底包括硅,以及其中所述手柄经由选择性蚀刻剂而被移除。
27.根据权利要求11所述的形成微电子组件的方法,其中所述第二衬底包括硅,并且其中在移除所述硅之后,所述第一键合表面准备就绪进行进一步的键合,而无需对所述第一键合表面进行进一步的CMP。
28.一种微电子组件,包括:
多个键合的微电子元件的堆叠,所述微电子元件使用直接电介质到电介质的、无粘合剂技术而被键合在一起,所述微电子元件中的每个微电子元件包括:
第一层,包括具有平坦化形貌的第一键合表面,所述第一键合表面具有预定最大表面公差,所述第一键合表面包括绝缘材料、并且包括一个或多个导电互连;
第二层,在没有粘合剂的情况下被键合到与所述第一键合表面相对的所述第一层,所述第二层包括半导体材料;以及
第三层,包括具有平坦化形貌的第二键合表面,所述第二键合表面具有所述预定最大表面公差,所述第二键合表面包括绝缘材料、并且包括一个或多个导电互连,所述第三层的与所述第二键合表面相对的表面在没有粘合剂的情况下被键合到所述第二层,
其中所述微电子元件中的每个微电子元件的所述第二层的至少一部分具有凹陷的周界、以及比所述第一层或所述第三层的宽度更窄的宽度。
29.根据权利要求28所述的微电子组件,其中所述第一层的所述第一键合表面和所述第三层的所述第二键合表面包括氧化物。
30.根据权利要求28所述的微电子组件,其中所述第二层包括硅。
31.根据权利要求28所述的微电子组件,其中微电子元件的所述第二层的、在多个键合的微电子元件的所述堆叠的第一端处的最窄宽度,与微电子元件的所述第二层的、在多个键合的微电子元件的所述堆叠的相对端处的最窄宽度相比,具有更大的尺寸。
32.根据权利要求31所述的微电子组件,其中多个键合的微电子元件的所述堆叠的每个微电子元件的所述第二层的最窄宽度,具有从所述堆叠的所述第一端到所述堆叠的所述相对端逐渐减小的尺寸。
CN201980026853.3A 2018-04-20 2019-04-18 用于简化的手柄晶片的dbi到si的键合 Active CN112020763B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201862660509P 2018-04-20 2018-04-20
US62/660,509 2018-04-20
US16/386,261 2019-04-17
US16/386,261 US10964664B2 (en) 2018-04-20 2019-04-17 DBI to Si bonding for simplified handle wafer
PCT/US2019/028005 WO2019204532A1 (en) 2018-04-20 2019-04-18 Dbi to si bonding for simplified handle wafer

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202410341128.8A Division CN118280903A (zh) 2018-04-20 2019-04-18 用于简化的手柄晶片的dbi到si的键合

Publications (2)

Publication Number Publication Date
CN112020763A true CN112020763A (zh) 2020-12-01
CN112020763B CN112020763B (zh) 2024-04-09

Family

ID=68238309

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980026853.3A Active CN112020763B (zh) 2018-04-20 2019-04-18 用于简化的手柄晶片的dbi到si的键合

Country Status (4)

Country Link
US (3) US10964664B2 (zh)
CN (1) CN112020763B (zh)
TW (2) TW202343661A (zh)
WO (1) WO2019204532A1 (zh)

Families Citing this family (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US10719762B2 (en) 2017-08-03 2020-07-21 Xcelsis Corporation Three dimensional chip structure implementing machine trained network
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
TWI822659B (zh) 2016-10-27 2023-11-21 美商艾德亞半導體科技有限責任公司 用於低溫接合的結構和方法
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
TWI782939B (zh) 2016-12-29 2022-11-11 美商英帆薩斯邦德科技有限公司 具有整合式被動構件的接合結構
WO2018169968A1 (en) 2017-03-16 2018-09-20 Invensas Corporation Direct-bonded led arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
KR20210009426A (ko) 2018-06-13 2021-01-26 인벤사스 본딩 테크놀로지스 인코포레이티드 패드로서의 tsv
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
WO2020010056A1 (en) 2018-07-03 2020-01-09 Invensas Bonding Technologies, Inc. Techniques for joining dissimilar materials in microelectronics
WO2020010136A1 (en) 2018-07-06 2020-01-09 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
WO2020010265A1 (en) 2018-07-06 2020-01-09 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US11296044B2 (en) 2018-08-29 2022-04-05 Invensas Bonding Technologies, Inc. Bond enhancement structure in microelectronics for trapping contaminants during direct-bonding processes
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
CN113330557A (zh) 2019-01-14 2021-08-31 伊文萨思粘合技术公司 键合结构
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11562982B2 (en) * 2019-04-29 2023-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit packages and methods of forming the same
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
US11842894B2 (en) 2019-12-23 2023-12-12 Adeia Semiconductor Bonding Technologies Inc. Electrical redundancy for bonded structures
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
WO2021188846A1 (en) 2020-03-19 2021-09-23 Invensas Bonding Technologies, Inc. Dimension compensation control for directly bonded structures
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
WO2021236361A1 (en) 2020-05-19 2021-11-25 Invensas Bonding Technologies, Inc. Laterally unconfined structure
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
KR20220040537A (ko) 2020-09-23 2022-03-31 삼성전자주식회사 반도체 패키지
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
US20220320035A1 (en) * 2021-03-31 2022-10-06 Invensas Bonding Technologies, Inc. Direct bonding methods and structures
US20230360950A1 (en) * 2022-05-05 2023-11-09 Adeia Semiconductor Bonding Technologies Inc. Gang-flipping of dies prior to bonding

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040241958A1 (en) * 2003-06-02 2004-12-02 International Business Machines Corporation Method of fabricating silicon devices on sapphire with wafer bonding at low temperature
CN101952934A (zh) * 2008-02-13 2011-01-19 硅绝缘体技术有限公司 半导体基板表面制备方法
CN102034687A (zh) * 2009-09-28 2011-04-27 S.O.I.Tec绝缘体上硅技术公司 键合和转移层的工艺
US20140353828A1 (en) * 2013-05-30 2014-12-04 International Business Machines Corporation Substrate bonding with diffusion barrier structures
WO2017123407A1 (en) * 2016-01-13 2017-07-20 Ziptronix, Inc. Systems and methods for efficient transfer of semiconductor elements

Family Cites Families (241)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5034343A (en) 1990-03-08 1991-07-23 Harris Corporation Manufacturing ultra-thin wafer using a handle wafer
FR2681472B1 (fr) 1991-09-18 1993-10-29 Commissariat Energie Atomique Procede de fabrication de films minces de materiau semiconducteur.
EP0651449B1 (en) 1993-11-01 2002-02-13 Matsushita Electric Industrial Co., Ltd. Electronic component and method for producing the same
KR960009074A (ko) 1994-08-29 1996-03-22 모리시다 요이치 반도체 장치 및 그 제조방법
DE19640594B4 (de) 1996-10-01 2016-08-04 Osram Gmbh Bauelement
US5985742A (en) 1997-05-12 1999-11-16 Silicon Genesis Corporation Controlled cleavage process and device for patterned films
US6097096A (en) 1997-07-11 2000-08-01 Advanced Micro Devices Metal attachment method and structure for attaching substrates at low temperatures
FR2773261B1 (fr) 1997-12-30 2000-01-28 Commissariat Energie Atomique Procede pour le transfert d'un film mince comportant une etape de creation d'inclusions
JP3532788B2 (ja) 1999-04-13 2004-05-31 唯知 須賀 半導体装置及びその製造方法
JP2001094005A (ja) 1999-09-22 2001-04-06 Oki Electric Ind Co Ltd 半導体装置及び半導体装置の製造方法
US6984571B1 (en) 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
JP3440057B2 (ja) 2000-07-05 2003-08-25 唯知 須賀 半導体装置およびその製造方法
US6423640B1 (en) 2000-08-09 2002-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Headless CMP process for oxide planarization
TW522531B (en) 2000-10-20 2003-03-01 Matsushita Electric Ind Co Ltd Semiconductor device, method of manufacturing the device and mehtod of mounting the device
FR2823596B1 (fr) 2001-04-13 2004-08-20 Commissariat Energie Atomique Substrat ou structure demontable et procede de realisation
JP2002353416A (ja) 2001-05-25 2002-12-06 Sony Corp 半導体記憶装置およびその製造方法
US6887769B2 (en) 2002-02-06 2005-05-03 Intel Corporation Dielectric recess for wafer-to-wafer and die-to-die metal bonding and method of fabricating the same
US6762076B2 (en) 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US7105980B2 (en) 2002-07-03 2006-09-12 Sawtek, Inc. Saw filter device and method employing normal temperature bonding for producing desirable filter production and performance characteristics
JP4083502B2 (ja) 2002-08-19 2008-04-30 株式会社フジミインコーポレーテッド 研磨方法及びそれに用いられる研磨用組成物
US7023093B2 (en) 2002-10-24 2006-04-04 International Business Machines Corporation Very low effective dielectric constant interconnect Structures and methods for fabricating the same
US6962835B2 (en) 2003-02-07 2005-11-08 Ziptronix, Inc. Method for room temperature metal direct bonding
US6908027B2 (en) 2003-03-31 2005-06-21 Intel Corporation Complete device layer transfer without edge exclusion via direct wafer bonding and constrained bond-strengthening process
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
US6992371B2 (en) 2003-10-09 2006-01-31 Freescale Semiconductor, Inc. Device including an amorphous carbon layer for improved adhesion of organic layers and method of fabrication
US20050082526A1 (en) 2003-10-15 2005-04-21 International Business Machines Corporation Techniques for layer transfer processing
US6867073B1 (en) 2003-10-21 2005-03-15 Ziptronix, Inc. Single mask via method and device
JP4405246B2 (ja) 2003-11-27 2010-01-27 スリーエム イノベイティブ プロパティズ カンパニー 半導体チップの製造方法
US7226812B2 (en) 2004-03-31 2007-06-05 Intel Corporation Wafer support and release in wafer processing
US20060057945A1 (en) 2004-09-16 2006-03-16 Chia-Lin Hsu Chemical mechanical polishing process
US7462552B2 (en) 2005-05-23 2008-12-09 Ziptronix, Inc. Method of detachable direct bonding at low temperatures
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US7193423B1 (en) 2005-12-12 2007-03-20 International Business Machines Corporation Wafer-to-wafer alignments
GB2443756B (en) 2006-02-24 2010-03-17 Wolfson Microelectronics Plc MEMS device
US7750488B2 (en) 2006-07-10 2010-07-06 Tezzaron Semiconductor, Inc. Method for bonding wafers to produce stacked integrated circuits
US20080014532A1 (en) 2006-07-14 2008-01-17 3M Innovative Properties Company Laminate body, and method for manufacturing thin substrate using the laminate body
US7795113B2 (en) 2006-12-21 2010-09-14 Imec Method for bonding a die or substrate to a carrier
US7910458B2 (en) 2007-01-29 2011-03-22 Silicon Genesis Corporation Method and structure using selected implant angles using a linear accelerator process for manufacture of free standing films of materials
US7803693B2 (en) 2007-02-15 2010-09-28 John Trezza Bowed wafer hybridization compensation
US8349635B1 (en) 2008-05-20 2013-01-08 Silicon Laboratories Inc. Encapsulated MEMS device and method to form the same
US9893004B2 (en) 2011-07-27 2018-02-13 Broadpak Corporation Semiconductor interposer integration
US7867876B2 (en) 2008-12-23 2011-01-11 International Business Machines Corporation Method of thinning a semiconductor substrate
US8476165B2 (en) 2009-04-01 2013-07-02 Tokyo Electron Limited Method for thinning a bonding wafer
US8482132B2 (en) 2009-10-08 2013-07-09 International Business Machines Corporation Pad bonding employing a self-aligned plated liner for adhesion enhancement
JP5517800B2 (ja) 2010-07-09 2014-06-11 キヤノン株式会社 固体撮像装置用の部材および固体撮像装置の製造方法
US8461017B2 (en) 2010-07-19 2013-06-11 Soitec Methods of forming bonded semiconductor structures using a temporary carrier having a weakened ion implant region for subsequent separation along the weakened region
FR2966283B1 (fr) 2010-10-14 2012-11-30 Soi Tec Silicon On Insulator Tech Sa Procede pour realiser une structure de collage
US8377798B2 (en) 2010-11-10 2013-02-19 Taiwan Semiconductor Manufacturing Co., Ltd Method and structure for wafer to wafer bonding in semiconductor packaging
US8620164B2 (en) 2011-01-20 2013-12-31 Intel Corporation Hybrid III-V silicon laser formed by direct bonding
US8796116B2 (en) 2011-01-31 2014-08-05 Sunedison Semiconductor Limited Methods for reducing the metal content in the device layer of SOI structures and SOI structures produced by such methods
US8501537B2 (en) 2011-03-31 2013-08-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures formed using such methods
US8716105B2 (en) 2011-03-31 2014-05-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures and intermediate structures formed using such methods
CN105938825B (zh) 2011-05-24 2019-04-05 索尼公司 半导体图像接收装置
US20130137244A1 (en) 2011-05-26 2013-05-30 Solexel, Inc. Method and apparatus for reconditioning a carrier wafer for reuse
JP5982748B2 (ja) 2011-08-01 2016-08-31 ソニー株式会社 半導体装置、半導体装置の製造方法、および電子機器
US8697493B2 (en) 2011-07-18 2014-04-15 Soitec Bonding surfaces for direct bonding of semiconductor structures
US8441131B2 (en) 2011-09-12 2013-05-14 Globalfoundries Inc. Strain-compensating fill patterns for controlling semiconductor chip package interactions
JP2015516672A (ja) 2012-02-26 2015-06-11 ソレクセル、インコーポレイテッド レーザ分割及び装置層移設のためのシステム及び方法
CN103377911B (zh) 2012-04-16 2016-09-21 中国科学院微电子研究所 提高化学机械平坦化工艺均匀性的方法
US9142517B2 (en) 2012-06-05 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding mechanisms for semiconductor wafers
US8809123B2 (en) 2012-06-05 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Three dimensional integrated circuit structures and hybrid bonding methods for semiconductor wafers
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
JP5685567B2 (ja) 2012-09-28 2015-03-18 株式会社東芝 表示装置の製造方法
US8987057B2 (en) 2012-10-01 2015-03-24 Nxp B.V. Encapsulated wafer-level chip scale (WLSCP) pedestal packaging
US10086584B2 (en) 2012-12-13 2018-10-02 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
US20140175655A1 (en) 2012-12-22 2014-06-26 Industrial Technology Research Institute Chip bonding structure and manufacturing method thereof
KR102075635B1 (ko) 2013-01-03 2020-03-02 삼성전자주식회사 웨이퍼 지지 구조물, 웨이퍼 지지 구조물을 포함하는 반도체 패키지의 중간 구조물, 및 중간 구조물을 이용한 반도체 패키지의 제조 방법
KR102077248B1 (ko) 2013-01-25 2020-02-13 삼성전자주식회사 기판 가공 방법
US8946784B2 (en) 2013-02-18 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for image sensor packaging
US8802538B1 (en) 2013-03-15 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for hybrid wafer bonding
BR112015023736A2 (pt) 2013-03-15 2017-07-18 First Solar Inc método para manufaturar dispositivo fotovoltaico
US9443796B2 (en) 2013-03-15 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Air trench in packages incorporating hybrid bonding
US9929050B2 (en) 2013-07-16 2018-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming three-dimensional integrated circuit (3DIC) stacking structure
WO2015040784A1 (ja) 2013-09-17 2015-03-26 パナソニックIpマネジメント株式会社 半導体装置及びその製造方法
US9723716B2 (en) 2013-09-27 2017-08-01 Infineon Technologies Ag Contact pad structure, an electronic component, and a method for manufacturing a contact pad structure
US9257399B2 (en) 2013-10-17 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. 3D integrated circuit and methods of forming the same
JP2015115446A (ja) 2013-12-11 2015-06-22 株式会社東芝 半導体装置の製造方法
US9437572B2 (en) 2013-12-18 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive pad structure for hybrid bonding and methods of forming same
KR102239643B1 (ko) 2013-12-26 2021-04-12 쇼와덴코머티리얼즈가부시끼가이샤 가고정용 필름, 가고정용 필름 시트 및 반도체 장치
WO2015112958A1 (en) 2014-01-27 2015-07-30 Corning Incorporated Articles and methods for controlled bonding of thin sheets with carriers
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US10157766B2 (en) * 2014-03-19 2018-12-18 Samsung Electronics Co., Ltd. Method of fabricating a semiconductor device
US9230941B2 (en) 2014-03-28 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structure for stacked semiconductor devices
US9299736B2 (en) 2014-03-28 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding with uniform pattern density
US9472458B2 (en) 2014-06-04 2016-10-18 Semiconductor Components Industries, Llc Method of reducing residual contamination in singulated semiconductor die
US9786643B2 (en) 2014-07-08 2017-10-10 Micron Technology, Inc. Semiconductor devices comprising protected side surfaces and related methods
KR102275705B1 (ko) 2014-07-11 2021-07-09 삼성전자주식회사 웨이퍼 대 웨이퍼 접합 구조
US9536848B2 (en) 2014-10-16 2017-01-03 Globalfoundries Inc. Bond pad structure for low temperature flip chip bonding
US9394161B2 (en) 2014-11-14 2016-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. MEMS and CMOS integration with low-temperature bonding
KR102327141B1 (ko) 2014-11-19 2021-11-16 삼성전자주식회사 프리패키지 및 이를 사용한 반도체 패키지의 제조 방법
KR20160067517A (ko) 2014-12-04 2016-06-14 삼성전자주식회사 반도체 소자의 제조방법
US11069734B2 (en) 2014-12-11 2021-07-20 Invensas Corporation Image sensor device
JP2016146395A (ja) 2015-02-06 2016-08-12 株式会社テラプローブ 半導体装置の製造方法及び半導体装置
KR102004195B1 (ko) 2015-03-23 2019-07-26 후지필름 가부시키가이샤 키트 및 적층체
US20160343685A1 (en) 2015-05-21 2016-11-24 Mediatek Inc. Semiconductor package assembly and method for forming the same
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US9656852B2 (en) 2015-07-06 2017-05-23 Taiwan Semiconductor Manufacturing Company Ltd. CMOS-MEMS device structure, bonding mesa structure and associated method
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US10075657B2 (en) 2015-07-21 2018-09-11 Fermi Research Alliance, Llc Edgeless large area camera system
US9728521B2 (en) 2015-07-23 2017-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bond using a copper alloy for yield improvement
US9559081B1 (en) 2015-08-21 2017-01-31 Apple Inc. Independent 3D stacking
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
JP2017054861A (ja) 2015-09-07 2017-03-16 株式会社東芝 半導体装置の製造方法
US10032751B2 (en) * 2015-09-28 2018-07-24 Invensas Corporation Ultrathin layer for forming a capacitive interface between joined integrated circuit components
US9496239B1 (en) 2015-12-11 2016-11-15 International Business Machines Corporation Nitride-enriched oxide-to-oxide 3D wafer bonding
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US10224219B2 (en) 2015-12-30 2019-03-05 International Business Machines Corporation Handler bonding and debonding for semiconductor dies
US9923011B2 (en) * 2016-01-12 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with stacked semiconductor dies
US10026716B2 (en) 2016-04-15 2018-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC formation with dies bonded to formed RDLs
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
KR102505856B1 (ko) 2016-06-09 2023-03-03 삼성전자 주식회사 웨이퍼 대 웨이퍼 접합 구조체
US9941241B2 (en) * 2016-06-30 2018-04-10 International Business Machines Corporation Method for wafer-wafer bonding
US9892961B1 (en) 2016-08-09 2018-02-13 International Business Machines Corporation Air gap spacer formation for nano-scale semiconductor devices
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
US10719762B2 (en) 2017-08-03 2020-07-21 Xcelsis Corporation Three dimensional chip structure implementing machine trained network
US10672663B2 (en) 2016-10-07 2020-06-02 Xcelsis Corporation 3D chip sharing power circuit
US10163750B2 (en) 2016-12-05 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure for heat dissipation
JP6512454B2 (ja) 2016-12-06 2019-05-15 パナソニックIpマネジメント株式会社 素子チップの製造方法
US10453832B2 (en) 2016-12-15 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Seal ring structures and methods of forming same
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
US20180182665A1 (en) 2016-12-28 2018-06-28 Invensas Bonding Technologies, Inc. Processed Substrate
KR102320673B1 (ko) 2016-12-28 2021-11-01 인벤사스 본딩 테크놀로지스 인코포레이티드 적층된 기판의 처리
US20180190583A1 (en) 2016-12-29 2018-07-05 Invensas Bonding Technologies, Inc. Bonded structures with integrated passive component
TWI782939B (zh) 2016-12-29 2022-11-11 美商英帆薩斯邦德科技有限公司 具有整合式被動構件的接合結構
US10276909B2 (en) 2016-12-30 2019-04-30 Invensas Bonding Technologies, Inc. Structure comprising at least a first element bonded to a carrier having a closed metallic channel waveguide formed therein
US10431614B2 (en) 2017-02-01 2019-10-01 Semiconductor Components Industries, Llc Edge seals for semiconductor packages
WO2018147940A1 (en) 2017-02-09 2018-08-16 Invensas Bonding Technologies, Inc. Bonded structures
WO2018169968A1 (en) 2017-03-16 2018-09-20 Invensas Corporation Direct-bonded led arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
JP6640780B2 (ja) 2017-03-22 2020-02-05 キオクシア株式会社 半導体装置の製造方法および半導体装置
WO2018183739A1 (en) 2017-03-31 2018-10-04 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10854568B2 (en) 2017-04-07 2020-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with Si-substrate-free interposer and method forming same
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10580823B2 (en) 2017-05-03 2020-03-03 United Microelectronics Corp. Wafer level packaging method
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10453711B2 (en) * 2017-05-31 2019-10-22 Facebook Technologies, Llc Fluidic pick-up head for small semiconductor devices
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10217720B2 (en) 2017-06-15 2019-02-26 Invensas Corporation Multi-chip modules formed using wafer-level processing of a reconstitute wafer
CN107611075A (zh) 2017-09-04 2018-01-19 华进半导体封装先导技术研发中心有限公司 一种临时键合结构及临时键合方法
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US11251157B2 (en) 2017-11-01 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure with hybrid bonding structure and method of fabricating the same and package
US11011503B2 (en) 2017-12-15 2021-05-18 Invensas Bonding Technologies, Inc. Direct-bonded optoelectronic interconnect for high-density integrated photonics
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US11127738B2 (en) 2018-02-09 2021-09-21 Xcelsis Corporation Back biasing of FD-SOI circuit blocks
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11256004B2 (en) 2018-03-20 2022-02-22 Invensas Bonding Technologies, Inc. Direct-bonded lamination for improved image clarity in optical devices
US10991804B2 (en) 2018-03-29 2021-04-27 Xcelsis Corporation Transistor level interconnection methodologies utilizing 3D interconnects
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US11398258B2 (en) 2018-04-30 2022-07-26 Invensas Llc Multi-die module with low power operation
US10403577B1 (en) 2018-05-03 2019-09-03 Invensas Corporation Dielets on flexible and stretchable packaging for microelectronics
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
US10923413B2 (en) 2018-05-30 2021-02-16 Xcelsis Corporation Hard IP blocks with physically bidirectional passageways
CN112514059B (zh) 2018-06-12 2024-05-24 隔热半导体粘合技术公司 堆叠微电子部件的层间连接
KR20210009426A (ko) 2018-06-13 2021-01-26 인벤사스 본딩 테크놀로지스 인코포레이티드 패드로서의 tsv
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
WO2020010056A1 (en) 2018-07-03 2020-01-09 Invensas Bonding Technologies, Inc. Techniques for joining dissimilar materials in microelectronics
WO2020010136A1 (en) 2018-07-06 2020-01-09 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
WO2020010265A1 (en) 2018-07-06 2020-01-09 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US20200035641A1 (en) 2018-07-26 2020-01-30 Invensas Bonding Technologies, Inc. Post cmp processing for hybrid bonding
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US11296044B2 (en) 2018-08-29 2022-04-05 Invensas Bonding Technologies, Inc. Bond enhancement structure in microelectronics for trapping contaminants during direct-bonding processes
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
CN113330557A (zh) 2019-01-14 2021-08-31 伊文萨思粘合技术公司 键合结构
US11222864B2 (en) 2019-01-28 2022-01-11 Amerasia International Technology Semiconductor wafer processing arrangement employing an adhesive sheet and method for processing a semiconductor wafer
US11387202B2 (en) 2019-03-01 2022-07-12 Invensas Llc Nanowire bonding interconnect for fine-pitch microelectronics
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US20200395321A1 (en) 2019-06-12 2020-12-17 Invensas Bonding Technologies, Inc. Sealed bonded structures and methods for forming the same
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US20210098412A1 (en) 2019-09-26 2021-04-01 Invensas Bonding Technologies, Inc. Direct gang bonding methods and structures
US20210118864A1 (en) 2019-10-21 2021-04-22 Invensas Corporation Non-Volatile Dynamic Random Access Memory
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
US11842894B2 (en) 2019-12-23 2023-12-12 Adeia Semiconductor Bonding Technologies Inc. Electrical redundancy for bonded structures
US20210242152A1 (en) 2020-02-05 2021-08-05 Invensas Bonding Technologies, Inc. Selective alteration of interconnect pads for direct bonding
WO2021188846A1 (en) 2020-03-19 2021-09-23 Invensas Bonding Technologies, Inc. Dimension compensation control for directly bonded structures
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
WO2021236361A1 (en) 2020-05-19 2021-11-25 Invensas Bonding Technologies, Inc. Laterally unconfined structure
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
TW202236439A (zh) 2020-10-29 2022-09-16 美商英帆薩斯邦德科技有限公司 直接接合方法及結構
CN116529867A (zh) 2020-10-29 2023-08-01 美商艾德亚半导体接合科技有限公司 直接接合方法和结构
KR20230125309A (ko) 2020-12-28 2023-08-29 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 기판-관통 비아를 가지는 구조체 및 이를 형성하기위한 방법
WO2022147430A1 (en) 2020-12-28 2022-07-07 Invensas Bonding Technologies, Inc. Structures with through-substrate vias and methods for forming the same
JP2024501559A (ja) 2020-12-30 2024-01-12 アデイア セミコンダクター ボンディング テクノロジーズ インコーポレイテッド 導電特徴部を備えた構造体及びその形成方法
TW202243197A (zh) 2020-12-30 2022-11-01 美商英帆薩斯邦德科技有限公司 直接接合結構
CN117256047A (zh) 2021-03-03 2023-12-19 美商艾德亚半导体接合科技有限公司 用于直接接合的接触结构
US20220320035A1 (en) 2021-03-31 2022-10-06 Invensas Bonding Technologies, Inc. Direct bonding methods and structures
CN117413344A (zh) 2021-03-31 2024-01-16 美商艾德亚半导体接合科技有限公司 载体的直接结合和解结合
WO2022212595A1 (en) 2021-03-31 2022-10-06 Invensas Bonding Technologies, Inc. Direct bonding and debonding of carrier
US20230005850A1 (en) 2021-06-30 2023-01-05 Invensas Bonding Technologies, Inc. Element with routing structure in bonding layer
CN117859202A (zh) 2021-07-16 2024-04-09 美商艾德亚半导体接合科技有限公司 用于接合结构的光学阻塞保护元件
CN118103972A (zh) 2021-08-02 2024-05-28 美商艾德亚半导体接合科技有限公司 用于键合结构的保护性半导体元件
US20230067677A1 (en) 2021-09-01 2023-03-02 Invensas Bonding Technologies, Inc. Sequences and equipment for direct bonding
WO2023034738A1 (en) 2021-09-01 2023-03-09 Adeia Semiconductor Technologies Llc Stacked structure with interposer
US20230115122A1 (en) 2021-09-14 2023-04-13 Adeia Semiconductor Bonding Technologies Inc. Method of bonding thin substrates
CN118215999A (zh) 2021-09-24 2024-06-18 美商艾德亚半导体接合科技有限公司 具有有源转接件的接合结构
WO2023069323A1 (en) 2021-10-18 2023-04-27 Adeia Semiconductor Technologies Llc Reduced parasitic capacitance in bonded structures
CN118251765A (zh) 2021-10-19 2024-06-25 美商艾德亚半导体接合科技有限公司 多裸片堆叠中的堆叠电感器
US20230130259A1 (en) 2021-10-22 2023-04-27 Invensas Llc Radio frequency device packages
US20230187412A1 (en) 2021-10-25 2023-06-15 Adeia Semiconductor Bonding Technologies Inc. Power distribution for stacked electronic devices
US20230125395A1 (en) 2021-10-27 2023-04-27 Adeia Semiconductor Bonding Technologies Inc. Stacked structures with capacitive coupling connections
US20230132632A1 (en) 2021-10-28 2023-05-04 Adeia Semiconductor Bonding Technologies Inc. Diffusion barriers and method of forming same
US20230140107A1 (en) 2021-10-28 2023-05-04 Adeia Semiconductor Bonding Technologies Inc. Direct bonding methods and structures
US20230142680A1 (en) 2021-10-28 2023-05-11 Adeia Semiconductor Bonding Technologies Inc. Stacked electronic devices
WO2023081273A1 (en) 2021-11-05 2023-05-11 Adeia Semiconductor Bonding Technologies Inc. Multi-channel device stacking
US20230154816A1 (en) 2021-11-17 2023-05-18 Adeia Semiconductor Bonding Technologies Inc. Thermal bypass for stacked dies
US20230154828A1 (en) 2021-11-18 2023-05-18 Adeia Semiconductor Bonding Technologies Inc. Fluid cooling for die stacks
US20230187264A1 (en) 2021-12-13 2023-06-15 Adeia Semiconductor Technologies Llc Methods for bonding semiconductor elements
WO2023114726A1 (en) 2021-12-13 2023-06-22 Adeia Semiconductor Bonding Technologies Inc. Interconnect structures
US20230197453A1 (en) 2021-12-17 2023-06-22 Adeia Semiconductor Bonding Technologies Inc. Structure with conductive feature for direct bonding and method of forming same
WO2023122510A1 (en) 2021-12-20 2023-06-29 Adeia Semiconductor Bonding Technologies Inc. Thermoelectric cooling in microelectronics
WO2023122509A1 (en) 2021-12-20 2023-06-29 Adeia Semiconductor Bonding Technologies Inc. Thermoelectric cooling for die packages
US20230197496A1 (en) 2021-12-20 2023-06-22 Adeia Semiconductor Bonding Technologies Inc. Direct bonding and debonding of elements
US20230197655A1 (en) 2021-12-22 2023-06-22 Adeia Semiconductor Bonding Technologies Inc. Low stress direct hybrid bonding
US20230207474A1 (en) 2021-12-23 2023-06-29 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with interconnect assemblies
WO2023122687A1 (en) 2021-12-23 2023-06-29 Adeia Semiconductor Bonding Technologies Inc. Apparatuses and methods for die bond control
WO2023122732A1 (en) 2021-12-23 2023-06-29 Adeia Semiconductor Bonding Technologies Inc. Direct bonding on package substrates
WO2023129901A1 (en) 2021-12-27 2023-07-06 Adeia Semiconductor Bonding Technologies Inc. Directly bonded frame wafers

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040241958A1 (en) * 2003-06-02 2004-12-02 International Business Machines Corporation Method of fabricating silicon devices on sapphire with wafer bonding at low temperature
CN101952934A (zh) * 2008-02-13 2011-01-19 硅绝缘体技术有限公司 半导体基板表面制备方法
CN102034687A (zh) * 2009-09-28 2011-04-27 S.O.I.Tec绝缘体上硅技术公司 键合和转移层的工艺
US20140353828A1 (en) * 2013-05-30 2014-12-04 International Business Machines Corporation Substrate bonding with diffusion barrier structures
WO2017123407A1 (en) * 2016-01-13 2017-07-20 Ziptronix, Inc. Systems and methods for efficient transfer of semiconductor elements

Also Published As

Publication number Publication date
US20190326252A1 (en) 2019-10-24
TW202343661A (zh) 2023-11-01
TW202004976A (zh) 2020-01-16
CN112020763B (zh) 2024-04-09
US20240186284A1 (en) 2024-06-06
US20210233889A1 (en) 2021-07-29
US10964664B2 (en) 2021-03-30
US11791307B2 (en) 2023-10-17
WO2019204532A1 (en) 2019-10-24
TWI809092B (zh) 2023-07-21

Similar Documents

Publication Publication Date Title
CN112020763B (zh) 用于简化的手柄晶片的dbi到si的键合
US11652083B2 (en) Processed stacked dies
US20230008039A1 (en) Processing stacked substrates
US9553014B2 (en) Bonded processed semiconductor structures and carriers
CN112585740A (zh) 作为焊盘的tsv
CN112470272A (zh) 针对混合接合的后cmp处理
US11097306B2 (en) Support for bonding a workpiece and method thereof
TWI445101B (zh) 暫時性半導體結構接合方法及相關經接合的半導體結構
JP5095394B2 (ja) ウエハの移動方法
SG177816A1 (en) Methods of forming bonded semiconductor structures, and semiconductor structures formed by such methods
CN111834296A (zh) 半导体器件和方法
CN118280903A (zh) 用于简化的手柄晶片的dbi到si的键合
WO2021231113A1 (en) Method and system for transferring alignment marks between substrate systems
CN111863704B (zh) 用于熔接和剥离的低密度硅氧化物的方法和结构

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
CB02 Change of applicant information
CB02 Change of applicant information

Address after: California, USA

Applicant after: Insulation Semiconductor Bonding Technology Co.

Address before: California, USA

Applicant before: Evanss Adhesive Technologies

GR01 Patent grant
GR01 Patent grant