JP2024501559A - 導電特徴部を備えた構造体及びその形成方法 - Google Patents

導電特徴部を備えた構造体及びその形成方法 Download PDF

Info

Publication number
JP2024501559A
JP2024501559A JP2023540204A JP2023540204A JP2024501559A JP 2024501559 A JP2024501559 A JP 2024501559A JP 2023540204 A JP2023540204 A JP 2023540204A JP 2023540204 A JP2023540204 A JP 2023540204A JP 2024501559 A JP2024501559 A JP 2024501559A
Authority
JP
Japan
Prior art keywords
conductive
cavity
grains
bonding
bonding surface
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023540204A
Other languages
English (en)
Inventor
シプリアン エメカ ウゾー
Original Assignee
アデイア セミコンダクター ボンディング テクノロジーズ インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by アデイア セミコンダクター ボンディング テクノロジーズ インコーポレイテッド filed Critical アデイア セミコンダクター ボンディング テクノロジーズ インコーポレイテッド
Publication of JP2024501559A publication Critical patent/JP2024501559A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/031Manufacture and pre-treatment of the bonding area preform
    • H01L2224/0311Shaping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/0346Plating
    • H01L2224/03462Electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/038Post-treatment of the bonding area
    • H01L2224/0383Reworking, e.g. shaping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/038Post-treatment of the bonding area
    • H01L2224/0383Reworking, e.g. shaping
    • H01L2224/0384Reworking, e.g. shaping involving a mechanical process, e.g. planarising the bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/038Post-treatment of the bonding area
    • H01L2224/0383Reworking, e.g. shaping
    • H01L2224/03845Chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/038Post-treatment of the bonding area
    • H01L2224/03848Thermal treatments, e.g. annealing, controlled cooling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05181Tantalum [Ta] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/05186Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05541Structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05644Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05655Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08151Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/08221Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/08225Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80009Pre-treatment of the bonding area
    • H01L2224/8001Cleaning the bonding area, e.g. oxide removal step, desmearing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80009Pre-treatment of the bonding area
    • H01L2224/8001Cleaning the bonding area, e.g. oxide removal step, desmearing
    • H01L2224/80013Plasma cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80009Pre-treatment of the bonding area
    • H01L2224/8003Reshaping the bonding area in the bonding apparatus, e.g. flattening the bonding area
    • H01L2224/80031Reshaping the bonding area in the bonding apparatus, e.g. flattening the bonding area by chemical means, e.g. etching, anodisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8034Bonding interfaces of the bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8036Bonding interfaces of the semiconductor or solid state body
    • H01L2224/80379Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80905Combinations of bonding methods provided for in at least two different groups from H01L2224/808 - H01L2224/80904
    • H01L2224/80906Specific sequence of method steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80909Post-treatment of the bonding area
    • H01L2224/80948Thermal treatments, e.g. annealing, controlled cooling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area

Abstract

素子が開示される。素子は、非導電接合面を備えた非導電構造体、非導電接合面から非導電構造体の厚みの一部分を貫通して少なくとも部分的に延びる空洞、及び空洞内に設けられた導電パッドを有する。空洞は、底側部及び側壁を有する。導電パッドは、接合面及びこの接合面と反対側に位置した裏側の面を有する。接合面のところの結晶粒の平均サイズ(平均粒度)は、空洞の底側部に隣接したところの結晶粒の平均サイズよりも小さい。導電パッドは、結晶粒が111結晶面沿いに配向した結晶構造を有するのがよい。素子は、接合構造体を形成するよう別の素子に接合されるのがよい。素子及び他の素子は、介在する接着剤なしで互いに直接接合されるのがよい。

Description

本技術分野は、例えば表面接触パッドのような特徴部を備えた構造体、及びかかる構造体を形成する方法、並びに工学的設計の金属結晶粒を有する導電特徴部を備えた構造体、及びかかる構造体を形成すると共にかかる特徴部を他の素子上の導電特徴部に直接接合(ダイレクトボンディング)する方法に関する。
〔関連出願の相互参照〕
本願は、2020年12月30日に出願された米国特許仮出願第63/132,334号(発明の名称:STRUCTURE WITH CONDUCTIVE FEATURE AND METHOD OF FORMING SAME)の優先権主張出願であり、この米国特許仮出願を参照により引用し、その記載内容全体を本明細書の一部とする。
半導体素子、例えば集積化デバイスダイ又はチップは、他の素子上に実装され又は積み重ねられる場合がある。例えば、半導体素子をキャリヤ、例えばパッケージ基板、インターポーザ、再構成ウエハ又は素子などに実装されるのがよい。もう1つの例として、半導体素子は、別の半導体素子の頂部上に積み重ねられる場合があり、例えば、第1の集積化デバイスダイが第2の集積化デバイスダイ上に積み重ねられる場合がある。半導体素子の各々は、半導体素子を互いに機械的にかつ電気的に接合する導電パッドを有する場合がある。導電パッドを形成する改良方法が要望され続けている。
本発明の一観点によれば、素子であって、
非導電接合面を備えた非導電構造体を有し、
非導電接合面から非導電構造体の厚みの一部分を貫通して少なくとも部分的に延びる空洞を有し、空洞は、底側部及び側壁を有し、
空洞内に設けられた導電パッドを有し、導電パッドは、接合面及び接合面と反対側に位置した裏側の面を有し、接合面のところの導電パッドの結晶粒の平均サイズは、空洞の底側部に隣接して位置する結晶粒の平均厚さよりも小さいことを特徴とする素子が提供される。
本発明の別の観点によれば、接合構造体であって、
第1の素子を有し、第1の素子は、
非導電接合面を備えた非導電構造体を有し、
非導電接合面から非導電構造体の厚みの一部分を貫通して少なくとも部分的に延びる空洞を有し、空洞は、底側部及び側壁を有し、
空洞内に設けられた導電パッドを有し、導電パッドは、接合面及び接合面と反対側に位置した裏側の面を有し、接合面のところの導電パッドの結晶粒の平均サイズは、空洞の底側部に隣接して位置する結晶粒の平均厚さよりも少なくとも20%大きく、
第2の導電パッドを備えた第2の素子を有し、
第1の素子の導電パッドと第2の素子の第2の導電パッドは、介在接着剤なしで接合インターフェースに沿って互いに直接接合されていることを特徴とする接合構造体が提供される。
本発明の別の観点によれば、素子を形成する方法であって、
第1の面及び第1の面と反対側に位置する第2の面を備えた非導電構造体を提供するステップを含み、
非導電構造体内に空洞を形成するステップを含み、
空洞内にかつ非導電構造体の第1の面の一部分上に導電材料を提供するステップを含み、導電材料は、空洞の底側部に向いた下側の面及び下側の面と反対側に位置する上側の面を有し、
導電材料の上側の面を冷間加工して導電材料の結晶構造を改変するステップを含み、冷間加工は、約-196℃~50℃で実施され、
導電材料の少なくとも一部分を除去して導電接合面を備えた導電パッドを形成するステップを含むことを特徴とする方法が提供される。
本発明の別の観点によれば、接合構造体を形成する方法であって、上記素子を第2の非導電構造体及び第2の導電パッドを備えた第2の素子に接合するステップを含むことを特徴とする方法が提供される。
本発明の別の観点によれば、素子であって、
非導電接合面を備えた非導電構造体を有し、
非導電接合面から非導電構造体の厚みの一部分を貫通して少なくとも部分的に延びる空洞を有し、空洞は、底側部及び側壁を有し、
空洞内に設けられた導電パッドを有し、導電パッドは、接合面及び接合面と反対側に位置した裏側の面を有し、導電パッドは、底側部に隣接したところと比較して、接合面のところに111結晶面を備えた低い百分率の結晶粒を有することを特徴とする素子が提供される。
本発明の別の観点によれば、素子であって、
非導電接合面を備えた非導電構造体を有し、
非導電接合面から非導電構造体の厚みの一部分を貫通して少なくとも部分的に延びる空洞を有し、空洞は、底側部及び側壁を有し、
空洞内に設けられた導電特徴部を有し、導電特徴部は、接合面及び接合面と反対側に位置した裏側の面を有し、
接合面の近くに位置する導電特徴部の一部分内の結晶粒の平均サイズは、200ナノメートル(nm)未満であることを特徴とする素子が提供される。
本発明の別の観点によれば、接合構造体であって、
第1の素子を有し、第1の素子は、
非導電接合面を備えた非導電構造体を有し、
非導電接合面から非導電構造体の厚みの一部分を貫通して少なくとも部分的に延びる空洞を有し、空洞は、底側部及び側壁を有し、
空洞内に設けられた導電パッドを有し、導電パッドは、接合面及び接合面と反対側に位置した裏側の面を有し、導電パッドは、結晶粒が111結晶面に沿って配向した結晶構造を含み、接合面のところに位置する導電パッドの平均結晶粒サイズは、裏側の面のところに位置する導電パッドの平均結晶粒サイズよりも大きく、
第2の導電パッドを備えた第2の素子を有し、
第1の素子の接合面と第2の素子は、介在接着剤なしで接合インターフェースに沿って互いに直接接合されていることを特徴とする接合構造体が提供される。
本発明の別の観点によれば、素子を形成する方法であって、
第1の面及び第1の面と反対側に位置する第2の面を備えた非導電構造体を提供するステップを含み、
非導電構造体の第1の面内に空洞を形成するステップを含み、

空洞内にかつ非導電構造体の第1の面を覆って導電材料を提供するステップと、
サーマルアニールによって導電材料の結晶粒サイズを増大させるステップと、
アニールされた導電材料中に格子欠陥を形成するステップと、
非導電接合面及び導電接合面を備えた平坦な接合面を形成するステップと、を含み、
導電接合面は、格子欠陥を有することを特徴とする方法が提供される。
本発明の別の観点によれば、接合構造体であって、
第1の素子を有し、第1の素子は、
非導電接合面を備えた非導電構造体を有し、
非導電接合面から非導電構造体の厚みの一部分を貫通して少なくとも部分的に延びる空洞を有し、空洞は、底側部及び側壁を有し、
空洞内に設けられた導電パッドを有し、導電パッドは、接合面及び接合面と反対側に位置した裏側の面を有し、導電パッドは、全体として非導電接合面に平行に配向された長さ方向柱状結晶粒構造を有し、
第2の導電パッドを備えた第2の素子を有し、
第1の素子の導電パッドと第2の素子の第2の導電パッドは、介在接着剤なしで接合インターフェースに沿って互いに直接接合されていることを特徴とする接合構造体が提供される。
本発明の別の観点によれば、接合構造体であって、
第1の素子を有し、第1の素子は、
非導電接合面を備えた非導電材料の表面内に埋め込まれた平板状導電構造体を有し、 導電構造体は、全体として非導電接合面に平行に配向した長手方向柱状結晶粒構造を有し、
平坦な接合面を備えた第2の素子を有し、
第1の素子の接合面と第2の素子の接合面は、介在接着剤なしで接合インターフェースに沿って互いに直接接合されていることを特徴とする接合構造体が提供される。
本発明の別の観点によれば、接合構造体であって、
第1の導電特徴部及び第1の非導電領域を含む第1の素子と、
介在する接着剤なしで第1の導電特徴部に直接接合された第2の導電特徴部及び第1の非導電領域に接合された第2の非導電領域を含む第2の素子を有し、
互いに接合された第1の導電特徴部と第2の導電特徴部は、各々が第1の素子と第2の素子との間の接合インターフェースに沿う長さ及び接合インターフェースに垂直な厚さを有する結晶粒を含み、結晶粒は、結晶粒の平均厚さの少なくとも1.5倍である平均長さを有することを特徴とする接合構造体が提供される。
次に、以下の図面を参照して特定の具体化例について説明するが、具体化例は、例示として提供されていて、本発明を限定するものではない。
一実施形態としての素子の概略断面図である。 図2Aは、一実施形態に従って図1に示す素子を形成する製造プロセスの一ステップを示す図であり、図2B-図2Dは、図1に示す素子を形成する製造プロセスの別のステップを示す図である。 図2E-図2Fは、図1に示す素子を形成する製造プロセスの別のステップを示す図である。 図2Gは、図1に示す素子を形成する製造プロセスの別のステップを示す図であり、図2Hは、別の素子と接触状態にある素子の概略断面図であり、図2Iは、接合構造体の概略断面図である。 図3Aは、一実施形態に従って図1に示す素子を形成する別の製造プロセスの一ステップを示す図であり、図3B-図3Dは、図1に示す素子を形成する製造プロセスの別のステップを示す図である。 図3E-図3Gは、図1に示す素子を形成する製造プロセスの別のステップを示す図である。
本開示は、マイクロ電子素子内の導電パッドのための金属結晶粒構造を工学的に設計する方法に関する。かかる工学的設計は、直接金属接合、直接金属ハイブリッド接合にとって有利な場合がある。例えば、2つ以上の半導体素子(集積化デバイスダイ、ウエハなど)を互いに積み重ね又は接合して、接合構造体を形成することができる。1つの素子の導電接触パッドをもう1つの素子の対応の導電接触パッドに電気的に接続するのがよい。任意の適当な数の素子を接合構造体内に積み重ねることができる。ここに説明する方法及びボンドパッド構造は、他の技術的背景においても有用な場合がある。
幾つかの実施形態では、素子は、接着剤なしで互いに直接接合される。種々の実施形態では、第1の素子の非導電(例えば、半導体又は無機誘電体)材料を接着剤なしで第2の素子の対応の非導電(例えば、半導体又は無機誘電体)又は誘電フィールド領域に直接接合することができる。種々の実施形態では、第1の素子の導電領域(例えば、金属パッド)を接着剤なしで第2の素子の対応の導電領域(例えば、金属パッド)に直接接合するのがよい。 非導電材料を第1の素子の非導電接合領域又は接合層という場合がある。幾つかの実施形態では、第1の素子の非導電材料を、接着剤なしの接合技術を用いて、例えば少なくとも米国特許第9,564,414号明細書、同第9,391,143号明細書、及び同第10,434,749号明細書に開示された直接接合技術を用いて、第2の素子の対応の非導電材料に直接接合するのがよく、これら米国特許を参照により引用し、これら米国特許の各々の記載内容全体を全ての目的に関して本明細書の一部とする。他の用途では、接合構造では、第1の素子の非導電材料を第2の素子の導電材料に直接接合するのがよく、その結果、第1の素子の導電材料は、第2の素子の非導電材料と密着した状態で合致するようになっている。直接接合に適した誘電体としては、無機誘電体、例えば酸化ケイ素、窒化ケイ素、若しくはオキシ窒化ケイ素が挙げられるが、これらには限定されず、或いは、かかる誘電体は、炭素、例えば炭化ケイ素、オキシ炭窒化ケイ素、炭窒化ケイ素、又はダイヤモンド状炭素を含むのがよい。かかる炭素含有セラミック材料を炭素が含まれているにもかかわらず、無機であると見なすことができる。ハイブリッド直接接合の追加の例が米国特許第11,056,390号全体にわたって見受けられ、この米国特許を参照により引用し、その記載内容全体を全ての目的に関して本明細書の一部とする。
種々の実施形態では、直接接合部を介在接着剤なしで形成することができる。例えば、半導体又は非導電接合面を高い平滑度に研磨することができる。接合面を清浄化して、プラズマ剤に当てると、表面を活性化させることができる。幾つかの実施形態では、これら表面は、活性化後又は活性化中(例えば、プラズマプロセス中)、化学種を末端とするのがよい。理論に束縛されるものではないが、幾つかの実施形態では、活性化プロセスは、接合面のところでの化学結合を壊すために実施されるのがよく、末端化プロセスは、直接接合中における接合エネルギーを向上させる1種類以上の追加の化学種を接合面のところに提供することができる。幾つかの実施形態では、活性化及び末端化は、同一のステップで、例えば表面を活性化させると共に末端化するためのプラズマ又はウェットエッチング剤を用いて実施される。他の実施形態では、接合面を別個の処理で末端化して直接接合のための追加の化学種を提供することができる。種々の実施形態では、末端となる化学種は、窒素を含むのがよい。さらに、幾つかの実施形態では、接合面をフッ素にさらすのがよい。例えば、層及び/又は接合インターフェースの近くに1つ又は複数のフッ素ピークが生じるのがよい。かくして、直接接合構造体では、2つの誘電体相互間の接合インターフェースは、接合面のところに高い窒素含有量及び/又はフッ素ピークを有する極めて滑らかなインターフェースを構成することができる。活性化及び/又は末端化処理の追加の実施例が米国特許第9,564,414号明細書、同第9,391,143号明細書、及び同第10,434,749号明細書を通して見受けられ、これら米国特許の各々を参照により引用し、その記載内容全体を全ての目的に関して本明細書の一部とする。
種々の実施形態では、第1の素子の導電接触パッドもまた、第2の素子の対応の導電接触パッドに直接接合されるのがよい。例えば、直接ハイブリッド接合技術を用いると、上述したように前処理された、共有結合的に直接接合されている誘電体‐誘電体表面を含む接合部インターフェースに沿って、導体‐導体直接接合部を提供することができる。種々の実施形態では、導体‐導体(例えば、接触パッド‐接触パッド)直接ボンド及び非導電体‐非導電体ハイブリッドボンドは、少なくとも米国特許第9,716,033号明細書及び同第9,852,988号明細書に開示された直接接合技術を用いて形成でき、これら米国特許の各々を参照により引用し、その記載内容全体を全ての目的に関して本明細書の一部とする。ここに説明するボンド構造体はまた、非導電領域接合なしでの直接金属接合又は他の接合技術にとっても有用な場合がある。
幾つかの実施形態では、無機誘電接合インターフェースを前処理して、上述のように介在接着剤なしで互いに直接接合することができる。導電接触パッド(これは、非導電フィールド領域によって包囲されるのがよい)もまた、介在接着剤なしで互いに直接接合することができる。幾つかの実施形態では、それぞれの接触パッドを誘電フィールド領域又は非導電接合領域の外面(例えば、上面)の下に、例えば、接触パッドを30nm未満、20nm未満、15nm未満、又は10nm未満だけ凹ませるのがよく、また、2nm~20nmの範囲又は4nm~10nmの範囲で凹ませるのがよい。非導電接合領域を、幾つかの実施形態では、室温で接着剤なしで互いに直接接合するのがよく、その後、接合構造体をアニールするのがよい。アニール時、接触パッドは、非導電接合領域に対して熱膨張して互いに接触し、それにより金属間直接接合部を形成することができる。有益には、カリフォルニア州サンノゼ所在のXperi社から商業的に入手できるダイレクト・ボンド・インターコネクト(Direct Bond Interconnect:DBI(登録商標))ハイブリッド接合技術の使用により、高密度のパッドを、直接接合部インターフェースを横切って接続することができる(例えば、規則的なアレイについては小さな又は細かいピッチで)。種々の実施形態では、接触パッドは、銅又は銅合金を含むのがよく、ただし、他の適当な金属が適している場合がある。
かくして、直接接合プロセスでは、第1の素子を介在接着剤なしで第2の素子に直接接合することができる。幾つかの構成例では、第1の素子は、単一化された素子、例えば単一化された集積化デバイスダイから成るのがよい。他の構成例では、第1の素子は、単一化されたときに複数の集積化デバイスダイを形成する複数の(例えば、数十個、数百個、又はそれ以上)のデバイス領域を含むキャリヤ又は基板(例えば、ウエハ)から成るのがよい。同様に、第2の素子は、単一化された素子、例えば単一化された集積化デバイスダイから成るのがよい。他の構成例では、第2の素子は、キャリヤ又は基板(例えば、ウエハ)から成るのがよい。
ここに説明するように、第1の素子と第2の素子を接着剤なしで互いに直接接合することができ、これは、被着プロセスとは異なっている。したがって、第1及び第2の素子は、非被着素子から成ってもよい。さらに、直接接合構造体は、被着層とは異なり、接合部インターフェースに沿って、ナノボイドが存在する欠陥領域を含む場合がある。ナノボイドは、接合面の活性化(例えば、プラズマへの暴露)に起因して形成される場合がある。上述したように、接合部インターフェースは、活性化から生じる物質の濃縮を含む場合がある。例えば、活性化のために窒素プラズマを利用する実施形態では、窒素ピークが接合部インターフェースのところに形成される場合がある。活性化のために酸素プラズマを利用する実施形態では、酸素ピークが接合部インターフェースのところに形成される場合がある。幾つかの実施形態では、接合部インターフェースは、窒素を末端とする無機非導電材料、例えば窒素を末端とするケイ素、酸化ケイ素、窒化ケイ素、オキシ窒化ケイ素、炭化ケイ素、オキシ炭化ケイ素、オキシ炭窒化ケイ素などから成るのがよい。かくして、接合層の表面は、窒化ケイ素、オキシ窒化ケイ素、オキシ炭窒化ケイ素、又は炭窒化ケイ素から成るのがよく、接合インターフェースのところに存在する窒素のレベルは、直接接合前における上記素子のうちの少なくとも1つの窒素末端を表す。幾つかの実施形態では、窒素及び窒素関連成分は、接合インターフェースのところに存在しない場合がある。ここに説明するように、直接接合部は、共有結合を含み、この共有結合は、ファンデルワールス結合よりも強固である。接合層は、高い平滑度まで平坦化された研磨表面をさらに有するのがよい。
種々の実施形態では、接触パッド相互間の金属間接合部は、銅粒が接合部インターフェースを横切って互いの中に成長するよう接合するのがよい。幾つかの実施形態では、銅は、接合部インターフェースを横切る銅の拡散を向上させるために、111結晶面に沿って垂直に配向した結晶粒を有するのがよい。幾つかの実施形態では、導電材料中の111結晶面の配向不一致は、導電材料の表面から見て垂直方向に対して±30°の範囲内にあるのがよい。幾つかの実施形態では、結晶方位不一致は、垂直方向に対して±20°の範囲内にあるのがよく又は±15°の範囲内にあるのがよい。接合部インターフェースは、接合接触パッドの少なくとも一部分まで実質的に完全に延びるのがよく、その結果、接合接触パッドのところ又はその近くに非導電接合領域相互間に実質的にギャップが生じないようになっている。幾つかの実施形態では、バリヤ層を接触パッド(例えば、銅を含むのがよい)の下に設けるのがよい。しかしながら、他の実施形態では、例えば、米国特許出願公開第2019/0096741号明細書に記載されているように、接触パッドの下にバリヤ層がなくてもよく、この米国特許出願公開を参照により引用し、その記載内容全体を全ての目的に関して本明細書の一部とする。
金属間直接接合部を形成するためのアニール(焼なまし)温度及びアニール持続時間は、アニールによる熱対策予算の消費に影響を及ぼす場合がある。アニール温度を減少させると共に/或いはアニール時間を短縮させて熱的(エネルギー)予算の消費を最小限に抑えることが望ましい場合がある。111結晶面(<111>)に沿う原子の表面拡散は、100又は110結晶平面に沿う場合よりも3桁から4桁早い場合がある。また、結晶粒が111結晶面に沿って配向した金属(例えば、Cu)は、従来のBEOL(back end of line)銅と比較して、高い表面移動度を有するのがよい。さらに、低温直接金属間接合は、Cuの111結晶面上のクリープによって実現可能になる。したがって、直接接合(例えば、直接ハイブリッド接合)のためにアニール時間を短縮すると共に/或いはアニール温度を低下させるためには接合面上に111結晶平面を有することが有利な場合がある。111結晶平面を有する場合の利点は、特に低温で顕著な場合があり、と言うのは、金属表面拡散(例えば、Cu表面拡散)もまた、アニール温度を減少させたときに速度が落ちるからである。したがって、本明細書において開示する種々の実施形態では、結晶構造は、直接接合中、金属拡散(例えば、銅拡散)を促進するためには111結晶面に沿って垂直に配向した結晶粒を有するのがよい。
111結晶配向のCuを有する銅(Cu)層を導電層又は接合パッドの接合面のところ又はその近くにめっきするよう選択されたプロセスにより金属層を形成するのがよい。Cu層を例えば直接ハイブリッドを接合中に起こる直接金属間接合を最適化するためではなく、基板中のボイド又は埋め込み空洞(例えば、ビア、トレンチ)の効果的な充填を最適化するよう選択されためっきの化学的性質により非スーパーフィリング又はスーパーフィリング電気めっき浴から被着させるのがよい。以下において説明するその後の金属処理は、その後の接合を容易にすることができ、その結果、任意所望のめっきの化学的性質を用いると、他の検討事項、例えば上述の充填について最適化することができるようになっている。被着又は被覆金属層の微細構造(例えば、結晶粒度又はサイズ)は、代表的には、50nm未満であり、かかる微細構造は、例えばアニールステップによって(代表的には300℃以下の温度で)安定化される必要がある。めっき済みの金属安定化ステップ後、被覆金属をCMP法によって平坦化して望ましくない物質(過剰めっき金属、バリヤ層、及び/又は非導電層の一部分)を除去して平坦な接合部表面を形成するのがよい。接合面は、適度に分散した平板状導電部分を包囲する平板状非導電部分を含むのがよい。
本明細書において開示する種々の実施形態は、めっきの化学的性質とは無関係に111結晶面配向を有する直接接合面を備えた導電パッドを有する素子の形成に関する。直接接合面は、金属被覆法、例えば、とりわけ電気めっき、無電解、物理気相成長(PVD)とは無関係であるナノ結晶粒を含む冷間加工表面を有するのがよい。したがって、本明細書において開示する種々の実施形態は、111結晶面配向を形成するためにチューニングされる従来型めっきプロセスと比較して、めっきプロセス及び/又はより効率的な導電材料充填の設計について大幅な融通性をもたらす。幾つかの実施形態では、導電パッド(例えば、ダマシン空洞内のめっきCu)を室温でかつ/或いは室温以下で冷間加工プロセスによって処理するのがよい。幾つかの実施形態では、導電パッドを含む被覆導電材料の表面を導電パッドの粒子の流れ、例えば金属、ガラス、又はセラミックを衝突させるピーニングにより処理するのがよい。幾つかの実施形態では、冷間加工プロセスは、例えば、被覆導電材料を冷間圧延して被覆導電材料の結晶粒サイズを減少させるプロセスを含むのがよい。コロイド粒子を含みかつ/或いは含まない潤滑流体を冷間圧延プロセスで用いるのがよい。幾つかの実施形態では、導電パッドの変形結晶粒の粒界は、亜結晶、高角度結晶粒界、双晶集団転位(twins massive dislocation)及び/又は転位ネットワークを含むのがよい。幾つかの実施形態では、ナノ間隔ナノ双晶化結晶粒及び/又はナノラミネートを導電パッド内に形成するのがよい。
幾つかの実施形態では、導電パッド内のテキスチャ勾配及び結晶粒サイズ勾配を冷間加工プロセスによって形成するのがよい。例えば、比較的小さな結晶粒及び/又は111配向結晶の低い百分率をパッド内で深くなっていく場合と比較して、パッドの表面の近くで達成することができる。冷間加工した被覆導電材料又は層は、塑性変形する。変形プロセスに費やされる機械的エネルギーの大部分は、熱に変換される場合があり、残りは、変形後の構造中に蓄えられる場合があり、したがって格子欠陥が生成する。格子欠陥は、微細な結晶粒、高角度結晶粒界、機械的双晶及び/又はナノ双晶、転位、空格子点などを含む場合がある。変形後の導電層(パッド及びトレース)では、冷間加工プロセスの蓄積エネルギーに大きく寄与しているのは、アニール済みの導電層の未変形部分中の格子欠陥に対して存在する追加の格子欠陥の生成と関連したエネルギーであると言える。変形プロセスは、導電パッド中に残留圧縮応力をもたらす場合がある。この残留圧縮応力は、パッドの表面からパッドの底部まで様々な場合がある。冷間加工プロセス中に金属に与えられたエネルギーに応じて、パッドの上方部分は、パッドの下方部分と比較して高い残留応力を有する場合がある。
本明細書において開示する種々の実施形態は、電気メッキ浴、電気メッキ法及び/又は他の導電層被覆又は形成方法とは無関係の状態で、金属間直接接合のための比較的低温のアニールを可能にする。幾つかの実施形態では、冷間加工済み導電層の一部分中の蓄積エネルギーは、比較的低温のアニールを実施可能にする一因となることができる。幾つかの実施形態では、接合のためのアニール温度は、例えば、約50℃~約250℃、約100℃~約200℃、125℃~約170℃、又は約50℃~約180℃であるのがよい。1つ又は複数のアニール温度に応じて、アニール時間は、45分から180分までの範囲にあるのがよい。アニール時間は、アニール温度が低い場合には増大する場合がある。しかしながら、本明細書において開示する実施形態は、従来型構造に対してサーマル(エネルギー)バジェットの消費量を依然として低くすることができ、その結果、アニール持続時間は、アニール温度が低いにもかかわらず短いままであることができるようになっている。
図1は、一実施形態としての素子1の概略断面図である。素子1は、単体化前における半導体素子、例えば半導体基板若しくはウエハ、又は単体化後における半導体素子、例えばインターポーザ、電子部品、集積回路(IC)ダイ又はチップを含むのがよい。素子1は、基板10(例えば、バルク半導体材料)、基板10上の非導電層(例えば、誘電体層12、例えば酸化ケイ素又は他の低k(low-k)材料)、誘電体層12内に形成された空洞16内に設けられた導電パッド14、及び誘電体層12と導電パッド14との間に設けられたバリヤ層18を有するのがよい。単純化のために単一の誘電体層12が示されているが、当業者であれば理解されるように、誘電体層12及び導電パッド14は、BEOL構造の一部又はBEOL構造上の再配線層(RDL)構造を構成するのがよく、このRDL構造は、代表的には、ビア及びトレンチ又はトレース(図示せず)を含む。幾つかの実施形態では、導電パッド又はビア若しくはトレースは、銅合金、ニッケル合金、金合金又は他の金属合金から成るのがよい。
幾つかの実施形態では、基板10は、半導体基板又はウエハから成るのがよい。幾つかの実施形態では、基板10は、ガラス基板、誘電体基板、又はセラミック基板から成るのがよい。
誘電体層12は、比較的低k(例えば、k<4)誘電体から成るのがよい。幾つかの実施形態では、誘電体層12は、無機材料から成るのがよい。誘電体層12は、基板10に向いた下側の面12a及び下側の面12aと反対側に位置する上側の面12bを有するのがよい。上側の面12bは、誘電体層12の接合(ボンディング)面を構成するのがよく、かくして、上側の面は、例えば、上述したように、誘電体層のバルク材料と比較して高濃度の窒素及び/又はフッ素を含むのがよい。幾つかの実施形態では、上側の面のところの接合面は、低k誘電体層12上のバリヤ又はエッチングストップ層(図示せず)によって形成されるのがよい。誘電体層12は、上側の面12bから誘電体層12の厚さを少なくとも部分的に貫通した空洞16を有するのがよい。空洞16は、底側部20及び側壁22を有する。
導電パッド14は、空洞の底側部20に向いた下側の面14a及び下側の面14aと反対側の上側の面14bを有する。上側の面14bは、導電パッド14の接合面を形成することができる。導電パッド14は、金属、例えば銅(Cu)から成るのがよい。導電パッド14は、結晶粒が111結晶面に沿って配向した銅から成るのがよい。幾つかの実施形態では、導電パッド14は、冷間加工され又は機械的に若しくは光学的に変形したパッドから成るのがよい。
素子1の結晶粒24のサイズ(粒度)は、変形後の導電パッド14では様々な場合がある。本明細書で用いる結晶粒24のサイズは、結晶粒24の最大寸法を意味している場合がある。幾つかの実施形態では、上側の面14bのところ又はその近くに位置する結晶粒24は、下側の面14aのところ又はその近くに位置する結晶粒24よりも平均で小さいのがよい。幾つかの実施形態では、結晶粒は、導電パッド14の上側の面14bのところ又はその近くに位置する小さな結晶粒領域26及び導電パッド14の下側の面14aのところ又はその近くに位置する大きな結晶粒領域28を有するのがよい。幾つかの実施形態では、小さな結晶粒領域26は、浅い導電パッド14については、上側の面14bから導電パッド14中に1000nm深いところまで、或いは、より深い導電パッド14(例えば、厚さが5000nmを超えるパッド)では3000nmまでの導電パッド14の領域であるよう恣意的に選択される場合があり、その目的は、このレベルを上回る結晶粒サイズとこのレベルを下回る結晶粒サイズと比較することにある。幾つかの実施形態では、上側の面14bのところ又はその近くに位置する小さな結晶粒領域26の平均結晶粒サイズは、約10ナノメートル(nm)~200nm、又は約30nm~200nmであるのがよい。幾つかの実施形態では、下側の面14aのところ又はその近くに位置する大きな結晶粒領域28の平均結晶粒サイズは、約0.5ミクロン(μm)~5μmであるのがよい。幾つかの実施形態では、平均結晶粒サイズは、導電パッド14の幅及び深さに応じて様々であってよい。幾つかの実施形態では、下側の面14aのところ又はその近くに位置する結晶粒24の平均サイズは、上側の面14bのところ又はその近くに位置する結晶粒24の平均サイズの少なくとも5倍であるのがよい。例えば、変形後の導電パッド14の下側の面14aのところ又はその近くに位置する結晶粒24の平均サイズは、変形後の導電パッド14の上側の面14bのところ又はその近くに位置する結晶粒24の平均サイズの約3~100倍、10~100倍、20~100倍、30~100倍、40~100倍、又は40~100倍であるのがよい。幾つかの実施形態では、結晶粒24は、上側の面14bから下側の面14aまでの格子欠陥の勾配の結果として、導電パッド14の深さにわたって勾配のついた結晶粒サイズを有するのがよい。幾つかの実施形態では、導電パッド14は、上側の面14bのところ又はその近くのところの方が下側の面14aのところ又はその近くのところよりも相当硬いのがよい。幾つかの実施形態では、変形後の導電パッド14の下側の面14aのところ又はその近くのところに位置する3つの連続して並んだ結晶粒24の平均サイズは、変形後の導電パッド14の上側の面14bのところ又はその近くに位置する3つの連続して並んだ結晶粒24の平均サイズの約3~100倍、10~100倍、20~100倍、30~100倍、40~100倍、又は40~100倍であるのがよい。同様に、変形済み後の導電パッド14の上側の面14bのところ又はその近くに位置する2つの連続して並んだ結晶粒24の平均結晶粒サイズは、変形後の導電パッド14のバリヤ層18(図示せず)の下に位置する相互接続層中の2つの連続して並んだ結晶粒の平均結晶粒サイズの少なくとも2分の1であるのがよい。幾つかの実施形態では、被覆された導電層の高い決定された変形度では、導電パッド14の上側の面14bの近くに位置する3つの連続して並んだ結晶粒24の結晶粒サイズと導電パッド14の下側の面14aの近くに位置する3つの連続して並んだ結晶粒34の結晶粒サイズは、ほぼ同じであり、そして変形していない状態の導電パッド14の対応の存在場所での結晶粒サイズの少なくとも1/3であるのがよい。
冷間加工後におけるサーマルアニール時、例えば導電パッド14を別の素子の別の導電パッドに接合している間、変形後の導電パッド14(機械的又は光学ピーニングによって生じた圧縮応力に起因して変形した導電パッド)中の蓄積エネルギーを放出すると共に結晶粒の回復及び成長を促進するためには、導電パッド14の上側の面14bの領域の回復後の結晶粒は、下に位置する下側の面14aの領域の回復後の結晶粒よりも大きいのがよい(図2I参照)。上側の面14bのところの結晶粒サイズは、冷間加工プロセスの直後の勾配から逆勾配を生じさせるには下側の面14aのところの結晶粒サイズよりも大きいのがよい。同様に、上側の面14bのところの結晶粒サイズは、変形後の導電パッド14のバリヤ層18の下に位置する相互接続層(図示せず)の結晶粒サイズよりも大きいのがよい。
幾つかの実施形態では、導電パッド14の上側の面14bは、誘電体層12の上側の面12bの下に凹んでいるのがよい。例えば、導電パッド14の上側の面14bは、誘電体層12の上側の面12bの下に約30nm以下、約20nm以下、約15nm以下、また約10nm以下だけ凹んでいるのがよい。例えば、導電パッド14の上側の面14bは、誘電体層12の上側の面12bの下に2nmから20nmの範囲、又は4nmから15nmまでの範囲だけ凹んでいるのがよい。
バリヤ層18は、例えば、誘電体バリヤ層、例えば窒化ケイ素、オキシ窒化ケイ素、炭窒化ケイ素、ダイヤモンド状炭素などから成るのがよい。バリヤ層18は、導電バリヤ、金属窒化物(例えば、Ta、TiN、TaN、WN、及びこれらの種々の組み合わせなど)から成るのがよい。例えば、導電バリヤ層18は、空洞16の底側部20及び側壁22上に被着されるのがよい。非導電バリヤ層18は、空洞16の底側部20のところではなく、側壁22上に形成されるのがよい。幾つかの実施形態では、非導電バリヤ層18は、空洞16の底側部20上で不連続であるのがよい。バリヤ層18は、誘電体層12と導電パッド14との間に介在して位置するのがよい。
図2A~図2Gは、一実施形態に従って図1に示す素子1を形成する製造プロセスの初段階を示している。図2Hは、素子1が別の素子(第2の素子2)と接触関係にある状態を示し、図2Iは、素子1及び第2の素子2を含む接合(ボンデッド)構造体3を示している。
図2Aでは、誘電体層12が基板10上に設けられている。空洞16を、上側の面12bを含む誘電体層12中に選択的に形成する。底面20及び側壁表面22を備えた状態で示されている空洞16は、誘電体層12の厚さの少なくとも一部分を貫通して延びるのがよい。空洞16は、マスキング及びエッチング又はドリル加工によって形成されるのがよい。空洞16は、ダマシンプロセスで形成されたダマシン空洞から成るのがよい。空洞16は、基板貫通電極(thru substrate cavity:TSC)型、例えばシリコン貫通電極(through silicon via:TSV)型又はガラス貫通電極(through glass via:TGV)型であるのがよい。幾つかの実施形態では、空洞16は、埋め込み相互接続構造体、例えばBEOL又はRDL層(図示せず)と接触関係をなすよう形成されるのがよい。
図2Bでは、バリヤ層18が誘電体層の上側の面12b、空洞16の側壁22、及び空洞16の底面20上に設けられるのがよい。上述したように、バリヤ層18は、誘電体層の上側の面12b、空洞16の側壁22上に形成されるが、空洞16の底面20のところには形成されていない非導電材料であるのがよい。シード層30がこれら同一の面上でバリヤ層18上に設けられるのがよい。幾つかの実施形態では、バリヤ層18に接触している1つ若しくは複数の導電ビア又は1つ以上のトレース(図示せず)は、空洞16の下面20の下に設けられるのがよい。
図2Cでは、導電材料32が空洞16内にかつ誘電体層12の上側の面12b上に設けられるのがよい。幾つかの実施形態では、導電材料は、金属、例えば銅(Cu)から成るのがよく、かかる導電材料は、例えばめっき又は他の既知の方法によって設けられるのがよい。幾つかの実施形態では、導電材料32は、銅の合金、ニッケルの合金、金の合金又は他の金属合金から成るのがよい。導電材料32は、下側の面32a及び上側の面32bを有するのがよい。有利には、めっき法及びアディティブ法は、空洞16を効果的に充填するために最適化されるのがよく、空洞16は、基板を横切る多くのビア及び/又はトレンチのうちの1つに過ぎないと言ってよく、かつ高いアスペクト比を有するのがよい。幾つかの実施形態では、導電材料32は、室温又は室温以下の温度で形成される電気めっき被膜を構成するのがよい。室温は、例えば20℃から35℃までの範囲にある温度として規定できる。導電材料32のめっき金属は、めっきされたままの状態において10nmから100nmまでの範囲、又は30nmから100nmまでの範囲にある結晶粒サイズを有するのがよい。
図2Dでは、導電材料32は、室温と250℃との間でアニールされるのがよい。幾つかの実施形態では、低侵入型(格子間)不純物及び非侵入型不純物を含む幾つかの電気めっき銅膜は、室温での結晶粒成長現象に起因して室温で大きな結晶粒を形成することができる。アニールプロセスは、導電材料32中の結晶粒24の微細構造(例えば、結晶粒サイズ)を安定化することができる。アニールプロセスは、導電材料32中に比較的大きな結晶粒24を形成することができる。さしわたしで5ミクロンを超える比較的大きなパッドに関し、アニール後における導電材料の結晶粒サイズは、約0.3ミクロンから3ミクロンまでの範囲にあるのがよい。1ミクロン未満の幅を備えた導電トレースに関し、結晶粒構造は、トレース軸に沿って延びるバンブー形の結晶粒構造を呈する場合がある。
図2Eでは、導電材料32を冷間加工プロセスで処理するのがよい。冷間加工プロセスは、室温でかつ/或いは室温未満の温度で行われるのがよい。例えば、冷間加工プロセス中の基板温度は、液体窒素の温度である-196℃(77K)から約30℃若しくは50℃までの範囲にあり又は0℃から約25℃までの範囲にあるのがよく、一実施例では、ほぼ周囲クリーンルーム温度の状態にあるのがよい。導電材料32は、上側の面32bから処理されるのがよい。幾つかの実施形態では、導電材料32は、ひずみ硬化法で処理されるのがよい。例えば、導電材料32は、導電材料32中に塑性変形を生じさせるようショットピーニング法、冷間圧延法又はレーザピーニング法で処理されるのがよい。上述したように、ショットピーニングは、粒子、例えば金属、サンド、ガラス、又はセラミックによる衝突を含むのがよい。例えば、機械的ピーニングでは、導電材料32の表面(例えば、上側の面32b)にセラミック又はスチールショットを衝突させるのがよい。例えば、ショットの直径は、0.1mmから2mmまでの範囲にあるのがよく、ショットの速度は、毎秒1~5メートルであるのがよく、衝突時間は、30s~180sであるのがよい。幾つかの実施形態では、基板10は、ピーニング作業中、10~60rpmで、好ましくは、15~45rpmで回転するのがよい。幾つかの実施形態では、素子1は、ピーニング作業中、静止状態にあるのがよい。塑性変形は、導電材料32の表面のところ又はこの表面の下のところの結晶粒24に圧縮残留応力を生じさせることができ、かつ/或いは導電材料32の内部又はバルクのところに引張応力を生じさせることができる。冷間加工プロセス後における導電材料32は、圧縮残留応力からの蓄積エネルギーを有するのがよい。幾つかの実施形態では、上側の面32bのところ又はその近くに位置する導電材料32の一部分は、下側の面32aよりも冷間加工プロセスから高い蓄積エネルギーを有するのがよい。幾つかの実施形態では、導電材料32は、バリヤ層18に隣接して位置する空洞16の底部のところの導電材料32の一部分を含めて、頂面32bから底面32aまで一様に変形するのがよい。導電材料32は、変形プロセスにより引き起こされる多くの積層欠陥、例えば機械的双晶、スリップ、空格子点、及び/又は転位ネットワークのために、個々の金属結晶粒を区別することが厄介であるほどひどく変形する場合がある。構造的欠陥及び極めて小さな結晶粒サイズ(例えば、5nm~30nm)の結果として、個々の結晶粒24をこれらの方位(配向)について割り送りすることが困難な場合がある。1つ又は複数の圧縮力を導電材料32に加える方法とは関係なく、加えた力は、基板1を劣化させるはずである。素子1の劣化にあたり、例えば、過剰な力を加えてバリヤ層18の離層を生じさせ又は誘電体層12及び/又は基板10中に欠陥又はクラックを生じさせる場合がある。蓄積エネルギーは、比較的低温におけるアニール接合の達成に寄与する場合がある(図2I参照)。
図2Fは、図2Eにおける処理後の結晶粒24を有している。導電材料32中の結晶粒24のサイズは、様々であってよい。幾つかの実施形態では、上側の面32bのところ又はその近くに位置する結晶粒24は、下側の面32aのところ又はその近くに位置する結晶粒24よりも小さいのがよい。幾つかの実施形態では、上側の面32bのところ又はその近くに位置する結晶粒24の平均サイズは、約10ナノメートル(nm)~約200nm、又は約50nm~200nmであるのがよい。幾つかの実施形態では、下側の面32aのところ又はその近くに位置し又は空洞の内部のところに位置する結晶粒24の平均サイズは、約0.5ミクロン(μm)~1μmであるのがよい。幾つかの実施形態では、下側の面32aのところ又はその近くに位置する結晶粒24の平均サイズは、上側の面32bのところ又はその近くに位置する結晶粒24の平均サイズの少なくとも約5倍であるのがよい。例えば、下側の面32aのところ又はその近くに位置する結晶粒24の平均サイズは、上側の面32bのところ又はその近くに位置する結晶粒24の平均サイズの約10~100倍、20~100倍、30~100倍、40~100倍、又は40~100倍であるのがよい。幾つかの実施形態では、導電材料中の圧縮残留応力では上から下まで変化しているので、結晶粒24は、勾配のある結晶粒サイズを有することができる。例えば、結晶粒24の粒度は、上側の面32bから下側の面32aまで又は導電空洞又は層の内部において次第に増加するのがよい。幾つかの実施形態では、導電材料32は、上側の面32bのところ又はその近くのところの方が下側の面32aのところ又はその近くのところよりも硬いのがよい。下側の面32aの近くの深いところに位置する材料又はバルク材料と比較して、上側の面32bの近くに位置する導電材料32は、垂直方向に配向した111結晶面を備えた低い百分率の結晶粒24及び変形プロセスに起因して生じる比較的高い百分率の220結晶面(<220>)を有するのがよい。幾つかの実施形態では、特にレーザピーニング法が導電材料32に利用される場合、導電層の冷間加工は、上側の面32bのところに位置する小さな結晶粒が下側の面32aのところ又は空洞の内部のところに位置する結晶粒とほぼ同じであるよう十分に深くに作用するのがよい。
幾つかの用途では、導電材料32は、基板貫通パッド(図示せず)、例えば、シリコン貫通電極(TSV)又はガラス貫通電極(TGV)の一部分から成るのがよい。この場合、上側の面32bのところ又はその近くに位置する導電材料32の一部分は、冷間加工ステップに起因して生じる格子欠陥を示す場合がある。
図2Gでは、素子1は、直接接合可能に、例えば、高度の研磨及び活性化(例えば、窒素末端化)によって形成されて前処理される。導電材料32の少なくとも一部分を例えば研磨によって除去するのがよい。バリヤ層18及びシード層30の幾つかの部分もまた除去するのがよい。化学機械的平坦化(CMP)のためのスラリの化学的性質は、誘電体層12上で停止するよう選択されるのがよいが、誘電体層12の一部分もまた、接合面を形成するよう除去されるのがよい。接合面は、非導電層12bの研磨度の高い表面及び平板状導電材料の上側の面14bを含むのがよい。幾つかの実施形態では、導電材料、バリヤ層18、シード層30、及び誘電体層12の幾つかの部分は、結合面を形成するよう1種類の又は複数種類の互いに異なるスラリ組成を含む1つ又は複数の段階において研磨、例えば化学機械的研磨(CMP)によって除去されるのがよい。誘電体層12の上側の面12bは、直接接合可能に前処理されるよう高い平滑度まで研磨されるのがよく、次に、例えば窒素含有プラズマへの暴露によって極めて僅かなエッチング及び/又は活性化を行うのがよい。活性化された接合面は、適当な溶剤、例えば脱イオン(DI)水でクリーニングして望ましくない粒子を除去する。クリーニングした表面を回転乾燥して接合作業及び次のアニールステップに先立って、クリーニング用溶剤残滓を除去するのがよい。
幾つかの実施形態では、非導電材料12bの研磨度が高い接合面及び基板10の平板状導電材料の上側の面14bは、保護層(図示せず)、代表的には有機レジスト層で被覆されるのがよい。被覆基板を単体化のためにダイシングフレームに取り付けるのがよい。単体化プロセスは、任意既知のプロセス、例えばソーダイシング、レーザ単体化、反応性イオンエッチング(RIE)、ウェットエッチング又はこれら単体化ステップの任意適当な組み合わせによって実施できる。単体化ステップとは関係なく、保護層及び単体化粒子を単体化ダイ及びダイシングフレームから清浄化により落とすのがよい。清浄化したダイの接合面を灰化(アッシング)して別の基板の別の前処理された接合面への直接接合のために望ましくない有機残滓を除去して清浄化するのがよい。幾つかの実施形態では、単体化ダイの清浄化した接合面を既知の方法で活性化し、そして清浄化により望ましくない粒子及び材料を除き、その後、活性化したダイの接合面をダイの基板の別の前処理された接合面に直接接合するのがよい。接合した素子をアニールして接合基板(図2I参照)の対向した導電パッドを機械的にかつ電気的に相互に結合するのがよい。幾つかの実施形態では、接合された単体化ダイを含む第2の基板をさらに単体化して直接接合されたダイスタックを形成するのがよい。
素子1中の結晶粒24のサイズは様々であってよい。幾つかの実施形態では、上側の面14bのところ又はその近くに位置する結晶粒は、下側の面14aのところ又はその近くに位置する結晶粒24よりも平均で小さいのがよい。幾つかの実施形態では、結晶粒は、導電パッド14の上側の面14bのところ又はその近くのところの小さい結晶粒の領域及び導電パッドの下側の面14aのところ又はその近くに位置する大きな結晶粒の領域を有するのがよい。幾つかの実施形態では、小さな結晶粒領域は、上側の面14bから導電パッド14中に1000nmまで、又はより深いパッドに関しては3000nmまでの導電パッド14の一領域であるのがよい。幾つかの実施形態では、上側の面14bのところ又はその近くのところに位置する小さな結晶粒領域内の結晶粒24の平均サイズは、約10ナノメートル(nm)~200nm、又は約30nm~200nmであるのがよい。幾つかの実施形態では、下側の面14aのところ又はその近くに位置する大きな結晶領域内の結晶粒24の平均サイズは、約0.2ミクロン(μm)~1μm、又は0.2μm~0.5μmであるのがよい。幾つかの実施形態では、下側の面14aのところ又はその近くに位置する結晶粒24の平均サイズは、上側の面14bのところ又はその近くに位置する結晶粒24の平均サイズの少なくとも5倍であるのがよい。例えば、下側の面14aの近くに位置する結晶粒24の平均サイズは、上側の面14bのところ又はその近くに位置する結晶粒24の平均サイズの約10~100倍、約20~100倍、30~100倍、40~100倍、又は40~100倍であるのがよい。幾つかの実施形態では、結晶粒24は、勾配のある結晶粒サイズを有することができる。例えば、結晶粒24の粒度は、上側の面14bから下側の面14aまで次第に増加するのがよい。幾つかの実施形態では、導電パッド14は、上側の面14bのところ又はその近くのところの方が下側の面14aのところ又はその近くのところよりも硬いのがよい。幾つかの実施形態では、導電パッド14の冷間加工は、上側の面14bのところ又はその近くに位置する小さな結晶粒の平均サイズが下側の面14aのところ又は空洞の内部のところ又はその近くに位置する結晶粒の平均サイズとほぼ同じであるよう十分に深く作用するのがよい。
図2Hでは、素子1は、第2の素子2と接触状態に置かれている。幾つかの実施形態では、第2の素子は、素子1と同一又は全体として同じ素子から成るのがよい。幾つかの実施形態では、第2の素子2は、キャリヤ、例えばパッケージ基板、インターポーザ、再構成ウエハ又は素子などを有するのがよい。幾つかの実施形態では、第2の素子2もまた、素子1の場合のように直接接合のために前処理されるのがよい。図2Hに示す破線は、素子1と第2の素子2との間の接合部インターフェース(界面)56を示している。第2の素子2は、第2の基板50、第2の誘電体層52、及び第2の導電パッド54を有するのがよい。導電パッド54は、結晶粒64を有するのがよい。幾つかの実施形態では、誘電体層12と第2の誘電体層52の接触時、誘電体層12,52は、互いに接合することができる。幾つかの実施形態では、誘電体層12と第2の誘電体層52は、介在する接着剤なしで互いに直接接合されるのがよい。誘電体層12と第2の誘電体層52は、外部圧力なしで室温において直接接合されるのがよい。図2Hには図示していないが、導電パッド14,54を接触時に、誘電体12,52の表面からそれぞれ凹ませるのがよく、その結果、僅かなギャップがかかる表面のところで対向した導電パッド14,54又は他の導電素子相互間に存在するようになる。
図2Iでは、導電パッド14と第2の導電パッド54を互いに接合する。幾つかの実施形態では、導電パッド14と第2の導電パッド54を介在する接着なしで互いに直接接合するのがよい。接合構造体をアニールするのがよい。アニール時、導電パッド14,54は、膨張して互いに接触し、それにより金属間直接接合部を形成することができる。図2Iに示す破線は、素子1と素子2との間の接合部インターフェース56を示している。
上述したように、アニール前に、導電材料32の結晶構造は、導電パッド14,54の底部領域と比較して、インターフェースの近くのところに低い百分率の垂直方向に配向した111結晶面を含む結晶粒24を有するのがよい。図2G及び図2Hの冷間加工プロセス後における導電パッド14は、冷間加工した導電パッド14内に蓄積エネルギーを有する。冷間加工した導電パッド14は、パッド14中に高いクリープを示す極めて微細な非配向結晶粒サイズ(大量の格子欠陥、高角度結晶粒界、双晶、転位、空格子点など)を含む場合がある。高いクリープと高い結晶粒蓄積エネルギーの組み合わせにより、比較的低い温度のアニール時にパッド14,54相互間における凹みの橋渡しが実現可能になる。したがって、導電パッド14,54を比較的低い温度でかつ/或いは短時間のアニールで互いに直接十分に接合することができる。幾つかの実施形態では、導電パッド14及び第2の導電パッド54を250℃未満、200℃未満、又は150℃未満、例えば、約100℃~250℃、約125℃~200℃又は約125℃~180℃の温度でアニールするのがよい。
導電パッド14と第2の導電パッド54をアニールして接合した後、結晶粒サイズは、接合前と比較して変わっているのがよい。幾つかの実施形態では、接合インターフェース56のところ又はその近くに位置する結晶粒24,64のサイズは、導電パッド14の下側の面14aのところ又はその近くに位置する結晶粒24のサイズの平均で約1.2又は2倍であるのがよい。例えば、接合インターフェース56のところ又はその近くに位置する結晶粒24,64のサイズは、導電パッド14の下側の面14aのところ又はその近くに位置する結晶粒24のサイズの平均で約2~10倍、2~7倍、2~5倍、1.2~10倍、1.2~7倍、又は1.2~5倍であるのがよい。幾つかの実施形態では、接合インターフェース56のところ又はその近くに位置する結晶粒24,64の結晶粒度は、導電パッド14の下側の面14aのところ又はその近くに位置する結晶粒24の粒度の少なくとも20%~50%大きいのがよい。
幾つかの実施形態では、接合インターフェース56のところの結晶粒24,64の平均寸法は、導電パッド14の下側の面14aの近くに位置する結晶粒24の平均サイズの約3~8倍、3~6倍、4~8倍、又は4~6倍である。幾つかの実施形態では、接合状態の導電パッド14,54の接合インターフェースのところ又はその近くに位置する結晶粒24,64は、導電パッド14の下側の面14aのところ又はその近くに位置する結晶粒24の百分率及びアニール(焼なまし)双晶よりも高い百分率の111結晶面及びアニール双晶を有するのがよい。表面結晶粒構造内の蓄積エネルギーは、冷間加工プロセスによる影響が少ないパッド構造体の深いところに位置する部分と比較して、接合のためのアニール中、大きな結晶粒成長及び再配向を容易にする。
図3A~図3Gは、別の実施形態に従って図1に示す素子1を形成する製造プロセスの諸ステップを示している。図3A~図3Gに示すプロセスは、導電材料32が図2A~図2Gに示すプロセスにおけるピーニングに先立って薄化されている点において、図2A~図2Gに示すプロセスとは異なっている。図3A~図3Gに示す部品は、図1~図2Iに示す部品と同一又は全体として同じであるのがよく、同一の参照符号は、同一の部分を示すために用いられている。
図3Aでは、誘電体層12を基板10上に設ける。空洞16を誘電体層12内に形成する。空洞16は、誘電体層12の厚みの少なくとも一部分を貫通して延びるのがよい。空洞16は、選択的エッチング又はドリル加工により形成されるのがよい。空洞16は、ダマシンプロセスで形成されたダマシン空洞から成るのがよい。図3Aでは、絶縁バリヤ層又は導電バリヤ層18を誘電体層12の上側の面12b、空洞16の側壁22、及び空洞16の底側部20上に設けるのがよい。シード層30をバリヤ層18上に上記表面を覆って設けるのがよい。
図3Bでは、導電材料32を空洞16内かつ誘電体層12の上側の面12b上に設けるのがよい。幾つかの実施形態では、導電材料は、金属、例えば銅(Cu)から成るのがよい。導電材料32は、下側の面32a及び上側の面32bを有するのがよい。図3Cに示すように、導電材料32をアニールするのがよい。アニールプロセスは、導電材料32中の結晶粒24の微細構造(例えば、粒状組織)を安定化することができる。アニールプロセスは、導電材料32中に比較的大きな結晶粒を形成することができる。
図3Dでは、導電材料32を上側の面32bから薄化するのがよい。幾つかの実施形態では、導電材料32を研磨、例えば化学機械的研磨(CMP)により薄化するのがよい。図3Dは、導電材料32の一部分が誘電体層12の上側の面12b上に設けられている状態を示している。しかしながら、幾つかの実施形態では、誘電体層12の上側の面12b上に設けられた導電材料32を完全に除去してバリヤ層18を露出させるのがよい。他の幾つかの実施形態では、誘電体層12の上側の面12b上のバリヤ層18を完全に除去して誘電体層12の上側の面12bを露出させるのがよい。
図3Eでは、導電材料32を図2Eを参照して上述した冷間加工プロセスにより処理するのがよい。冷間加工プロセスは、室温でかつ/或いは室温未満の温度で行われるのがよい。例えば、冷間加工プロセス中の基板温度は、液体窒素の温度である-196℃(77K)から約50℃までの範囲に、又は0℃から約25℃までの範囲にあるのがよく、一実施例では、ほぼ周囲クリーンルーム温度の状態にあるのがよい。導電材料32は、上側の面32bから処理されるのがよい。幾つかの実施形態では、導電材料32は、ひずみ硬化法で処理されるのがよい。例えば、導電材料32は、導電材料32中に塑性変形を生じさせるようショットピーニング法、又はレーザピーニング法で処理されるのがよい。上述したように、ショットピーニングは、粒子、例えば金属、サンド、ガラス、又はセラミックによる衝突を含むのがよい。塑性変形は、導電材料32の表面のところ又はこの表面の下のところの結晶粒24に圧縮残留応力を生じさせることができ、かつ/或いは導電材料32の内部又はバルクのところに引張応力を生じさせることができる。ここに説明しているように、1つ又は複数の圧縮力を導電材料32に加える方法とは関係なく、加えた力は、基板1を劣化させるはずである。素子1の劣化にあたり、例えば、過剰な力を加えて基板の表面に関してバリヤ層18の離層を生じさせ、又は誘電体層12及び/又は基板10中に欠陥又はクラックを生じさせる場合がある。
図3Fは、図3Eの処理後における結晶粒24を示している。導電材料32中の結晶粒24のサイズは、様々であってよい。幾つかの実施形態では、上側の面32bのところ又はその近くに位置する結晶粒24は、下側の面32aのところ又はその近くに位置する結晶粒24よりも小さいのがよい。幾つかの実施形態では、上側の面32bのところ又はその近くに位置する結晶粒24の平均サイズは、約5ナノメートル(nm)~約200nm、又は約30nm~200nmであるのがよい。フィールド領域(誘電体層12の上面)上に残存する金属の厚さに応じて、下側の面32aのところ又はその近くに位置する結晶粒24の平均サイズは、約0.5ミクロン(μm)~3μm以上であるのがよい。幾つかの実施形態では、下側の面32aのところ又はその近く、或いは導電パッドの底部の付近の結晶粒24の平均サイズは、上側の面32bのところ又はその近くの結晶粒24の平均サイズの少なくとも約2倍であるのがよい。例えば、下側の面32aのところ又はその近くの結晶粒24の平均サイズは、上側の面32bのところ又はその近くの結晶粒24の平均サイズの約2~100倍、20~100倍、30~100倍、40~100倍、又は40~100倍であるのがよい。幾つかの実施形態では、結晶粒24は、勾配が1の結晶粒度を有するのがよい。例えば、結晶粒24の粒度は、上側の面32bから下側の面32aまで次第に増加するのがよい。幾つかの実施形態では、導電材料32は、上側の面32bのところ又はその近くのところの方が下側の面32aのところ又はその近くのところよりも硬いのがよい。塑性変形に起因して、結晶粒サイズの減少、格子欠陥の増大、及び111配向結晶面の百分率の減少が導電パッド32の上側の面32bのところ又はその近くに残される。幾つかの実施形態では、図3Eに示す平坦化によって残された金属の厚みの減少に起因して、塑性変形部は、導電パッド32の上側の面32bから導電パッド32の下側の面32aまで延びるのがよい。
図3Gでは、素子1を形成する。導電材料32の少なくとも一部分を除去するのがよい。バリヤ層18及びシード層30の幾つかの部分もまた除去し、それにより研磨度の高い平坦な接合面を形成するのがよい。誘電体層12の一部分もまた、除去されるのがよい。幾つかの実施形態では、導電材料、バリヤ層18、シード層30、及び誘電体層12の幾つかの部分を1つ又は多数の段階で研磨、例えば化学機械的研磨(CMP)によって除去して研磨度の高い接合面を形成するのがよい。接合面は、誘電体層12の平坦な頂面及び導電パッド14の平坦な研磨表面を含む。誘電体層12の上側の面12bは、高い平滑度まで研磨されるのがよくそしてこれを活性化して直接接合のための前処理をするのがよい。
図2H及び図2Iを参照して上述したように、図3Gに示す構造体は、同様な処理が行われ又は行われなかった別の素子に直接ハイブリッド接合するのがよい。また、図2H及び図2Iを参照して上述したように、接合前における介在単体化又は他の処理のために、前処理した接合面を最初に保護層、例えば有機レジスト層により保護するのがよい。
図2E及び図3Eの冷間加工プロセス後における導電パッド14は、導電パッド14内に蓄積エネルギーを有する。蓄積エネルギーにより、素子(第1の素子)1を別の素子(第2の素子2)に接合するための比較的低温におけるアニールが実施可能である。導電パッド15,14を比較的低温でかつ/或いは比較的短いアニール持続時間で互いに十分に直接接合するのがよい。幾つかの実施形態では、導電パッド14及び第2の導電パッド54を250℃未満、200℃未満、又は150℃未満、例えば、約100℃~250℃、又は約125℃~180℃の温度でアニールするのがよい。
導電パッド14と第2の導電パッド54をアニールして接合した後においては、結晶粒サイズは、接合前の結晶粒サイズと比較して変わっているのがよい。幾つかの実施形態では、接合インターフェース56のところ又はその近くに位置する結晶粒24,64のサイズは、導電パッド14の下側の面14aのところ又はその近くに位置する結晶粒24のサイズの平均で約1.2又は2倍であるのがよい。例えば、接合インターフェースのところ又はその近くに位置する結晶粒24,64のサイズは、平均で、導電パッド14,54の下側の面のところ又はその近くに位置する結晶粒24,64のサイズの約2~10倍、2~7倍、2~5倍、1.2~10倍、1.2~7倍、又は1.2~5倍であるのがよい。幾つかの実施形態では、接合インターフェースのところ又はその近くに位置する結晶粒24,64の結晶粒度は、導電パッド14,54の下側の面のところ又はその近くに位置する結晶粒24,64の粒度の少なくとも20%~50%大きいのがよい。一般に、加えた圧縮力からの蓄積エネルギーが大きければ大きいほど、アニール後の接合導電パッドの結晶粒サイズがそれだけいっそう大きくなる。
幾つかの実施形態では、第3の導電材料(図示せず)が素子1内の多層BEOL又は再配線層(RDL)において典型的であるように、バリヤ層18(図2B及び図3A参照)の下で導電材料12内の空洞16に設けられるのがよい。接合及びアニール作業後、空洞16内の導電材料32に対する機械的又は熱的処理の結果として、空洞16の導電パッド32の領域内の結晶粒24の平均サイズは、熱的処理のみを受けた第3の導電材料と比較して、バリヤ層18の下に位置する第3の導電材料の結晶粒24の平均サイズよりも少なくとも約20%大きいのがよい。例えば、導電パッド32の結晶粒24の平均サイズは、バリヤ層18の下の第3の導電材料又は第3の導電パッドの下の次の導電パッド(図示せず)の結晶粒24の平均サイズの約1.2~20倍であるのがよい。
図2Iに戻ってこれを参照すると、幾つかの実施形態では、機械的又は光学的に冷間加工された導電材料のアニール後、アニールした結晶粒は、誘電体接合面又は導電材料の接合面にほぼ平行な方向に沿って長くされている。アニール後の導電材料の結晶粒24の水平寸法(長さl)は、誘電体接合面又は導電材料の接合面に垂直な方向に沿う同一結晶粒の垂直寸法(厚さt)よりも少なくとも20%大きいのがよい。例えば、接合インターフェースに全体として平行な導電材料の結晶粒の長さは、平均で、接合インターフェースに全体として垂直な方向における結晶粒の厚さよりも約1.5~10倍大きいのがよい。幾つかの実施形態では、接合状態の素子(例えば、図2Iに示す接合素子3)の柱状結晶粒の長さは、接合素子3内の導電材料の柱状結晶粒の厚さよりも少なくとも20%~300%長いのがよい。幾つかの実施形態では、結晶粒のアスペクト比(結晶粒の最大長手方向寸法(長さl)/同一結晶粒の最大垂直寸法(厚さt))は、1.2超、1.5超又は3超であるのがよい。
一観点では、素子が開示される。素子は、非導電接合面を備えた非導電構造体と、非導電接合面から非導電構造体の厚みの一部分を貫通して少なくとも部分的に延びる空洞と、空洞内に設けられた導電パッドとを有するのがよい。空洞は、底側部及び側壁を有する。導電パッドは、接合面及び接合面と反対側に位置した裏側の面を有する。接合面のところの導電パッドの結晶粒の平均サイズは、空洞の底側部に隣接して位置する結晶粒の平均厚さよりも小さい。
一実施形態では、非導電構造体は、誘電体層を有する。非導電構造体の非導電接合面は、直接接合可能に前処理されるのがよい。
導電パッドの導電接合面は、直接接合可能に前処理されるのがよい。
導電パッドは、銅(Cu)パッドから成るのがよい。
導電パッドは、底側部に隣接したところと比較して、接合面のところに111結晶面を備えた低い百分率の結晶粒を有するのがよい。
導電パッドは、底側部に隣接したところと比較して、接合面のところに220結晶面を備えた高い百分率の結晶粒を有するのがよい。
一実施形態では、空洞の底側部に隣接したところの結晶粒の平均サイズは、接合面のところの結晶粒の平均サイズの少なくとも3倍である。
一実施形態では、空洞の底側部に隣接したところに位置する結晶粒の平均サイズは、接合面のところに位置する結晶粒の平均サイズの少なくとも20倍である。
一実施形態では、空洞の底側部に隣接して位置する結晶粒の平均サイズは、0.2ミクロン(μm)~1μmである。
一実施形態では、接合面のところに位置する結晶粒の平均サイズは、30ナノメートル(nm)~200nmである。
一実施形態では、素子は、非導電構造体と導電パッドとの間に設けられたバリヤ層をさらに有する。
一観点では、接合構造体が開示される。接合構造体は、第1の素子を有するのがよく、第1の素子は、非導電接合面を備えた非導電構造体と、非導電接合面から非導電構造体の厚みの一部分を貫通して少なくとも部分的に延びる空洞と、空洞内に設けられた導電パッドとを有する。空洞は、底側部及び側壁を有する。導電パッドは、接合面及び接合面と反対側に位置した裏側の面を有する。接合インターフェースのところの結晶粒の平均サイズは、空洞の底側部に隣接して位置する結晶粒の平均サイズよりも少なくとも20%大きい。接合構造体は、第2の導電パッドを備えた第2の素子を有するのがよい。第1の素子の導電パッドと第2の素子の第2の導電パッドは、介在接着剤なしで接合インターフェースに沿って互いに直接接合されている。
一実施形態では、第2の素子は、介在接着剤なしで第1の素子の非導電接合面に直接接合されている第2の非導電接合面を備えた第2の非導電構造体をさらに有する。
一実施形態では、接合インターフェースのところに位置する結晶粒の平均サイズは、裏側の面の近くに位置する結晶粒の平均サイズの少なくとも50%大きい。
一実施形態では、接合インターフェースのところに位置する結晶粒の平均サイズは、空洞の底側部に隣接して位置する結晶粒の平均サイズの少なくとも2倍である。
接合インターフェースのところに位置する結晶粒の平均サイズは、空洞の底側部に隣接して位置する結晶粒の平均サイズの少なくとも3倍である。
一観点では、素子を形成する方法が開示される。本方法は、第1の面及び第1の面と反対側に位置する第2の面を備えた非導電構造体を提供するステップを含むのがよい。本方法は、非導電構造体内に空洞を形成するステップを含むのがよい。本方法は、空洞内にかつ非導電構造体層の第1の面の一部分上に導電材料を提供するステップを含むのがよい。導電材料は、空洞の底側部に向いた下側の面及び下側の面と反対側に位置する上側の面を有する。本方法は、導電材料の上側の面を冷間加工して導電材料の結晶構造を改変するステップを含むのがよい。冷間加工は、約-196℃~50℃で実施される。本方法は、導電材料の少なくとも一部分を除去して導電接合面を備えた導電パッドを形成するステップを含むのがよい。
一実施形態では、冷間加工は、機械的ピーニング又はレーザピーニングを含む。
一実施形態では、冷間加工は、導電材料の上側の面に金属粒子、ガラス粒子、又はセラミック粒子を衝突させるステップを含む。
一実施形態では、冷間加工は、導電材料中の111結晶面の百分率を減少させるステップを含む。
冷間加工は、導電材料に塑性変形を引き起こすステップ、及び少なくとも上側の面のところの導電材料の結晶粒サイズを冷間加工の前の結晶粒サイズと比較して減少させるステップを含む。
一実施形態では、冷間加工は、導電材料の下側の面のところの結晶粒サイズよりも導電材料の上側の面のところに小さい結晶粒サイズを生じさせる。
一実施形態では、本方法は、導電材料をアニールして冷間加工に先立って導電材料の結晶粒サイズを安定化するステップをさらに含む。
一実施形態では、除去ステップは、冷間加工に先立って、導電材料の一部分を少なくとも除去するステップを含む。
本方法は、導電パッドの導電接合面及び非導電構造体の第1の面を直接接合可能に前処理するステップをさらに含むのがよい。
一実施形態では、本方法は、非導電構造体と導電材料との間にバリヤ層を提供するステップをさらに含む。
一実施形態では、接合構造体を形成する方法が上記素子を第2の非導電構造体及び第2の導電パッドを備えた第2の素子に接合するステップを含む。
接合ステップは、非導電構造体と第2の非導電構造体を互いに直接接合するステップを含むのがよい。
接合ステップは、導電パッド及び第2の導電パッドを50℃~250℃の温度でアニールするステップをさらに含むのがよい。
アニールステップは、導電パッド及び第2の導電パッドを50℃~150℃の温度でアニールするステップを含むのがよい。
導電パッド及び第2の導電パッドをアニールすることにより、上側の面のところの導電材料の平均結晶粒サイズをアニール前の平均結晶粒サイズと比較して大きくすることができる。
導電パッド及び第2の導電パッドをアニールすることにより、上側の面のところの導電材料の平均結晶粒サイズを下側の面のところの導電材料の平均結晶粒サイズよりも大きくすることができる。
一観点では、素子が開示される。素子は、非導電接合面を備えた非導電構造体と、非導電接合面から非導電構造体の厚みの一部分を貫通して少なくとも部分的に延びる空洞と、空洞内に設けられた導電パッドとを有するのがよい。空洞は、底側部及び側壁を有する。導電パッドは、接合面及び接合面と反対側に位置した裏側の面を有する。導電パッドは、底側部に隣接したところの結晶粒と比較して、接合面のところに111結晶面を備えた低い百分率の結晶粒を有する。
一観点では、素子が開示される。素子は、非導電接合面を備えた非導電構造体と、非導電接合面から非導電構造体の厚みの一部分を貫通して少なくとも部分的に延びる空洞と、空洞内に設けられた導電特徴部とを有するのがよい。空洞は、底側部及び側壁を有する。導電特徴部は、接合面及び接合面と反対側に位置した裏側の面を有する。接合面の近くに位置する導電特徴部の一部分内の結晶粒の平均サイズは、200ナノメートル(nm)未満である。
一実施形態では、接合面の近くに位置する導電特徴部の一部分内の結晶粒の平均サイズは、少なくとも50nmである。
一観点では、接合構造体が開示される。接合構造体は、第1の素子を有するのがよく、第1の素子は、非導電接合面を備えた非導電構造体と、非導電接合面から非導電構造体の厚みの一部分を貫通して少なくとも部分的に延びる空洞と、空洞内に設けられた導電パッドとを有する。空洞は、底側部及び側壁を有する。導電パッドは、接合面及び接合面と反対側に位置した裏側の面を有する。導電パッドは、結晶粒が111結晶面に沿って配向した結晶構造を含む。接合面のところに位置する導電パッドの平均結晶粒サイズは、裏側の面のところに位置する導電パッドの平均結晶粒サイズよりも大きい。接合構造体は、第2の導電パッドを備えた第2の素子を有するのがよい。第1の素子の導電パッドと第2の素子の導電パッドは、介在接着剤なしで接合インターフェースに沿って互いに直接接合されている。
一観点では、素子を形成する方法が開示される。本方法は、第1の面及び第1の面と反対側に位置する第2の面を備えた非導電構造体を提供するステップを含むのがよい。本方法は、非導電構造体の第1の面内に空洞を形成するステップを含むのがよい。本方法は、空洞内にかつ非導電構造体の第1の面を覆って導電材料を提供するステップを含むのがよい。本発明は、サーマルアニールによって導電材料の結晶粒サイズを増大させるステップを含むのがよい。本発明は、アニールされた導電材料中に格子欠陥を形成するステップを含むのがよい。本方法は、非導電接合面及び導電接合面を備えた平坦な接合面を形成するステップを含むのがよい。導電接合面は、格子欠陥を有する。
一実施形態では、本方法は、非導電構造体と導電材料との間にバリヤ層を提供するステップをさらに含む。
一実施形態では、本方法は、素子をダイシングフレーム上で単体化するステップをさらに含む。
本方法は、素子を覆って保護層を提供するステップをさらに含み、さらに、単体化された素子の接合面及びダイシングフレームからの単体化により生じた保護層粒子を清浄化により落とすステップを含むのがよい。
本方法は、清浄化した単体化素子を第2の基板の前処理された接合面に直接接合して接合構造体を形成するステップをさらに含むのがよい。
本方法は、接合構造体を200℃未満の温度でアニールして単体化素子を第2の基板に電気的に接合するステップをさらに含むのがよい。
一実施形態では、格子欠陥を形成するステップは、アニールした導電材料の表面を冷間加工するステップを含む。
一観点では、接合構造体が開示される。接合構造体は、第1の素子を有するのがよく、第1の素子は、非導電接合面を備えた非導電構造体と、非導電接合面から非導電構造体の厚みの一部分を貫通して少なくとも部分的に延びる空洞と、空洞内に設けられた導電パッドとを有する。空洞は、底側部及び側壁を有する。導電パッドは、接合面及び接合面と反対側に位置した裏側の面を有する。導電パッドは、全体として非導電接合面に平行に配向された長さ方向柱状結晶粒構造を有する。接合構造体は、第2の導電パッドを備えた第2の素子を有するのがよい。第1の素子の導電パッドと第2の素子の第2の導電パッドは、介在接着剤なしで接合インターフェースに沿って互いに直接接合されている。
一観点では、接合構造体が開示される。接合構造体は、第1の素子を有するのがよく、第1の素子は、非導電接合面を備えた非導電材料の表面内に埋め込まれた平板状導電構造体を有する。導電構造体は、全体として非導電接合面に平行に配向した長手方向柱状結晶粒構造を有する。接合構造体は、平坦な接合面を備えた第2の素子を有するのがよい。第1の素子と第2の素子の接合面は、介在接着剤なしで接合インターフェースに沿って互いに直接接合されている。
一観点では、接合構造体が開示される。接合構造体は、第1の導電特徴部及び第1の非導電領域を含む第1の素子と、介在する接着剤なしで第1の導電特徴部に直接接合された第2の導電特徴部及び第1の非導電領域に接合された第2の非導電領域を含む第2の素子を有するのがよい。互いに接合された第1の導電特徴部と第2の導電特徴部は、結晶粒を含む。結晶粒の各々は、第1の素子と第2の素子との間の接合インターフェースに沿う長さ及び接合インターフェースに垂直な厚さを有する。結晶粒は、結晶粒の平均厚さの少なくとも1.5倍である平均長さを有する。
文脈上別段の明示の必要がなければ、原文明細書及び原文特許請求の範囲全体を通じて、“comprise”(訳文では「~を有する」としている場合が多い)、“comprising”、“include”(「~を含む」)、“including”などの用語は、排他的又は網羅的な意味とは異なり、包括的な意味に、すなわち“including, but not limited to”(「~を含むが、~には限定されない」)の意味に解されるべきである。本明細書に一般的に用いられている「結合され」という用語は、互いに直接的に連結されるか、1つ以上の中間要素により互いに連結される2つ以上の要素を意味している。同様に、本明細書において一般的に用いられている「連結され」という用語は、互いに直接的に連結されるか、1つ以上の中間要素により互いに連結される2つ以上の要素を意味している。加うるに、本願において用いられている“herein”(「ここに」又は「本明細書に」の意)、“above”(「上述の」の意)、“below”(「後述の」の意)、及び同様な趣旨の用語は、本願を全体として意味しており、本願の何らかの特定の部分を意味しているわけではない。さらに、本明細書で用いられているように、第1の素子が第2の素子の「上」又は「覆って」位置すると説明されている場合、第1の素子は、第1の素子と第2の素子は、互いに直接的に接触するよう第2の素子上に又はこれを覆って直接位置する場合があり、或いは第1の素子は、1つ以上の素子が第1の素子と第2の素子の間に介在するよう第2の素子上又はこれを覆って間接的に位置する場合がある。文脈上許容される場合には、単数形又は複数形を用いた上記の詳細な説明中の用語は、それぞれ複数又は単数を含む場合がある。2つ以上のアイテムのリストに関して「又は」という用語は、この用語についての以下の解釈、すなわち、リスト中のアイテムのうちの任意のもの、リスト中のアイテムの全て、及びリスト中のアイテムの任意の組み合わせの全てを含む。
さらに、原文明細書で用いられている条件語、とりわけ“can”(「~のがよい」としている場合が多い)、“could”(「~することができそう」)、“might”(「~かもしれない」)、“may”(「~してもよい」)、“e.g.”(「例えば」)、“for example”(「例えば」)、“such as”(「~のような」)などは、別段の明示の指定がなければ、又は用いられている文脈内で違った意味に理解されることがない場合、一般に、ある特定の実施形態がある特定の特徴、要素、及び/又は状態を含み、他の実施形態がある特定の特徴、要素、及び/又は状態を含まないということを意味するようになっている。かくして、かかる条件を表す言葉は、一般的には、特徴、要素、及び/又は状態が、1つ以上の実施形態について必要な何らかの仕方で存在することを意味するようにはなってはいない。
ある特定の実施形態を説明したが、これら実施形態は、例示としてのみ提供されており、本発明の範囲を限定するものではない。確かに、ここに説明した新規な装置、方法、及びシステムは、種々の他の形態で具体化でき、さらに、ここに説明した方法及びシステムの形態における種々の省略、置換、及び変更は、本発明の範囲から逸脱することなく実施できる。例えば、ブロックが所与の配置で示されているが、変形実施形態は、異なるコンポーネント及び/又は回路トポロジでほぼ同じ機能を実行することができ、幾つかのブロックを削除し、動かし、追加し、分割し、組み合わせ、かつ/或いは改造することができる。これらブロックの各々は、多種多様な仕方で具体化できる。上述の種々の実施形態の要素及び作用の任意適当な組み合わせは、別の実施形態を提供するよう組み合わせ可能である。添付の特許請求の範囲に記載された本発明の範囲及びその均等範囲は、本発明の範囲及び精神に含まれるかかる形態又は改造を含むものである。

Claims (46)

  1. 素子であって、
    非導電接合面を備えた非導電構造体を有し、
    前記非導電接合面から前記非導電構造体の厚みの一部分を貫通して少なくとも部分的に延びる空洞を有し、前記空洞は、底側部及び側壁を有し、
    前記空洞内に設けられた導電パッドを有し、前記導電パッドは、接合面及び前記接合面と反対側に位置した裏側の面を有し、前記接合面のところの前記導電パッドの結晶粒の平均サイズは、前記空洞の前記底側部に隣接して位置する前記結晶粒の平均厚さよりも小さい、素子。
  2. 前記非導電構造体は、誘電体層を有し、前記非導電構造体の前記非導電接合面は、直接接合可能に前処理されている、請求項1記載の素子。
  3. 前記導電パッドの前記導電接合面は、直接接合可能に前処理されている、請求項2記載の素子。
  4. 前記導電パッドは、銅(Cu)パッドである、請求項2記載の素子。
  5. 前記導電パッドは、前記底側部に隣接したところと比較して、前記接合面のところに111結晶面を備えた低い百分率の結晶粒を有する、請求項4記載の素子。
  6. 前記導電パッドは、前記底側部に隣接したところと比較して、前記接合面のところに220結晶面を備えた高い百分率の結晶粒を有する、請求項4記載の素子。
  7. 前記空洞の前記底側部に隣接したところの前記結晶粒の平均サイズは、前記接合面のところの前記結晶粒の平均サイズの少なくとも3倍である、請求項1記載の素子。
  8. 前記空洞の前記底側部に隣接したところに位置する前記結晶粒の平均サイズは、前記接合面のところに位置する前記結晶粒の平均サイズの少なくとも20倍である、請求項1記載の素子。
  9. 前記空洞の前記底側部に隣接して位置する前記結晶粒の平均サイズは、0.2ミクロン(μm)~1μmである、請求項1記載の素子。
  10. 前記接合面のところに位置する前記結晶粒の平均サイズは、30ナノメートル(nm)~200nmである、請求項1記載の素子。
  11. 前記非導電構造体と前記導電パッドとの間に設けられたバリヤ層をさらに有する、請求項1記載の素子。
  12. 接合構造体であって、
    第1の素子を有し、前記第1の素子は、
    非導電接合面を備えた非導電構造体を有し、
    前記非導電接合面から前記非導電構造体の厚みの一部分を貫通して少なくとも部分的に延びる空洞を有し、前記空洞は、底側部及び側壁を有し、
    前記空洞内に設けられた導電パッドを有し、前記導電パッドは、接合面及び前記接合面と反対側に位置した裏側の面を有し、前記接合面のところの前記導電パッドの結晶粒の平均サイズは、前記空洞の前記底側部に隣接して位置する前記結晶粒の平均厚さよりも少なくとも20%大きく、
    第2の導電パッドを備えた第2の素子を有し、
    前記第1の素子の前記導電パッドと前記第2の素子の前記第2の導電パッドは、介在接着剤なしで接合インターフェースに沿って互いに直接接合されている、接合構造体。
  13. 前記第2の素子は、介在接着剤なしで前記第1の素子の前記非導電接合面に直接接合されている第2の非導電接合面を備えた第2の非導電構造体をさらに有する、請求項12記載の接合構造体。
  14. 前記接合インターフェースのところに位置する前記結晶粒の平均サイズは、前記裏側の面の近くに位置する前記結晶粒の平均サイズの少なくとも50%大きい、請求項12記載の接合構造体。
  15. 前記接合インターフェースのところに位置する前記結晶粒の平均サイズは、前記空洞の前記底側部に隣接して位置する前記結晶粒の平均サイズの少なくとも2倍である、請求項12記載の接合構造体。
  16. 前記接合インターフェースのところに位置する前記結晶粒の平均サイズは、前記空洞の前記底側部に隣接して位置する前記結晶粒の平均サイズの少なくとも3倍である、請求項15記載の接合構造体。
  17. 素子を形成する方法であって、前記方法は、
    第1の面及び前記第1の面と反対側に位置する第2の面を備えた非導電構造体を提供するステップを含み、
    前記非導電構造体内に空洞を形成するステップを含み、
    前記空洞内にかつ前記非導電構造体の前記第1の面の一部分上に導電材料を提供するステップを含み、前記導電材料は、前記空洞の底側部に向いた下側の面及び前記下側の面と反対側に位置する上側の面を有し、
    前記導電材料の前記上側の面を冷間加工して前記導電材料の結晶構造を改変するステップを含み、前記冷間加工は、約-196℃~50℃で実施され、
    前記導電材料の少なくとも一部分を除去して導電接合面を備えた導電パッドを形成するステップを含む、方法。
  18. 前記冷間加工は、機械的ピーニング又はレーザピーニングを含む、請求項17記載の方法。
  19. 前記冷間加工は、前記導電材料の前記上側の面に金属粒子、ガラス粒子、又はセラミック粒子を衝突させるステップを含む、請求項17記載の方法。
  20. 前記冷間加工は、前記導電材料中の111結晶面の百分率を減少させるステップを含む、請求項17記載の方法。
  21. 前記冷間加工は、前記導電材料に塑性変形を引き起こすステップ、及び少なくとも前記上側の面のところの前記導電材料の結晶粒サイズを前記冷間加工の前の結晶粒サイズと比較して減少させるステップを含む、請求項20記載の方法。
  22. 前記冷間加工は、前記導電材料の前記下側の面のところの結晶粒サイズと比較して、前記導電材料の前記上側の面のところに小さい結晶粒サイズを生じさせる、請求項17記載の方法。
  23. 前記導電材料をアニールして冷間加工に先立って前記導電材料の結晶粒サイズを安定化するステップをさらに含む、請求項17記載の方法。
  24. 前記除去ステップは、冷間加工に先立って、前記導電材料の前記一部分を少なくとも除去するステップを含む、請求項17記載の方法。
  25. 前記導電パッドの前記導電接合面及び前記非導電構造体の前記第1の面を直接接合可能に前処理するステップをさらに含む、請求項24記載の方法。
  26. 前記非導電構造体と前記導電材料との間にバリヤ層を提供するステップを含む、請求項17記載の方法。
  27. 接合構造体を形成する方法であって、前記方法は、請求項17記載の前記素子を第2の非導電構造体及び第2の導電パッドを備えた第2の素子に接合するステップを含む、方法。
  28. 前記接合ステップは、前記非導電構造体と前記第2の非導電構造体を互いに直接接合するステップを含む、請求項27記載の方法。
  29. 前記接合ステップは、前記導電パッド及び前記第2の導電パッドを50℃~250℃の温度でアニールするステップをさらに含む、請求項28記載の方法。
  30. 前記アニールステップは、前記導電パッド及び前記第2の導電パッドを50℃~150℃の温度でアニールするステップを含む、請求項29記載の方法。
  31. 前記導電パッド及び前記第2の導電パッドをアニールすることにより、前記上側の面のところの前記導電材料の平均結晶粒サイズを前記アニール前の平均結晶粒サイズと比較して大きくする、請求項27記載の方法。
  32. 前記導電パッド及び前記第2の導電パッドをアニールすることにより、前記上側の面のところの前記導電材料の平均結晶粒サイズを前記下側の面のところの前記導電材料の平均結晶粒サイズよりも大きくする、請求項27記載の方法。
  33. 素子であって、
    非導電接合面を備えた非導電構造体を有し、
    前記非導電接合面から前記非導電構造体の厚みの一部分を貫通して少なくとも部分的に延びる空洞を有し、前記空洞は、底側部及び側壁を有し、
    前記空洞内に設けられた導電パッドを有し、前記導電パッドは、接合面及び前記接合面と反対側に位置した裏側の面を有し、前記導電パッドは、前記底側部に隣接したところと比較して、前記接合面のところに111結晶面を備えた低い百分率の結晶粒を有する、素子。
  34. 素子であって、
    非導電接合面を備えた非導電構造体を有し、
    前記非導電接合面から前記非導電構造体の厚みの一部分を貫通して少なくとも部分的に延びる空洞を有し、前記空洞は、底側部及び側壁を有し、
    前記空洞内に設けられた導電特徴部を有し、前記導電特徴部は、接合面及び前記接合面と反対側に位置した裏側の面を有し、
    前記接合面の近くに位置する前記導電特徴部の一部分内の結晶粒の平均サイズは、200ナノメートル(nm)未満である、素子。
  35. 前記接合面の近くに位置する前記導電特徴部の前記一部分内の前記結晶粒の平均サイズは、少なくとも50nmである、請求項34記載の素子。
  36. 接合構造体であって、
    第1の素子を有し、前記第1の素子は、
    非導電接合面を備えた非導電構造体を有し、
    前記非導電接合面から前記非導電構造体の厚みの一部分を貫通して少なくとも部分的に延びる空洞を有し、前記空洞は、底側部及び側壁を有し、
    前記空洞内に設けられた導電パッドを有し、前記導電パッドは、接合面及び前記接合面と反対側に位置した裏側の面を有し、前記導電パッドは、結晶粒が111結晶面に沿って配向した結晶構造を含み、前記接合面のところに位置する前記導電パッドの平均結晶粒サイズは、前記裏側の面のところに位置する前記導電パッドの平均結晶粒サイズよりも大きく、
    第2の導電パッドを備えた第2の素子を有し、
    前記第1の素子の前記導電パッドと前記第2の素子の前記第2の導電パッドは、介在接着剤なしで接合インターフェースに沿って互いに直接接合されている、接合構造体。
  37. 素子を形成する方法であって、前記方法は、
    第1の面及び前記第1の面と反対側に位置する第2の面を備えた非導電構造体を提供するステップを含み、
    前記非導電構造体の前記第1の面内に空洞を形成するステップを含み、
    前記空洞内にかつ前記非導電構造体の第1の面を覆って導電材料を提供するステップと、
    サーマルアニールによって前記導電材料の結晶粒サイズを増大させるステップと、
    前記アニールされた導電材料中に格子欠陥を形成するステップと、
    非導電接合面及び導電接合面を備えた平坦な接合面を形成するステップと、を含み、
    前記導電接合面は、前記格子欠陥を有する、方法。
  38. 前記非導電構造体と前記導電材料との間にバリヤ層を提供するステップをさらに含む、請求項37記載の方法。
  39. 前記素子をダイシングフレーム上で単体化するステップをさらに含む、請求項37記載の方法。
  40. 前記素子を覆って保護層を提供するステップをさらに含み、さらに、前記単体化された素子の前記接合面及び前記ダイシングフレームからの単体化により生じた保護層粒子を清浄化により落とすステップを含む、請求項39記載の方法。
  41. きれいにした単体化素子を第2の基板の前処理された接合面に直接接合して接合構造体を形成するステップをさらに含む、請求項40記載の方法。
  42. 前記接合構造体を200℃未満の温度でアニールして前記単体化素子を前記第2の基板に電気的に接合するステップをさらに含む、請求項41記載の方法。
  43. 前記格子欠陥を形成する前記ステップは、前記アニールした導電材料の表面を冷間加工するステップを含む、請求項37記載の方法。
  44. 接合構造体であって、
    第1の素子を有し、前記第1の素子は、
    非導電接合面を備えた非導電構造体を有し、
    前記非導電接合面から前記非導電構造体の厚みの一部分を貫通して少なくとも部分的に延びる空洞を有し、前記空洞は、底側部及び側壁を有し、
    前記空洞内に設けられた導電パッドを有し、前記導電パッドは、接合面及び前記接合面と反対側に位置した裏側の面を有し、前記導電パッドは、全体として前記非導電接合面に平行に配向された長さ方向柱状結晶粒構造を有し、
    第2の導電パッドを備えた第2の素子を有し、
    前記第1の素子の前記導電パッドと前記第2の素子の前記第2の導電パッドは、介在接着剤なしで接合インターフェースに沿って互いに直接接合されている、接合構造体。
  45. 接合構造体であって、
    第1の素子を有し、前記第1の素子は、
    非導電接合面を備えた非導電材料の表面内に埋め込まれた平板状導電構造体を有し、
    前記導電構造体は、全体として前記非導電接合面に平行に配向した長手方向柱状結晶粒構造を有し、
    平坦な接合面を備えた第2の素子を有し、
    前記第1の素子の前記接合面と前記第2の素子の前記接合面は、介在接着剤なしで接合インターフェースに沿って互いに直接接合されている、接合構造体。
  46. 接合構造体であって、
    第1の導電特徴部及び第1の非導電領域を含む第1の素子と、
    介在する接着剤なしで前記第1の導電特徴部に直接接合された第2の導電特徴部及び前記第1の非導電領域に接合された第2の非導電領域を含む第2の素子と、を有し、
    前記互いに接合された前記第1の導電特徴部と前記第2の導電特徴部は、各々が第1の素子と前記第2の素子との間の接合インターフェースに沿う長さ及び前記接合インターフェースに垂直な厚さを有する結晶粒を含み、前記結晶粒は、前記結晶粒の平均厚さの少なくとも1.5倍である平均長さを有する、接合構造体。
JP2023540204A 2020-12-30 2021-12-29 導電特徴部を備えた構造体及びその形成方法 Pending JP2024501559A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202063132334P 2020-12-30 2020-12-30
US63/132,334 2020-12-30
PCT/US2021/073169 WO2022147459A1 (en) 2020-12-30 2021-12-29 Structure with conductive feature and method of forming same

Publications (1)

Publication Number Publication Date
JP2024501559A true JP2024501559A (ja) 2024-01-12

Family

ID=82119633

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2023540204A Pending JP2024501559A (ja) 2020-12-30 2021-12-29 導電特徴部を備えた構造体及びその形成方法

Country Status (7)

Country Link
US (1) US20220208702A1 (ja)
EP (1) EP4272249A1 (ja)
JP (1) JP2024501559A (ja)
KR (1) KR20230126736A (ja)
CN (1) CN116848631A (ja)
TW (1) TW202243160A (ja)
WO (1) WO2022147459A1 (ja)

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US10607136B2 (en) 2017-08-03 2020-03-31 Xcelsis Corporation Time borrowing between layers of a three dimensional chip stack
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
KR20230156179A (ko) 2016-12-29 2023-11-13 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 집적된 수동 컴포넌트를 구비한 접합된 구조체
US10629577B2 (en) 2017-03-16 2020-04-21 Invensas Corporation Direct-bonded LED arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
KR20210009426A (ko) 2018-06-13 2021-01-26 인벤사스 본딩 테크놀로지스 인코포레이티드 패드로서의 tsv
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
WO2020010056A1 (en) 2018-07-03 2020-01-09 Invensas Bonding Technologies, Inc. Techniques for joining dissimilar materials in microelectronics
WO2020010265A1 (en) 2018-07-06 2020-01-09 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US11158606B2 (en) 2018-07-06 2021-10-26 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US20200075533A1 (en) 2018-08-29 2020-03-05 Invensas Bonding Technologies, Inc. Bond enhancement in microelectronics by trapping contaminants and arresting cracks during direct-bonding processes
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
WO2020150159A1 (en) 2019-01-14 2020-07-23 Invensas Bonding Technologies, Inc. Bonded structures
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
CN115088068A (zh) 2019-12-23 2022-09-20 伊文萨思粘合技术公司 用于接合结构的电冗余
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
US11735523B2 (en) 2020-05-19 2023-08-22 Adeia Semiconductor Bonding Technologies Inc. Laterally unconfined structure
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8796851B2 (en) * 2012-01-05 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding pad and method of making same
FR2987626B1 (fr) * 2012-03-05 2015-04-03 Commissariat Energie Atomique Procede de collage direct utilisant une couche poreuse compressible
US9048283B2 (en) * 2012-06-05 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding systems and methods for semiconductor wafers
DE112014002135T5 (de) * 2013-04-25 2016-01-14 Fuji Electric Co., Ltd. Halbleitervorrichtung und Verfahren zum Herstellen einer Halbleitervorrichtung
US9184134B2 (en) * 2014-01-23 2015-11-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device structure
DE102014115105B4 (de) * 2014-10-09 2023-06-22 Taiwan Semiconductor Manufacturing Company, Ltd. Halbleitereinrichtung und Verfahren zur Herstellung einer Halbleitereinrichtung
US9728521B2 (en) * 2015-07-23 2017-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bond using a copper alloy for yield improvement
US9741693B2 (en) * 2015-11-12 2017-08-22 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and method of forming the same
US10446441B2 (en) * 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10872861B2 (en) * 2018-02-07 2020-12-22 Advanced Semiconductor Engineering, Inc. Kaohsiung, Taiwan Semiconductor packages
US10937755B2 (en) * 2018-06-29 2021-03-02 Advanced Micro Devices, Inc. Bond pads for low temperature hybrid bonding
US11011494B2 (en) * 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11152317B2 (en) * 2018-09-20 2021-10-19 Samsung Electronics Co., Ltd. Semiconductor device including interconnection structure including copper and tin and semiconductor package including the same
US11244920B2 (en) * 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
US11063015B2 (en) * 2019-07-24 2021-07-13 Advanced Semiconductor Engineering, Inc. Semiconductor device package and method of manufacturing the same
US11424215B2 (en) * 2020-11-10 2022-08-23 Sandisk Technologies Llc Bonded assembly formed by hybrid wafer bonding using selectively deposited metal liners
JP2022095359A (ja) * 2020-12-16 2022-06-28 キオクシア株式会社 半導体装置およびその製造方法
EP4268273A1 (en) * 2020-12-28 2023-11-01 Adeia Semiconductor Bonding Technologies Inc. Structures with through-substrate vias and methods for forming the same

Also Published As

Publication number Publication date
KR20230126736A (ko) 2023-08-30
CN116848631A (zh) 2023-10-03
US20220208702A1 (en) 2022-06-30
TW202243160A (zh) 2022-11-01
WO2022147459A1 (en) 2022-07-07
EP4272249A1 (en) 2023-11-08

Similar Documents

Publication Publication Date Title
JP2024501559A (ja) 導電特徴部を備えた構造体及びその形成方法
US11244920B2 (en) Method and structures for low temperature device bonding
US20230132632A1 (en) Diffusion barriers and method of forming same
US20210082754A1 (en) Flat metal features for microelectronics applications
US20230187264A1 (en) Methods for bonding semiconductor elements
US20220285303A1 (en) Contact structures for direct bonding
CN112956011B (zh) 微电子学中在低温下进行直接金属间键合的层结构
US20230197453A1 (en) Structure with conductive feature for direct bonding and method of forming same
US9960142B2 (en) Hybrid bonding with air-gap structure
US8866305B2 (en) Methods of forming bonded semiconductor structures
JP2024501017A (ja) 基板貫通ビアを有する構造体及びそれを形成する方法
JP2024501016A (ja) 基板貫通ビアを有する構造体及びそれを形成する方法
KR20210064388A (ko) 상호접속 구조물
JP6272804B2 (ja) 2つの金属表面を永久的に接続するための方法
TWI464810B (zh) 形成經接合的半導體結構之方法及由該方法所形成之半導體結構
CN105489512B (zh) 临时半导体结构键合方法和相关的键合半导体结构
Lee et al. Copper bonding technology in heterogeneous integration
Kurooka et al. Cu-Cu Bonding Challenges with ‘i-ACF’for Advanced 3D Integration
WO2023122697A1 (en) Controlled grain growth for bonding and bonded structure with controlled grain growth
CN116830256A (zh) 具有贯穿衬底过孔的结构及其形成方法