CN112956011B - 微电子学中在低温下进行直接金属间键合的层结构 - Google Patents

微电子学中在低温下进行直接金属间键合的层结构 Download PDF

Info

Publication number
CN112956011B
CN112956011B CN201980070918.4A CN201980070918A CN112956011B CN 112956011 B CN112956011 B CN 112956011B CN 201980070918 A CN201980070918 A CN 201980070918A CN 112956011 B CN112956011 B CN 112956011B
Authority
CN
China
Prior art keywords
bonding
conductive via
direct
buried metal
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201980070918.4A
Other languages
English (en)
Other versions
CN112956011A (zh
Inventor
G·高
G·G·小方丹
L·W·米卡里米
R·卡特卡尔
I·莫哈梅德
C·E·尤佐
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Insulation Semiconductor Bonding Technology Co
Original Assignee
Evanss Adhesive Technologies
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Evanss Adhesive Technologies filed Critical Evanss Adhesive Technologies
Priority to CN202210796791.8A priority Critical patent/CN115332207A/zh
Publication of CN112956011A publication Critical patent/CN112956011A/zh
Application granted granted Critical
Publication of CN112956011B publication Critical patent/CN112956011B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0233Structure of the redistribution layers
    • H01L2224/02331Multilayer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0239Material of the redistribution layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/0346Plating
    • H01L2224/03462Electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/038Post-treatment of the bonding area
    • H01L2224/0383Reworking, e.g. shaping
    • H01L2224/03831Reworking, e.g. shaping involving a chemical process, e.g. etching the bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/038Post-treatment of the bonding area
    • H01L2224/0383Reworking, e.g. shaping
    • H01L2224/03845Chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/038Post-treatment of the bonding area
    • H01L2224/03848Thermal treatments, e.g. annealing, controlled cooling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05005Structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05005Structure
    • H01L2224/05008Bonding area integrally formed with a redistribution layer on the semiconductor or solid-state body, e.g.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0501Shape
    • H01L2224/05016Shape in side view
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05022Disposition the internal layer being at least partially embedded in the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05024Disposition the internal layer being disposed on a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05075Plural internal layers
    • H01L2224/0508Plural internal layers being stacked
    • H01L2224/05082Two-layer arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05117Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05118Zinc [Zn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05117Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05124Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/0519Material with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05541Structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0555Shape
    • H01L2224/05556Shape in side view
    • H01L2224/05557Shape in side view comprising protrusions or indentations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05562On the entire exposed surface of the internal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05567Disposition the external layer being at least partially embedded in the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05569Disposition the external layer being disposed on a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05571Disposition the external layer being disposed in a recess of the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05575Plural external layers
    • H01L2224/05576Plural external layers being mutually engaged together, e.g. through inserts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05617Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05618Zinc [Zn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05617Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05624Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/05686Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/0801Structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08123Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting directly to at least two bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80009Pre-treatment of the bonding area
    • H01L2224/8001Cleaning the bonding area, e.g. oxide removal step, desmearing
    • H01L2224/80013Plasma cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8034Bonding interfaces of the bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8034Bonding interfaces of the bonding area
    • H01L2224/80345Shape, e.g. interlocking features
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80905Combinations of bonding methods provided for in at least two different groups from H01L2224/808 - H01L2224/80904
    • H01L2224/80906Specific sequence of method steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80909Post-treatment of the bonding area
    • H01L2224/80948Thermal treatments, e.g. annealing, controlled cooling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80986Specific sequence of steps, e.g. repetition of manufacturing steps, time sequence
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/38Effects and problems related to the device integration
    • H01L2924/381Pitch distance

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Wire Bonding (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Pressure Welding/Diffusion-Bonding (AREA)

Abstract

提供了用于在微电子学中在低温和较短的退火持续时间下进行直接金属间键合的层结构。示例键合界面结构能够在150℃或在150℃以下的低退火温度下以及较低的能量预算下实现互连件的直接金属间键合。示例结构为被键合的导电焊盘和过孔提供了精确的金属凹陷距离,该金属凹陷距离可以在高量产中被实现。示例结构提供了在键合界面之下的导电层的竖直堆叠,导电层的竖直堆叠的几何形状和热膨胀特征被设计为在较低的温度下、在精确的凹陷距离内使得堆叠竖直膨胀,以进行直接金属间键合。诸如表面纳米纹理和铜晶面选择的进一步增强可以以降低的退火温度和较短的退火持续时间进一步促使直接金属间键合。

Description

微电子学中在低温下进行直接金属间键合的层结构
相关申请的交叉引用
本申请要求于2018年12月13日提交的美国非临时专利申请号16/218,769以及于2018年8月31日提交的标题为“LAYER STRUCTURES FOR MAKING DIRECT METAL-TO-METALBONDS AT LOW TEMPERATURES IN MICROELECTRONICS”的美国临时专利申请号62/725,801的优先权,其全部公开内容通过引用由此并入本文。
背景技术
在键合界面处进行电连接在微电子学中已变得至关重要,因为几十年来,能够在给定二维面积中排列的晶体管的密度每18至24个月翻倍。半导体管芯和电路板之间的常规倒装芯片连接器每平方毫米(sq.mm)提供45个接触凸块连接,其中每个连接的键合间距平均为180微米(μm)。常规的微柱连接器对常规的倒装芯片连接器进行了改进,每平方毫米提供625个支柱连接,其中每个连接的间距平均为40μm。键合焊盘宽度可以被认为是待键合的导电焊盘的尺寸,而间距指的是连接之间的距离。每平方毫米进行1,000,000个连接需要1μm的键合间距,例如,焊盘尺寸可能约为0.5μm。对于包括电互连件的晶片对晶片或管芯对晶片的键合,包括直接金属间键合的直接键合技术(诸如“直接键合互连件”
Figure BDA0003040065190000011
直接混合键合)可以提供每平方毫米100,000个-1,000,000个连接,其中每个连接的间距平均为从1μm至40μm(加利福尼亚州圣何塞的Xperi Corp.的子公司Invensas Inc.)。对于间距小于1μm的连接,更大的连接密度也是可行的。
直接混合键合工艺(诸如
Figure BDA0003040065190000012
直接混合键合)以超平坦的键合表面为前提,因此通过这样的直接键合进行的高密度连接依赖于各种化学机械平面化(CMP)工艺,以在很大的表面上将待键合的表面平坦化到几万分之一微米(几十分之一纳米内)内。各种CMP工艺可以实现足以用于光刻或22纳米节点技术的景深平坦度。
为了以超高密度实现这样的异常精细的3D电互连,还必须对对准和键合工艺进行微调。直接混合键合工艺的对准和电介质(非金属)键合部分可以在室温下执行。当将准备好的表面一起购买时,键合表面的电介质部分(例如,氧化硅)自发形成氧化物间键合。然而,被限制在电介质材料中的金属焊盘或过孔从构成水平键合界面的电介质表面略微凹陷,使得金属焊盘在电介质表面彼此接触时不会阻碍电介质表面的键合。
直接混合键合工艺的常规退火阶段在升高的温度下进行。在这些较高的退火温度下,由于金属和电介质之间的热膨胀系数(CTE)的差异,金属焊盘或过孔的竖直膨胀比周围的电介质材料更大。如果凹陷足够浅,则被键合表面的每一侧上的金属过孔或焊盘将膨胀,以将两个金属表面之间的小间隙桥接,并且在一定的自膨胀状态下与彼此形成物理接触。在这些较高的退火温度下,包围金属焊盘的电介质的氧化物间键合进一步增强,允许金属焊盘彼此膨胀并永久键合。当每个表面处的铜原子(例如,对于铜焊盘)开始与界面另一侧上的其他铜原子形成相互的金属键合时,金属焊盘之间的键合开始。以这种方式,在电互连的直接混合键合工艺中不使用焊料或其他粘合剂。
但是,在常规退火步骤中用于直接混合键合的温度可能会将热应力施加在微电子学中使用的越来越小的半导体组件上。该退火温度可以被降低,但是在较低温度下的热膨胀被限制并且需要相对于室温下金属焊盘距键合界面的凹陷距离来非常精确地控制铜焊盘的放置,但在高量产中很难实现精确控制。该凹陷距离由CMP步骤导致,从而导致非常狭窄的制造窗口,这是不切实际的:金属焊盘在由电介质表面组成的键合界面的水平平面之上的任何轻微突起可能阻碍在室温下发生的直接混合键合工艺的自发性电介质键合阶段,并且这会导致整体键合失败。对于3D半导体封装的进一步发展而言,期望一种结构和工艺,能够降低互连件的直接金属间键合的退火温度。
发明内容
提供了用于在微电子学中在低温和较短的退火持续时间下进行直接金属间键合的层结构。示例键合界面结构能够在150℃或更低的低退火温度下,以及由于更短的退火时间在较低的能量预算下实现互连件的直接金属间键合。示例结构提供了可以在高量产中实现的、被键合的导电焊盘和过孔的精确金属凹陷距离。示例结构提供了由周围的电介质限制的导电层的竖直堆叠,周围的电介质具有几何形状和热膨胀特征,几何形状和热膨胀特征被设计为使得堆叠在较低温度下、在精确的凹陷距离之内竖直膨胀,以在无需焊料或粘合剂的情况下,在键合界面处进行直接金属间键合。诸如表面纳米纹理和铜晶体平面选择的进一步增强可以在降低的退火温度和较短的退火持续时间下进一步促使直接金属间键合。
本发明内容既不旨在标识所要求保护的主题的关键或必要特征,也不旨在用于帮助限制所要求保护的主题的范围。
附图说明
下文将参考附图来描述本公开的某些实施例,其中相同的附图标记表示相同的元素。然而,应当理解,附图图示了本文描述的各种实现方式,并且并不意味着限制本文描述的各种技术的范围。
图1是适用于在微电子学中、在低退火温度和短退火持续时间下进行直接金属间键合的示例层结构的图。
图2是具有用于进行直接金属间键合的示例层结构的示例器件的图,示例层结构包括用于在高量产中可获得的键合焊盘的凹陷距离。
图3是示出了用于直接金属间键合的金属键合表面的热膨胀的几何形状的图。
图4是针对不同厚度的热膨胀金属接触件,绘制了与键合平面相距的最大凹陷距离与温度的关系图。
图5示出了三个导电层的两个示例图,其中相应CTE在竖直直接键合堆叠内。
图6示出了具有高CTE的有机材料的掩埋式金属凸块或嵌体,掩埋式金属凸块或嵌体在示例直接混合键合工艺期间提供了竖直热膨胀来降低退火温度并缩短退火持续时间。
图7是示出了在直接金属间键合中作为第一导电层或第二导电层的多个平行过孔的图。
图8是示出了用于创建键合界面结构的示例工艺的流程图,键合界面结构使得能够以比常规使用的更低的退火温度和更短的退火时间进行直接金属间键合。
图9是示出了在示例直接混合键合工艺中将图8中形成的结构接合在一起的实例的图。
图10是示出了在直接金属间键合中制造纳米纹理化表面来降低退火温度并缩短退火时间的示例工艺的图。
图11是示出了用于制造适于在低退火温度和短退火持续时间下形成直接金属间键合的结构的示例工艺的流程图。
具体实施方式
概述
本公开描述了用于在微电子学中在低温和短退火持续时间下进行直接金属间键合的层结构。示例键合界面结构使得能够在150℃或更低的低退火温度下实现互连件的直接金属间键合。示例结构提供了可以在高量产中实现的例如4至6纳米的精确金属凹陷规格。在直接混合键合中,电介质表面的直接键合首先跨键合界面发生。然后,在退火阶段,在精确的凹陷距离处凹陷的过孔或焊盘可以在较低的退火温度和较短的退火持续时间下膨胀,以将间隙桥接并形成直接金属间键合。
减少用于创建直接混合键合(包括导电互连件的金属间键合)的能量预算或“热预算”不仅包括降低退火温度,还包括降低混合键合的退火阶段的持续时间。本文还描述了用于缩短退火持续时间的示例技术。
重要的是,使得能够以降低的能量预算形成直接混合键合的示例结构允许在金属互连件件的直接混合键合中,在比常规施加的温度更低的温度和更低的能量预算下完成每平方毫米数十万甚至超过一百万个电互连件。直接键合可以通过热压键合或混合粘合来实现。本文以混合键合为例进行描述。示例低温工艺对于半导体组件和制造设备更安全,并且实施起来成本更低。示例低温工艺还使得能够将直接混合键合应用于无法在250℃及更高的常规退火温度下进行退火的组件和产品。
示例键合界面结构
低温直接金属间键合对于某些应用是有吸引力的。但是,与在常规使用的那些退火温度下可实现的竖直热膨胀相比,在直接混合键合工艺中将金属键合在一起所需的竖直热膨胀在较低的温度下受到限制,从而导致了需要在较低温度下对键合可能发生之前的键合界面的结构进行精确控制。
具体地,如图1所示,在较低的温度下执行直接混合键合需要仔细控制待键合的金属焊盘100和100'可以从键合界面平面102本身凹陷多远。金属焊盘100和100'从较大的键合界面102的平面的该凹陷在本文中被称为“最大凹陷距离”104或仅是“凹陷”104,并且范围从0纳米(nm)到所允许的最大凹陷。在退火步骤之前金属焊盘100在水平平面102之上突起通常不被允许或不优选。进而,为了实现较低的退火温度而施加在该凹陷参数104上的较低公差,这导致需要对化学机械平面化(CMP)操作的仔细控制,用于平坦化管芯和晶片,从而首先建立键合界面102的平面和金属接触件的所得凹陷距离104。
在一个实现方式中,为了使得能够在低温下将金属互连件直接键合,待被键合的金属焊盘100与较大键合界面102的水平平面之间的最大凹陷距离104被设计为仅几个纳米(每侧),并且金属焊盘100和金属焊盘100下方的结构106被设计为膨胀,所计算的热膨胀足以将每个金属焊盘100提升到属于被接合的另一表面的相对金属焊盘100'中,以在键合之后将金属焊盘100和100'直接键合到单个金属互连件110中。每个金属焊盘100与其相应的较大键合界面102或102’之间的最大凹陷距离104对于实现低温直接键合到关重要。例如,如果凹陷距离104的规格太小,则难以对CMP工艺控制进行控制,并且使得金属焊盘100突起到电介质表面102之上的风险增加。如果金属焊盘100略高于较大键合界面102,则层108中的电介质键合没有发生在突起的焊盘周围。如果足够数量的突起焊盘破坏了周围较大电介质表面102的键合,则发生键合失败。
另一方面,如果凹陷距离104太大,则一旦金属焊盘100和下层结构106的热膨胀用尽,在较低的退火温度下金属焊盘100和100'不足以膨胀到在键合界面102处接触。这导致键合界面102的非金属部分108的氧化物间键合,但是金属焊盘100和100'没有键合,因为金属焊盘100和100'从不跨键合界面102彼此接触。电介质表面键合,但是跨接合的表面没有电互连件。
当铜是被直接键合的金属时,退火步骤的较高温度可以促使金属间键合的每一侧上的铜金属的晶粒尺寸变化。低温直接键合也可以很好地考虑到这一点。同样地,待键合的金属表面100可以被有意地修改为利于在较低温度下键合。常规地,CMP工艺呈现纯材料的表面,在该表面处,经平坦化的表面的金属区域没有氧化物和杂质。键合表面可以使用等离子体来活化,以降低用于形成键合的活化能,并且在有利于键合形成的表面处创建一些电荷平衡的破坏。在本文的实现方式中,待被直接键合为互连件的金属焊盘100还可以通过在键合表面上创建金属的纳米颗粒,使纳米颗粒置顶(topped),以指数地增加键合表面面积并且对金属直接键合进行物理地催化或晶种化。在另一实现方式中,铜晶面的选择增加了铜原子扩散穿过键合界面来形成永久金属间键合的速率,从而使得能够降低退火温度并缩短退火持续时间。
图2示出了微电子器件中的示例层结构200,其中金属焊盘100从键合界面102的平面凹陷距离104。在图2中,凹陷距离104不成比例,并且出于描述目的而被夸大。键合界面102的电介质层108具有在水平平面中的表面。过孔202被竖直地设置在电介质层108的水平表面中。导电层206和208的竖直堆叠204位于过孔202中。导电层206和208可以具有多于一个的金属成分,并且竖直堆叠204中的每个导电层206和208具有相应的热膨胀系数(COE),被标记为α1和α2。
竖直堆叠204中的第一导电层206的顶表面210从键合界面102的水平表面的凹陷距离104随温度而变化,因为导电层206和208相对于温度膨胀或收缩。对于给定的层结构200,在室温下的凹陷距离104对于给定的退火温度具有直接金属间键合的特定范围。凹陷与竖直堆叠204中的两个导体206和208的体积和几何形状以及它们相应的热膨胀系数α1和α2相关。
在一个实现方式中,在低于常规退火温度约250℃的退火温度下,竖直堆叠204热膨胀,使得第一导电层206的顶表面210的至少一部分在凹陷距离104之内膨胀或凸出到键合界面102的水平表面,将顶表面210推入在适当的位置处,使得在键合界面102处进行直接金属间键合,而在键合界面的另一侧上进行竖直堆叠204的另一实例。实际的退火温度可以在150至200℃之间,或者可以约150℃,甚至可以低于150℃。这些近似温度比直接混合键合中退火步骤所需的常规温度(大约250℃)低得多。
在该实现方式中,竖直堆叠204的第二导电层208位于第一导电层206下方,并且被导电地连接至第一导电层206。第二导电层208可以具有第二热膨胀系数α2。
竖直堆叠204下方的至少一个底层212由半导体管芯或晶片的材料或诸如玻璃、电介质、导体等的衬底材料组成。
诸如二氧化硅的电介质限制层108提供包围竖直导电堆叠204的限制基质,并且具有比金属堆叠204低得多的CTE,以提供对金属堆叠204的水平膨胀力的充分限制并且由此在掩埋导电层208中生成基本竖直的膨胀。电介质层108足够坚硬,以将竖直堆叠204的大部分膨胀基本上约束在竖直堆叠204本身内。因此,通过限制膨胀力,电介质层108将第一导电层206和第二导电层208的水平热膨胀转换为竖直堆叠204内的竖直膨胀。例如,以下参考图8来描述构建(多个)电介质层108和示例竖直导电堆叠204的示例工艺。
如图3所示,第一导电层206和第二导电层208的经组合的竖直热膨胀使得顶表面210膨胀,使得第一导电层206的顶表面210的至少一部分在大约150℃或更低的退火温度下,凸出、变形、膨胀和/或移动凹陷距离104,直至键合界面102的水平平面。
再次参考图2,当例如由二氧化硅制成时,电介质层108具有大约0.55×10-6/℃的热膨胀系数(CTE)和7莫氏硬度。在一些实现方式中,其他材料可以被用于电介质108。合适的电介质108可以具有等于或大于例如具有非常低的CTE的二氧化硅的CTE。
在一个实现方式中,最大凹陷距离104由等式(1)来确定:
δ=α*×h×ΔT (1)
其中δ是凹陷距离104,α*是导电层206和208的竖直堆叠204的有效CTE,h是导电层206和208的竖直堆叠204的厚度,α*由三个分量组成:α*1、α*2和α*3,并且ΔT是室温和退火温度之间的温度变化。
α*=α* 1* 2* 3 (2)
导电层206和208的整个竖直堆叠204的有效CTEα*的第一分量(α* 1)表示堆叠204的热膨胀的竖直分量,并且可以通过层206和208的竖直堆叠204的复合CTE来近似。竖直堆叠204的复合CTE可以通过等式(2)来确定:
α* 1=α1×h1/(h1+h2)+α2×h2/(h1+h2) (3)
其中α1是竖直堆叠204的第一导电层206的CTE,α2是竖直堆叠204的第二导电层208的CTE,h1是第一导电层206的厚度214,h2是第二导电层208的厚度216。由第一导电层206和第二导电层208以及任何可选的附加层组成的堆叠204中的金属总厚度增加了热膨胀,热膨胀超过了没有堆叠结构(诸如仅具有第一导电层206)所能实现的水平。金属堆叠204的组合厚度h=h1+h2大于单独的第一导电层206的厚度h1,并且因此降低了针对金属凹陷距离104的给定值的退火温度。
整个竖直堆叠204的有效CTEα*的第二分量(α* 2)是第二掩埋金属层208的水平膨胀贡献(α* 2),由于电介质108的限制,其被转换为竖直膨胀。当掩埋金属层208的水平覆盖区大于其之上的第一掩埋导电层206的水平覆盖区时,α* 2的贡献甚至更大。
竖直堆叠204的有效CTEα*的第三分量(α* 3)与第一导电层206的顶表面210的表面积
Figure BDA0003040065190000091
有关,该表面210可以是待键合的金属“焊盘”。导电层206的外边缘的移动受到电介质限制基质108的约束,因为两者相邻。在设计期间,增加第一导电层206的顶表面210的表面积对在第一导电层206内的金属体积中的热膨胀提供较小的约束,第一导电层206进一步远离第一导电层206与约束电介质基质108之间的界面。因此,在给定的退火温度下,与具有较小顶表面210的小焊盘相比,在大金属焊盘或导电层206上的顶表面210的中心部分进一步膨胀。这有助于使用等式(2)中的α* 3来捕获整个竖直堆叠204的有效CTEα*
并入以下特征和参数的结构设计(例如,直接金属间键合)可以将具有示例凹陷104(大于1至2nm)的导电过孔206的退火温度显著降低到低于200℃,甚至低于150℃。示例结构具有较厚的上金属过孔206,例如,h1的厚度大于0.5μm,示例范围为0.6至2.0μm。上金属过孔206被导电地传导至一个或多个掩埋金属层208,诸如BEOL堆堆叠的一个或多个迹线层,以增加有效的总堆叠厚度h 204。当掩埋金属层208由迹线的一部分组成时,掩埋金属层208可以有意地比通常的迹线层更厚,例如在0.5至1.5μm的范围内。示例掩埋金属层208具有比其之上的过孔层206更大的覆盖区(水平横截面)。与通常的顶表面面积210相比,上过孔206的更大面积还使得能够降低退火温度来形成金属间键合。例如,常规的圆形上过孔206具有3μm直径的顶表面210,而如本文所述的用于降低退火温度的示例扩大的上过孔206可以具有5μm、10μm或15μm的上部圆形焊盘表面210。
图4是使用整体有效CTEα*的α* 1分量(竖直热膨胀)绘制的铜金属206和电介质SiO2108之间的热膨胀差(即,最小退火温度)与退火温度和h1金属厚度214的函数关系的理论计算图。对于给定的金属厚度214,如果退火温度和金属凹陷104的组合下降到曲线图上的相应视线以下,则理论上两个金属表面将不会膨胀为彼此接触而形成金属间键合。如果组合下降超出该线,则理论上将发生金属间键合。
对于给定的退火温度,金属凹陷距离104越大,所需的退火温度就越高。凹陷距离104越小,发生金属间键合所需的退火温度越低。然而,对于CMP工艺控制和高量产,非常小的凹陷规格是不期望的。通过增加过孔表面210之下的总金属厚度h1 214,线的斜率在曲线图中变小,并且然后对于给定的凹陷104,随着上金属过孔206的总厚度214增加,所计算的退火温度降低。
使用以下示例来说明金属厚度214和其他尺寸的影响。对于具有6nm凹陷距离104的直径为3μm的过孔206,如果上金属过孔206的竖直厚度(h1)214为0.8μm并且与上金属过孔206所连接的掩埋迹线208的竖直厚度(h2)216为0.2μm,总金属厚度h 204为1.0μm,则所计算的退火温度接近300℃。但是,当将掩埋金属迹线208(可以多于一个层)增加到0.8μm,以使得总金属堆叠厚度(h)204为1.6μm时,则所计算的退火温度被减小至180℃。当对于2.1μm的总金属堆叠厚度(h)204,将上过孔层206增加至1.3μm时,则所计算的退火温度被减小至130℃。(多个)金属堆叠厚度(h)204的进一步增加可以进一步减小所计算的退火温度。
如上所述,改变金属凹陷距离参数104降低了退火温度。在给定的总金属堆叠厚度(h)204为1.6μm的情况下,将Cu凹陷距离104从6nm减小到4nm,可以将所计算的退火温度从标称的180℃减小到121℃。
在一个实现方式中,通过材料的适当设计和组合来优化电介质108的限制,整体有效CTE的分量α* 2(转换为竖直的水平热膨胀)和α* 3(金属间键合表面的表面面积)的贡献将退火温度降低到甚至低于计算值。如上所示,在金属堆叠厚度(h)为1.6um的情况下,仅使用针对α* 1分量的示例参数而计算的最低退火温度为180℃,与直接混合键合中的常规退火温度相比有了很大改进。事实证明,由于α* 2(被转换为竖直的水平热膨胀)和α* 3(金属过孔或待键合的焊盘顶部的表面积)的附加贡献,在150℃下的总铜堆叠厚度(h)204为1.6μm,而仅使用改进的α* 1(竖直热膨胀)所计算的值为180℃地情况下,在较低的退火温度下成功实现了金属间键合。
在一个实现方式中,第一铜导电层206的竖直厚度(h1)214为1.6μm,并且CTEα1在16.7-17.0×10-6/℃的范围内。第二导电层208也是铜,其竖直厚度(h2)216也可以为约0.5-1.0μm,并且CTEα2也在16.7-17.0×10-6/℃的范围内。在这种情况下,最大凹陷距离δ约为6纳米(nm),并且退火温度降低到150-200℃之间。
竖直堆叠204的第二“掩埋金属”导电层208可以至少部分地是所制造的微电子组件的重分布层(RDL)或电迹线的一部分。在一个实现方式中,构成第二导电掩埋金属层208的RDL或电迹线的水平覆盖区的尺寸至少大于第一导电层206的覆盖区的对应水平尺寸。下层第二导电层208与第一导电层206相比具有较大覆盖区,这通过更大体积的金属提供了更多的热膨胀,包括其由于电介质限制基质108提供的水平膨胀的限制而被转换为竖直堆叠204的竖直膨胀的水平热膨胀。在键合界面102下方和第一金属过孔206之下掩埋更深的金属体积的这种增加是显著的,因为凹陷距离104中仅几纳米便会降低导致直接金属间键合的退火所需的温度。
除了纯铜以外,在多个实现方式中,竖直堆叠204的第一导电层206或第二导电层208可以是另一材料,诸如CTE在18-19×10-6/℃范围内的黄铜合金、CTE为21.2×10-6/℃的锰青铜合金,CTE在21-24×10-6/℃范围内的铝金属或铝合金,CTE为30-35×10-6/℃的锌金属或锌合金,铜镍(例如)或其他合适的导体。
图5示出了用于在微电子学中在低退火温度下进行直接键合的其他示例分层结构500和500'。在这些实现方式中,竖直堆叠502还可以包括竖直堆叠204的第三掩埋导电层504,第三掩埋导电层504如结构500中在第二导电层208与底层212之间,或者如结构500’在第一导电层206与第二导电层208之间。尽管该示例在竖直堆叠502中具有三个导电层,但是竖直堆叠502可以包含例如多达十二个不同的层。而且,层可以具有各种宽度和厚度来优化金属膨胀效果。
第三导电层504增加了总堆叠厚度(h)502并且可以通过例如在竖直堆叠502中提供比铜金属更高CTE的导体来增加有效CTEα*,从而提供整个竖直堆叠502的更大竖直热膨胀,这降低了在直接混合键合中形成直接金属间键合所需的退火温度。当水平覆盖区相对较大时,如结构500’中,第三导电层504的几何形状还可以增加竖直膨胀和有效CTEα*。然后,由于约束电介质基质108,在退火温度下第三导电层504的水平热膨胀趋于被转换为竖直堆叠502的附加竖直膨胀。第三导电层504可以是例如CTE在18-19×10-6/℃范围内的黄铜合金、CTE为21.2×10-6/℃的锰青铜合金,CTE在21-24×10-6/℃范围内的铝金属或铝合金,CTE为30-35×10-6/℃的锌金属或锌合金,或者其他合适的导体。
图5中的示例竖直堆叠502可以被限制在至少3个侧面上,例如,迹线的底部和两个侧面被约束,顶表面连接到过孔206。与势垒层或硬化势垒层相邻或限制电介质基质108的所有侧面进一步包含侧向和水平膨胀力,从而在其温度接近退火温度时,将这些力转换为竖直膨胀力,将第一导电过孔206的顶表面210膨胀到键合界面102的水平。导致竖直膨胀增加的该限制降低了在直接混合键合中形成金属间键合所需的退火温度。
在一个示例实现方式中,作为直接键合层的第一导电层206具有顶表面210,顶表面210的尺寸为直径为5μm的圆形过孔。例如,直径也可以是2μm、3μm、5μm、10μm和15μm以及其他尺寸。第一导电层206的竖直厚度(h1)可以为大约1.5μm,并且可以是RDL层的第二导电层208的尺寸例如为20μm×20μm×0.5μm。在该示例中,第一导电层206的金属体积例如为2.5μm×2.5μm×3.14×1.5μm=29μm3。第二导电层208的体积例如为200μm3。第二导电层208的掩埋金属体积约为第一导电层206的掩埋金属体积的7倍。即使第二导电层208的水平热膨胀的一部分变形为第一导电层206的竖直膨胀,该附加膨胀也会显著增加有效CTEα*,并且显著降低用于金属间键合的退火温度。
在另一示例中,例如,第一导电层206的体积为265μm3,并且“掩埋”第二导电层208的体积为例如327μm3。在这种情况下,假设两个层具有相同的CTE,则来自层206和层208的热膨胀的贡献近似相同。
图6示出了用于在微电子学中在低温下进行直接键合的另一示例分层结构600。在该实现方式中,竖直堆叠602还可以包括嵌入式凸块604或由导体或其他材料制成的嵌体606,凸块604或嵌体606具有比铜金属更高的CTE,用于向竖直堆叠602添加附加的热竖直膨胀。嵌入式凸块604或嵌体606可以是诸如CTE为21.2×10-6/℃的锰青铜合金,CTE在21-24×10-6/℃范围内的铝金属或铝合金,CTE为30-35×10-6/℃的锌金属或锌合金的材料,或者其他合适的金属或非金属材料。
只要凸块604或嵌体606不阻挡例如在电迹线和具有顶表面210的过孔206之间形成直接金属间键合的导电路径,嵌入式凸块604或嵌体606也可以是电介质材料,诸如具有非常高CTE的有机材料(非金属)。只要掩埋层504不阻挡导电路径,具有高CTE的有机材料也可以是掩埋层,诸如图5的结构500中的层504。用于掩埋层504或嵌入式凸块604或嵌体606的具有高CTE的有机材料可以是CTE在30-60×10-6/℃范围内的聚酰亚胺、CTE在45-65×10-6/℃范围内的环氧树脂、CTE在63-66×10-6/℃范围内的氯化聚氯乙烯CPVC、CTE在60-80×10-6/℃范围内的酚醛树脂、CTE在50-90×10-6/℃范围内的尼龙、CTE在22-108×10-6/℃范围内的ABS热塑性塑料、CTE在130-139×10-6/℃范围内的聚丁烯、CTE为180×10-6/℃的乙烯乙酸乙烯酯以及CTE为205×10-6/℃的乙烯丙烯酸乙酯。
参考图2、图5和图6,第一导电层206的厚度(h1)214可以在6nm的凹陷距离104下成比例地增加到大于示例标称竖直尺寸1.6μm,以将退火温度进一步降低到用于直接混合键合的退火步骤的标称温度200℃以下。第一导电层206的较大厚度(h1)214提供了竖直堆叠204的较大竖直热膨胀,从而使得能够在较低温度下获得与第一导电层206的较小厚度214在较高温度下提供的相同竖直膨胀量。
图7示出了用于在微电子学中在低温下进行直接键合的附加示例分层结构700和702。在一个实现方式中,多个平行的导电过孔704和706和708在单个第二导电层208之上构成第一导电层206,并且各自均被电介质限制材料108包围。第一导电层206是直接键合层,具有从电介质氧化物表面709凹陷的顶表面210。多个平行导电过孔704和706和708各自被导电地耦合到单个第二导电层208,第二导电层208可以是RDL(再分布层)。第一导电层206中的多个平行导电过孔704、706和708为相同的连接提供了多个键合机会,以通过冗余来提高产量和可靠性。
在另一实现方式中,图7中的示例结构702在单个第一导电层206下方包括第二导电层208,第二导电层208具有被电介质限制填充物108包围的多个平行导电过孔712和714和716。包括多个平行导电过孔712和714和716的第二导电层208可以是管芯或晶片上的RDL(再分布层)。多个平行导电过孔712和714和716各自导电地耦合到单个第一导电层206,单个第一导电层206是具有大面积顶表面210的直接键合金属层。该布置提供了比单个过孔更大的直接键合表面面积
Figure BDA0003040065190000141
来进行直接键合并且还使得与常规用于退火步骤的情况相比,能够在更低的温度下进行直接金属间键合,这是因为顶表面210的表面面积
Figure BDA0003040065190000151
更大,这可以使得与较大顶表面210的边缘相比,较大顶表面210的中心能够向上变形更大。
图8示出了用于创建键合界面结构(诸如图5中的结构500)的一个示例工艺流程800,键合界面结构使得能够以比常规方式更低的退火温度和更短的退火时间来进行直接金属间键合。示例工艺流程的步骤被示出为单独的框和对应的图。
在框802处,电介质材料804被形成或沉积在半导体或其他衬底806上,并且沟槽808在电介质材料804中形成来限制一个或多个金属层。沟槽例如可以是蚀刻沟槽和/或镶嵌腔。
在框810处,阻挡层和/或粘附层以及金属种子层被至少施加在沟槽808中以进行金属沉积。沟槽808然后在一个或多个层中使用一个或多个金属812和814来填充,或者可以使用任何其他多层成分来填充。一个或多个金属的顶表面816使用CMP工艺被平坦化,以去除过量的沉积。
在框818处,另一电介质材料层820被沉积,并且沟槽822被形成或蚀刻来限制接下来添加的键合层金属824(“导电过孔”)。沟槽822被填充有键合层金属824,并且使用CMP平坦化来去除多余的沉积。CMP之后的完成表面826满足用于示例直接混合键合工艺的电介质表面粗糙度和金属凹陷104的规格。
在图9中,图8的工艺继续进行,图8中制造的结构和键合表面的实例以示例直接混合键合工艺进行接合。
在框828处,键合界面结构的两个实例在直接混合键合工艺的第一阶段被键合在一起。第一阶段将无机电介质的氧化物表面830直接结合在一起。表面的接合可以在晶片到晶片(W2W)工艺、管芯到晶片(D2W)工艺或管芯到管芯(D2D)工艺中。
在框832处,在直接混合键合工艺的第二阶段中,键合结构被退火。退火工艺的升高的温度使得掩埋金属812和814以及键合层金属824竖直地膨胀,掩埋金属812和814以及键合层金属824由周围的电介质层804和820限制,以将水平热膨胀转换成竖直膨胀。金属812和814和824的竖直膨胀导致顶表面816的至少一部分在较低的退火温度下将组合凹陷104的桥接。键合层金属824的相应顶表面834彼此接触,并且金属原子跨界面扩散,从而在相应键合层金属824之间形成不可逆的金属间键合。
较低的退火温度是低温键合的一个考虑因素,第二考虑因素是退火持续时间,退火持续时间进而影响退火的热预算。需要较低的热(能量)预算。在示例直接混合键合工艺中,直接铜-铜键合的形成涉及两个组件:1)两个凹陷的表面膨胀,以将间隙桥接并彼此物理接触(热力学考虑);2)铜原子跨界面扩散来形成永久键合(键合动力学考虑)。众所周知,原子沿111晶面的表面扩散比沿100或110晶面的表面扩散快3至4个数量级(请参见下文)。因此,有利的是,在表面834上具有大部分111晶面,以特别是在较低温度下,缩短直接混合键合的退火时间,因为当退火温度被降低时,Cu表面的扩散也会减慢。
表(1)示出了在从150℃到300℃的各种温度下,在(111)、(100)和(110)平面上所计算的Cu表面扩散率(cm2/sec)。(Agrawal,P.M.et al.,“Predicting trends in rateparameters for self-diffusion on FCC metal surfaces.”Surf.Sci.515,21–35(2003))
表(1)
扩散率Surf\温度 (111)面 (100)面 (110)面
300℃ 1.51×10-5 1.48×10-8 1.55×10-9
250℃ 1.22×10-5 4.74×10-9 3.56×10-10
200℃ 9.42×10-6 1.19×10-9 5.98×10-11
150℃ 6.85×10-6 2.15×10-10 6.61×10-12
低温直接铜-铜键合通过在纳米纹理表面1004的纳米孪晶铜的(111)表面上蠕变来实现。铜金属在键合表面834的晶界处的晶粒尺寸也可能影响降低退火温度的退火条件。铜金属晶粒尺寸在较低温度下较小,因此,相对于较高的退火温度,较低的温度在这方面也有利于直接键合的形成。
图10示出了制备或修改键合层金属824的顶表面834以便于在较低的退火温度和较短的退火持续时间下进行直接金属间键合的工艺。较低的退火温度和较短的退火持续时间降低了示例直接混合键合工艺的总体热预算和能量预算。
示例工艺流程的步骤被示出为单独的框和对应的图。示例工艺使用与图8所示工艺相同的初始制造步骤。
在图10中,示例纳米纹理表面1004可以在键合金属层824的顶部上创建。当相应顶部表面834在示例直接混合键合工艺期间彼此接触时,纳米纹理表面1004显著提高了直接金属间键合形成的速率。
键合金属层834本身可以使用选择在(铜的)111晶体取向上镀覆铜金属(Cu)的工艺来形成。铜金属可以例如从超级填充电镀浴中沉积,并且选择电镀化学来优化在示例直接混合键合期间发生的直接金属间键合。经沉积的或涂覆的键合金属层824的微观结构可以例如通过退火步骤而稳定,退火步骤与随后发生的示例直接混合键合的退火步骤分离。
示例纳米纹理表面1004还可以通过对键合金属层824的顶表面834施加纳米纹理化工艺而显式地形成,以形成高折射率平面和/或具有例如111密勒指数的晶格平面的表面。
在一个实现方式中,纳米纹理表面1004可以由几种方式制成。例如,非常稀的酸漂洗液可以被施加到顶表面834,并且表面可以在氧气环境中旋转干燥,并且使用去离子水漂洗。或者,顶表面834可以在低酸硫酸铜溶液中漂洗,并且在氧气环境中干燥,然后使用稀酸漂洗,然后进行去离子漂洗。
这些处理可以在铜金属表面834中创建螺旋位错的六边形网络,以创建示例纳米表面纹理1004,纳米表面纹理1004可以促进并加速铜原子跨键合界面的扩散速度,该原子工艺创建了直接金属间键合。即使在比常规使用的退火温度低的退火温度下,促进和加速直接金属间键合工艺也缩短了直接混合键合工艺的退火步骤的持续时间。示例退火持续时间甚至可以比利用微波加热和键合的常规退火的持续时间更短。
在框1006处,具有纳米纹理表面1004的示例键合结构的实例被接合,例如直接混合键合。纳米纹理表面1004促进铜原子跨键合界面的更快扩散,从而导致比常规直接键合工艺更快且在更低的温度下发生的促进的金属间键合。
示例方法
图11示出了示例方法1100,方法1100用于制造适于在低退火温度和短退火持续时间下形成直接金属间键合的结构。示例方法1100的操作在单独的框中示出。
在框1102处,第一限制基质层被施加到衬底。限制基质可以是二氧化硅或能够跨键合界面形成直接键合(诸如,氧化物到氧化物的键合)的另一无机电介质。
在框1104处,第一沟槽在第一限制基质中形成。例如,第一沟槽可以利用镶嵌工艺来蚀刻和/或形成。
在框1106处,第一沟槽被填充有一个或多个金属。第一沟槽可以被填充有1-12个金属层,其特征在于用于提供相对大的热膨胀的高CTE。填充物也可以是一个或多个金属合金或成分。
在框1108处,第二限制基质被施加到第一限制基质上以及以下的一个或多个金属上。第二限制基质可以是与第一限制基质不同的材料,或者可以是相同的材料,但是被施加为分立的层。
在框1110处,第二沟槽在第二限制基质中形成。例如,第二沟槽可以利用镶嵌工艺来蚀刻和/或形成。
在框1112处,第二沟槽至少部分地填充有与一个或多个金属电连通的导电过孔。导电过孔可以是铜金属,但是也可以是其他导体。在示例直接混合键合工艺期间,导电过孔的金属材料必须能够与相同金属的类似导电过孔直接键合。
在框1114处,至少第二限制基质例如使用CMP而被平面化,以创建用于直接混合键合的键合表面,其中导电过孔的顶表面从经平面化的键合表面凹陷4-6纳米(nm)。
在制造适于在低退火温度下形成直接金属间键合的上述结构之后,进一步的工艺包括将键合表面的第一实例与键合表面的第二实例接合,以在第一键合表面和第二键合表面的相应第二限制基质之间创建直接接触键合。换言之,在示例直接混合键合工艺的第一阶段中,键合结构的两个实例的平坦抛光表面被接合在一起,并且表面的电介质部分在接触时自发键合在一起。
在示例直接混合键合工艺的第二阶段中,在等于或小于150℃的温度下对经接合的键合表面进行退火,将第一沟槽中的相应金属和第二沟槽中的相应导电过孔热膨胀,以在该低温下,在相应导电过孔之间创建直接金属间键合。金属堆叠的竖直膨胀导致每个导电过孔的顶表面将在室温下存在于顶表面和键合表面之间的预先设计的凹陷距离的间隙桥接。
第一沟槽可以被形成为具有比第二沟槽的横截面积大的横截面积,以将更多的金属掩埋在将经历直接金属间键合的导电过孔下方。在等于或小于150℃的退火温度下,大量的掩埋金属在导电过孔下创建增加的竖直热膨胀。第一限制基质还将一个或多个掩埋金属的水平膨胀转换为竖直膨胀,以在较低温度下将导电过孔的顶部膨胀到键合平面。
在一个实现方式中,方法可以包括使用一个或多个金属来填充第一沟槽,一个或多个金属的总体竖直厚度(或高度)在大约0.5-1.5μm之间。示例方法还包括以适于形成具有直径为5μm或更大的圆形横截面的导电过孔的尺寸来创建第二沟槽。直径可以更大,例如15μm。示例方法然后包括使用导电过孔来填充第二沟槽,导电过孔的竖直厚度(或高度)可以在大约0.6-2.0μm之间。
在一个实现方式中,纳米结构表面在导电过孔的顶表面上创建,以降低退火温度并缩短退火持续时间。在一个实现方式中,纳米纹理表面是铜金属中的螺旋位错六边形网络。
在前面的描述和附图中,已阐明了特定的术语和附图标记来提供对所公开的实施例的透彻理解。在一些情况下,术语和附图标记可以暗示实践那些实施例不需要的特定细节。例如,任何特定尺寸、数量、材料类型、制造步骤等可以与以上在备选实施例中描述的那些不同。本文使用术语“耦合”来表示直接连接以及通过一个或多个中间电路或结构的连接。术语“示例”、“实施例”和“实现方式”被用于表示示例,而不是偏好或要求。同样,术语“可以(can/may)”可互换使用,以表示可选的(允许的)主题。任一个术语的缺失都不应被解释为意味着需要给定的特征或技术。
在不脱离本公开的更广泛的精神和范围的情况下,可以对本文提出的实施例进行各种修改和改变。例如,任何实施例的特征或方面可以与任何其他实施例组合使用,或者代替其对应特征或方面。因此,说明书和附图应被认为是例示性的而不是限制性的。
尽管已相对于有限数量的实施例公开了本公开,但是受益于本公开的本领域技术人员将理解,在给出描述的情况下可能存在多种修改和变化。意图是所附权利要求覆盖落入本公开的真实精神和范围内的这样的修改和变化。

Claims (40)

1.一种结构,包括:
第一衬底,具有被配置为用于直接混合键合到第二衬底的键合表面;
电介质材料;
导电过孔,用作进行直接混合键合的键合焊盘,所述导电过孔至少部分地由所述电介质材料限制;以及
掩埋金属,被直接且导电地连接至所述导电过孔,所述掩埋金属具有比所述导电过孔的宽度更大的宽度并且具有在0.5至1.5μm之间的竖直厚度,
其中所述导电过孔的至少一个表面包括铜金属,所述铜金属具有提供了大部分111密勒指数定向的晶格面,用于使铜原子跨键合界面扩散以进行直接金属间键合。
2.根据权利要求1所述的结构,其中所述导电过孔具有在0.6至2.0μm之间的竖直厚度。
3.根据权利要求1所述的结构,还包括设置在所述掩埋金属下方的嵌体;所述嵌体具有大于所述掩埋金属的热膨胀系数CTE的CTE。
4.根据权利要求1所述的结构,还包括设置在所述掩埋金属下方的凸块;所述凸块具有大于所述掩埋金属的热膨胀系数CTE的CTE。
5.根据权利要求1所述的结构,还包括设置在所述掩埋金属下方的有机材料层;所述有机材料层具有大于所述掩埋金属的热膨胀系数CTE的CTE。
6.根据权利要求1所述的结构,其中所述导电过孔的至少一个顶表面包括纳米纹理表面,用于在150℃或更低的退火温度下形成直接金属间键合。
7.根据权利要求6所述的结构,其中所述纳米纹理表面包括铜金属中的螺旋位错的六边形网络。
8.根据权利要求1所述的结构,其中所述导电过孔的宽度为2μm或更大。
9.根据权利要求1所述的结构,其中所述导电过孔的所述表面包括纳米孪晶铜。
10.一种结构,包括:
第一衬底,具有用于键合到第二衬底的键合表面;
电介质材料;
导电过孔,用作进行直接混合键合的键合焊盘,所述导电过孔至少部分地由所述电介质材料限制,其中所述导电过孔具有直径为5μm或更大的圆形水平横截面;以及
掩埋金属,被直接且导电地连接至所述导电过孔,所述掩埋金属具有比所述导电过孔的宽度更大的宽度并且具有在0.5至1.5μm之间的竖直厚度。
11.根据权利要求10所述的结构,其中所述掩埋金属是迹线的至少一部分,所述迹线被配置为将所述导电过孔导电地连接到所述第一衬底上或所述第一衬底内的电气器件。
12.根据权利要求11所述的结构,还包括第二电介质材料,所述第二电介质材料围绕所述掩埋金属的至少一部分,其中所述第二电介质材料竖直地引导所述掩埋金属的热膨胀。
13.根据权利要求12所述的结构,其中所述掩埋金属还包括掩埋金属层的堆叠;
所述堆叠中的每个掩埋金属层至少部分地被所述第二电介质材料包围;以及
所述堆叠中的每个掩埋金属层被导电性连接至所述导电过孔。
14.根据权利要求13所述的结构,其中所述导电过孔的热膨胀系数CTE与所述堆叠中的所述掩埋金属层中的至少一个掩埋金属层的CTE不同。
15.根据权利要求12所述的结构,其中所述第二电介质材料将所述掩埋金属层中的至少一个掩埋金属层的水平热膨胀转换为所述导电过孔的竖直热膨胀。
16.一种结构,包括:
第一衬底,具有被配置用于直接混合键合的第一键合表面,所述第一键合表面具有第一电介质材料和第一键合焊盘;以及
第二衬底,具有第二键合表面,所述第二键合表面具有第二电介质材料和第二键合焊盘,所述第二电介质材料接触并直接键合到所述第一电介质材料,所述第二键合焊盘在没有粘合剂的情况下接触并直接键合到所述第一键合焊盘,其中所述第二衬底包括:
导电过孔,用作所述第二键合焊盘并且被设置在所述电介质材料内,所述导电过孔的上表面是用于直接混合键合的所述键合表面的一部分,所述导电过孔具有在0.6至2.0μm之间的竖直厚度;以及
掩埋金属,被直接且导电地连接到所述导电过孔并且具有比所述导电过孔的水平覆盖区更大的水平覆盖区,所述掩埋金属的至少一部分被配置为竖直地引导所述导电过孔的热膨胀。
17.根据权利要求16所述的结构,其中所述导电过孔的至少一个顶表面包括铜金属,所述铜金属具有提供了大部分111密勒指数定向的晶格面,用于使铜原子跨键合界面扩散以进行直接金属间键合。
18.根据权利要求16所述的结构,其中所述导电过孔从所述电介质材料的上表面凹陷,以形成所述键合表面。
19.一种方法,包括:
将第一电介质结构施加到第一衬底;
在所述第一电介质结构中形成第一沟槽或第一过孔;
利用一个或多个金属来填充所述第一沟槽或所述第一过孔,所述一个或多个金属具有在所述衬底之上的0.5至1.5μm的厚度;
将第二电介质结构施加到所述第一电介质结构上以及所述一个或多个金属上;
在所述第二电介质结构中形成第二沟槽或第二过孔,所述第二沟槽或所述第二过孔具有比所述第一沟槽或所述第一过孔更小的横截面;
利用与所述一个或多个金属直接接触的导电材料,来至少部分地填充所述第二沟槽或所述第二过孔以形成第一导电过孔,所述第一导电过孔具有在所述第一沟槽之上、0.6至2.0μm的厚度;以及
至少将所述第二电介质结构平坦化并且使所述第一导电过孔露出,以创建用于直接混合键合到第二衬底的键合表面。
20.根据权利要求19所述的方法,还包括将所述键合表面与所述第二衬底接合,以在所述第二电介质结构与所述第二衬底之间创建直接接触键合,所述第二衬底具有第二导电过孔。
21.根据权利要求20所述的方法,还包括在等于或小于150℃的温度下,对接合后的所述第一衬底和所述第二衬底之间的已键合界面进行退火,使所述第一沟槽或所述第一过孔中的所述一个或多个金属以及所述第一衬底和所述第二衬底上的所述第一导电过孔和所述第二导电过孔热膨胀,以创建在所述第一导电过孔和所述第二导电过孔之间的直接金属间键合。
22.根据权利要求19所述的方法,其中至少部分地填充所述第二沟槽或所述第二过孔包括:形成具有直径为5μm或更大的圆形横截面的所述第一导电过孔。
23.根据权利要求19所述的方法,进一步包括在所述第一导电过孔的所述键合表面上创建纳米结构表面。
24.根据权利要求20所述的方法,其中所述第一导电过孔和所述第二导电过孔被中心键合并且在外周上间隔开。
25.一种装置,包括:
第一元件,具有第一电介质材料和第一键合焊盘;以及
第二元件,包括:
半导体管芯;
第二电介质材料,在所述半导体管芯之上,所述第二电介质材料具有接触并直接键合到所述第一电介质材料的键合表面;
导电过孔,在所述电介质材料内,所述导电过孔用作第二键合焊盘并且形成了用于直接混合键合的所述键合表面的一部分,所述导电过孔具有0.6至2.0μm的厚度,在没有粘合剂的情况下,所述第二键合焊盘接触并直接键合到所述第一键合焊盘;以及
掩埋金属,在所述半导体管芯和所述导电过孔之间,所述掩埋金属被导电且直接地连接到所述导电过孔,并且具有比所述导电过孔的水平覆盖区更大的水平覆盖区,所述掩埋金属的至少一部分被配置为竖直地引导所述导电过孔的热膨胀。
26.根据权利要求25所述的装置,其中所述第一元件和所述第二元件中的每个元件进一步包括在所述掩埋金属与半导体管芯之间的嵌体。
27.根据权利要求25所述的装置,其中所述第一元件和所述第二元件中的每个元件进一步包括在所述掩埋金属与半导体管芯之间的嵌入式的凸块。
28.根据权利要求25所述的装置,其中所述第一元件和所述第二元件中的每个元件进一步包括在所述掩埋金属与半导体管芯之间的有机层。
29.一种结构,包括:
第一衬底,具有被配置为用于直接混合键合到第二衬底的键合表面;
电介质材料,形成用于直接混合键合的所述键合表面的第一部分;
键合焊盘,形成用于直接混合键合的所述键合表面的第二部分,所述键合焊盘至少部分地由所述电介质材料限制;以及
掩埋金属,被直接且导电地连接到所述键合焊盘,
其中所述键合焊盘的所述键合表面的至少所述第二部分包括铜金属,所述铜金属具有提供了大部分111密勒指数定向的晶格面,用于使铜原子跨键合界面扩散以进行直接金属间键合。
30.根据权利要求29所述的结构,其中所述掩埋金属具有比所述键合焊盘的宽度更大的宽度。
31.根据权利要求29所述的结构,其中所述掩埋金属具有在0.5至1.5μm之间的竖直厚度。
32.根据权利要求29所述的结构,其中所述键合焊盘具有在0.6至2.0μm之间的竖直厚度。
33.根据权利要求29所述的结构,所述键合焊盘的宽度为2μm或更大。
34.根据权利要求29所述的结构,所述键合焊盘的宽度为5μm或更大。
35.根据权利要求29所述的结构,其中所述键合焊盘的至少所述键合表面包括纳米纹理表面,用于在150℃或更低的退火温度下形成直接金属间键合。
36.根据权利要求35所述的结构,其中所述纳米纹理表面包括铜金属中的螺旋位错的六边形网络。
37.根据权利要求29所述的结构,所述掩埋金属是迹线的至少一部分,所述迹线被配置为将所述键合焊盘导电地连接到所述第一衬底上或所述第一衬底内的电气器件。
38.根据权利要求29所述的结构,进一步包括围绕所述掩埋金属的至少一部分的第二电介质材料,其中所述第二电介质材料竖直地引导所述掩埋金属的热膨胀。
39.根据权利要求29所述的结构,进一步包括所述第二衬底,其中所述电介质材料接触并被直接键合到所述第二衬底的相对应的电介质材料,并且其中所述键合焊盘在没有粘合剂的情况下接触并被直接键合到所述第二衬底的相对应的键合焊盘。
40.根据权利要求29所述的结构,其中所述键合焊盘的所述键合表面的所述第二部分包括纳米孪晶铜。
CN201980070918.4A 2018-08-31 2019-08-21 微电子学中在低温下进行直接金属间键合的层结构 Active CN112956011B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202210796791.8A CN115332207A (zh) 2018-08-31 2019-08-21 微电子学中在低温下进行直接金属间键合的层结构

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201862725801P 2018-08-31 2018-08-31
US62/725,801 2018-08-31
US16/218,769 US11011494B2 (en) 2018-08-31 2018-12-13 Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US16/218,769 2018-12-13
PCT/US2019/047513 WO2020046677A1 (en) 2018-08-31 2019-08-21 Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202210796791.8A Division CN115332207A (zh) 2018-08-31 2019-08-21 微电子学中在低温下进行直接金属间键合的层结构

Publications (2)

Publication Number Publication Date
CN112956011A CN112956011A (zh) 2021-06-11
CN112956011B true CN112956011B (zh) 2022-07-26

Family

ID=69640691

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202210796791.8A Pending CN115332207A (zh) 2018-08-31 2019-08-21 微电子学中在低温下进行直接金属间键合的层结构
CN201980070918.4A Active CN112956011B (zh) 2018-08-31 2019-08-21 微电子学中在低温下进行直接金属间键合的层结构

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN202210796791.8A Pending CN115332207A (zh) 2018-08-31 2019-08-21 微电子学中在低温下进行直接金属间键合的层结构

Country Status (4)

Country Link
US (3) US11011494B2 (zh)
EP (1) EP3821461A4 (zh)
CN (2) CN115332207A (zh)
WO (1) WO2020046677A1 (zh)

Families Citing this family (102)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US11069734B2 (en) 2014-12-11 2021-07-20 Invensas Corporation Image sensor device
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
US11176450B2 (en) 2017-08-03 2021-11-16 Xcelsis Corporation Three dimensional circuit implementing machine trained network
TWI822659B (zh) 2016-10-27 2023-11-21 美商艾德亞半導體科技有限責任公司 用於低溫接合的結構和方法
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
US20180182665A1 (en) 2016-12-28 2018-06-28 Invensas Bonding Technologies, Inc. Processed Substrate
KR20230156179A (ko) 2016-12-29 2023-11-13 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 집적된 수동 컴포넌트를 구비한 접합된 구조체
JP7030825B2 (ja) 2017-02-09 2022-03-07 インヴェンサス ボンディング テクノロジーズ インコーポレイテッド 接合構造物
WO2018169968A1 (en) 2017-03-16 2018-09-20 Invensas Corporation Direct-bonded led arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
US10784191B2 (en) 2017-03-31 2020-09-22 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10217720B2 (en) 2017-06-15 2019-02-26 Invensas Corporation Multi-chip modules formed using wafer-level processing of a reconstitute wafer
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
US10923413B2 (en) 2018-05-30 2021-02-16 Xcelsis Corporation Hard IP blocks with physically bidirectional passageways
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
KR20210009426A (ko) 2018-06-13 2021-01-26 인벤사스 본딩 테크놀로지스 인코포레이티드 패드로서의 tsv
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
WO2020010056A1 (en) 2018-07-03 2020-01-09 Invensas Bonding Technologies, Inc. Techniques for joining dissimilar materials in microelectronics
US11462419B2 (en) 2018-07-06 2022-10-04 Invensas Bonding Technologies, Inc. Microelectronic assemblies
WO2020010136A1 (en) 2018-07-06 2020-01-09 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US20200075533A1 (en) 2018-08-29 2020-03-05 Invensas Bonding Technologies, Inc. Bond enhancement in microelectronics by trapping contaminants and arresting cracks during direct-bonding processes
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
US11158552B2 (en) 2018-12-26 2021-10-26 AP Memory Technology Corp. Semiconductor device and method to manufacture the same
US10811402B2 (en) 2018-12-26 2020-10-20 AP Memory Technology Corp. Memory device and microelectronic package having the same
US11417628B2 (en) 2018-12-26 2022-08-16 Ap Memory Technology Corporation Method for manufacturing semiconductor structure
US11380614B2 (en) 2018-12-26 2022-07-05 AP Memory Technology Corp. Circuit assembly
US11672111B2 (en) 2018-12-26 2023-06-06 Ap Memory Technology Corporation Semiconductor structure and method for manufacturing a plurality thereof
CN113330557A (zh) 2019-01-14 2021-08-31 伊文萨思粘合技术公司 键合结构
JP7425069B2 (ja) * 2019-01-30 2024-01-30 サンライズ メモリー コーポレイション 基板接合を用いた高帯域幅・大容量メモリ組み込み型電子デバイス
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US11315871B2 (en) * 2019-06-13 2022-04-26 Nanya Technology Corporation Integrated circuit device with bonding structure and method of forming the same
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
JP7421292B2 (ja) * 2019-09-11 2024-01-24 キオクシア株式会社 半導体装置の製造方法
US12080672B2 (en) 2019-09-26 2024-09-03 Adeia Semiconductor Bonding Technologies Inc. Direct gang bonding methods including directly bonding first element to second element to form bonded structure without adhesive
US11456328B2 (en) * 2019-10-09 2022-09-27 Omnivision Technologies, Inc. Stack chip air gap heat insulator
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
US11842894B2 (en) 2019-12-23 2023-12-12 Adeia Semiconductor Bonding Technologies Inc. Electrical redundancy for bonded structures
WO2021159028A1 (en) 2020-02-07 2021-08-12 Sunrise Memory Corporation High capacity memory circuit with low effective latency
KR20230003471A (ko) 2020-03-19 2023-01-06 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 직접 결합된 구조체들을 위한 치수 보상 제어
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
TWI780666B (zh) * 2020-05-07 2022-10-11 愛普科技股份有限公司 半導體結構及製造複數個半導體結構之方法
US11735523B2 (en) 2020-05-19 2023-08-22 Adeia Semiconductor Bonding Technologies Inc. Laterally unconfined structure
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
KR20220021798A (ko) * 2020-08-14 2022-02-22 삼성전자주식회사 반도체 패키지 및 반도체 패키지의 제조 방법
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
US11515234B2 (en) * 2020-12-03 2022-11-29 Advanced Semiconductor Engineering, Inc. Semiconductor device package including promoters and method of manufacturing the same
CN114628344A (zh) * 2020-12-10 2022-06-14 武汉新芯集成电路制造有限公司 半导体器件及其制作方法
WO2022127776A1 (en) * 2020-12-16 2022-06-23 The University Of Hong Kong Cu-cu direct welding for packaging application in semiconductor industry
EP4272249A1 (en) * 2020-12-30 2023-11-08 Adeia Semiconductor Bonding Technologies Inc. Structure with conductive feature and method of forming same
CN114975143A (zh) 2021-02-22 2022-08-30 联华电子股份有限公司 半导体结构及其制作方法
EP4302325A1 (en) * 2021-03-03 2024-01-10 Adeia Semiconductor Bonding Technologies Inc. Contact structures for direct bonding
EP4302324A1 (en) 2021-03-06 2024-01-10 Atotech Deutschland GmbH & Co. KG Method for copper-to-copper direct bonding and assembly
CN113035729B (zh) * 2021-03-10 2023-04-07 联合微电子中心有限责任公司 混合键合方法及键合用衬底
TWI789864B (zh) * 2021-08-09 2023-01-11 國立陽明交通大學 電性連接結構及其製備方法
US20230048311A1 (en) * 2021-08-12 2023-02-16 Micron Technology, Inc. Bond pads for semiconductor die assemblies and associated methods and systems
CN114080146B (zh) * 2021-11-02 2023-12-05 中国电子科技集团公司第三十八研究所 一种低温无压的传感器金属外壳密封方法
KR20240118874A (ko) * 2021-12-17 2024-08-05 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 직접 접합을 위한 전도성 특징부를 갖는 구조체 및 그 형성 방법
CN114220783B (zh) * 2021-12-21 2024-09-17 中国科学院深圳先进技术研究院 一种混合键合结构及其制备方法
KR20240128708A (ko) * 2021-12-23 2024-08-26 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 결합을 위한 제어된 결정립 성장 및 결정립 성장이 제어된 결합 구조체
WO2023211789A1 (en) * 2022-04-25 2023-11-02 Adeia Semiconductor Bonding Technologies Inc. Expansion controlled structure for direct bonding and method of forming same
EP4276899A1 (en) * 2022-05-13 2023-11-15 AT & S Austria Technologie & Systemtechnik Aktiengesellschaft Package with ic substrate and electronic component connected with direct physical contact
WO2024054799A1 (en) * 2022-09-07 2024-03-14 Adeia Semiconductor Bonding Technologies Inc. Rapid thermal processing for direct bonding
US20240217210A1 (en) * 2022-12-29 2024-07-04 Adeia Semiconductor Bonding Technologies Inc. Directly bonded metal structures having aluminum features and methods of preparing same

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105140144A (zh) * 2015-09-02 2015-12-09 武汉新芯集成电路制造有限公司 一种介质加压热退火混合键合方法
CN107039380A (zh) * 2015-12-28 2017-08-11 台湾积体电路制造股份有限公司 接合结构及其形成方法
CN108140559A (zh) * 2015-08-25 2018-06-08 英帆萨斯邦德科技有限公司 传导阻障直接混合型接合

Family Cites Families (297)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6130059A (ja) 1984-07-20 1986-02-12 Nec Corp 半導体装置の製造方法
KR900008647B1 (ko) 1986-03-20 1990-11-26 후지쓰 가부시끼가이샤 3차원 집적회로와 그의 제조방법
JPH07112041B2 (ja) 1986-12-03 1995-11-29 シャープ株式会社 半導体装置の製造方法
US4904328A (en) 1987-09-08 1990-02-27 Gencorp Inc. Bonding of FRP parts
US4784970A (en) 1987-11-18 1988-11-15 Grumman Aerospace Corporation Process for making a double wafer moated signal processor
JPH0272642A (ja) 1988-09-07 1990-03-12 Nec Corp 基板の接続構造および接続方法
JPH0344067A (ja) 1989-07-11 1991-02-25 Nec Corp 半導体基板の積層方法
US5489804A (en) 1989-08-28 1996-02-06 Lsi Logic Corporation Flexible preformed planar structures for interposing between a chip and a substrate
JP3190057B2 (ja) 1990-07-02 2001-07-16 株式会社東芝 複合集積回路装置
JP2729413B2 (ja) 1991-02-14 1998-03-18 三菱電機株式会社 半導体装置
JP2910334B2 (ja) 1991-07-22 1999-06-23 富士電機株式会社 接合方法
JPH05198739A (ja) 1991-09-10 1993-08-06 Mitsubishi Electric Corp 積層型半導体装置およびその製造方法
CA2083072C (en) 1991-11-21 1998-02-03 Shinichi Hasegawa Method for manufacturing polyimide multilayer wiring substrate
US6008126A (en) 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
US5236118A (en) 1992-05-12 1993-08-17 The Regents Of The University Of California Aligned wafer bonding
JPH0682753B2 (ja) 1992-09-28 1994-10-19 株式会社東芝 半導体装置の製造方法
US5503704A (en) 1993-01-06 1996-04-02 The Regents Of The University Of California Nitrogen based low temperature direct bonding
EP0610709B1 (de) 1993-02-11 1998-06-10 Siemens Aktiengesellschaft Verfahren zur Herstellung einer dreidimensionalen Schaltungsanordnung
US5516727A (en) 1993-04-19 1996-05-14 International Business Machines Corporation Method for encapsulating light emitting diodes
JPH0766093A (ja) 1993-08-23 1995-03-10 Sumitomo Sitix Corp 半導体ウエーハの貼り合わせ方法およびその装置
JP2560625B2 (ja) * 1993-10-29 1996-12-04 日本電気株式会社 半導体装置およびその製造方法
DE69429848T2 (de) 1993-11-01 2002-09-26 Matsushita Electric Industrial Co., Ltd. Elektronische Anordnung und Verfahren zur Herstellung
US5501003A (en) 1993-12-15 1996-03-26 Bel Fuse Inc. Method of assembling electronic packages for surface mount applications
US5442235A (en) 1993-12-23 1995-08-15 Motorola Inc. Semiconductor device having an improved metal interconnect structure
US5413952A (en) 1994-02-02 1995-05-09 Motorola, Inc. Direct wafer bonded structure method of making
JP3294934B2 (ja) 1994-03-11 2002-06-24 キヤノン株式会社 半導体基板の作製方法及び半導体基板
JPH07283382A (ja) 1994-04-12 1995-10-27 Sony Corp シリコン基板のはり合わせ方法
JPH08125121A (ja) 1994-08-29 1996-05-17 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
KR960009074A (ko) 1994-08-29 1996-03-22 모리시다 요이치 반도체 장치 및 그 제조방법
JP3171366B2 (ja) 1994-09-05 2001-05-28 三菱マテリアル株式会社 シリコン半導体ウェーハ及びその製造方法
DE4433330C2 (de) 1994-09-19 1997-01-30 Fraunhofer Ges Forschung Verfahren zur Herstellung von Halbleiterstrukturen mit vorteilhaften Hochfrequenzeigenschaften sowie eine Halbleiterwaferstruktur
DE4433845A1 (de) 1994-09-22 1996-03-28 Fraunhofer Ges Forschung Verfahren zur Herstellung einer dreidimensionalen integrierten Schaltung
JPH08186235A (ja) 1994-12-16 1996-07-16 Texas Instr Inc <Ti> 半導体装置の製造方法
JP2679681B2 (ja) 1995-04-28 1997-11-19 日本電気株式会社 半導体装置、半導体装置用パッケージ及びその製造方法
US5610431A (en) 1995-05-12 1997-03-11 The Charles Stark Draper Laboratory, Inc. Covers for micromechanical sensors and other semiconductor devices
US5872051A (en) 1995-08-02 1999-02-16 International Business Machines Corporation Process for transferring material to semiconductor chip conductive pads using a transfer substrate
JP3490198B2 (ja) 1995-10-25 2004-01-26 松下電器産業株式会社 半導体装置とその製造方法
JP3979687B2 (ja) 1995-10-26 2007-09-19 アプライド マテリアルズ インコーポレイテッド ハロゲンをドープした酸化珪素膜の膜安定性を改良する方法
KR100438256B1 (ko) 1995-12-18 2004-08-25 마츠시타 덴끼 산교 가부시키가이샤 반도체장치 및 그 제조방법
ATE204251T1 (de) 1996-05-14 2001-09-15 Degussa Verfahren zur herstellung von trimethylhydrochinon
US5956605A (en) 1996-09-20 1999-09-21 Micron Technology, Inc. Use of nitrides for flip-chip encapsulation
JP3383811B2 (ja) 1996-10-28 2003-03-10 松下電器産業株式会社 半導体チップモジュール及びその製造方法
US5888631A (en) 1996-11-08 1999-03-30 W. L. Gore & Associates, Inc. Method for minimizing warp in the production of electronic assemblies
US6054363A (en) 1996-11-15 2000-04-25 Canon Kabushiki Kaisha Method of manufacturing semiconductor article
US5821692A (en) 1996-11-26 1998-10-13 Motorola, Inc. Organic electroluminescent device hermetic encapsulation package
EP0951064A4 (en) 1996-12-24 2005-02-23 Nitto Denko Corp PREPARATION OF A SEMICONDUCTOR DEVICE
US6221753B1 (en) 1997-01-24 2001-04-24 Micron Technology, Inc. Flip chip technique for chip assembly
JPH10223636A (ja) 1997-02-12 1998-08-21 Nec Yamagata Ltd 半導体集積回路装置の製造方法
JP4026882B2 (ja) 1997-02-24 2007-12-26 三洋電機株式会社 半導体装置
US5929512A (en) 1997-03-18 1999-07-27 Jacobs; Richard L. Urethane encapsulated integrated circuits and compositions therefor
US5915167A (en) 1997-04-04 1999-06-22 Elm Technology Corporation Three dimensional structure memory
US6322600B1 (en) 1997-04-23 2001-11-27 Advanced Technology Materials, Inc. Planarization compositions and methods for removing interlayer dielectric films
JP4032454B2 (ja) 1997-06-27 2008-01-16 ソニー株式会社 三次元回路素子の製造方法
US6097096A (en) 1997-07-11 2000-08-01 Advanced Micro Devices Metal attachment method and structure for attaching substrates at low temperatures
JPH11186120A (ja) 1997-12-24 1999-07-09 Canon Inc 同種あるいは異種材料基板間の密着接合法
US6137063A (en) 1998-02-27 2000-10-24 Micron Technology, Inc. Electrical interconnections
EP0951068A1 (en) 1998-04-17 1999-10-20 Interuniversitair Micro-Elektronica Centrum Vzw Method of fabrication of a microstructure having an inside cavity
US6147000A (en) 1998-08-11 2000-11-14 Advanced Micro Devices, Inc. Method for forming low dielectric passivation of copper interconnects
US6316786B1 (en) 1998-08-29 2001-11-13 International Business Machines Corporation Organic opto-electronic devices
JP2000100679A (ja) 1998-09-22 2000-04-07 Canon Inc 薄片化による基板間微小領域固相接合法及び素子構造
SG99289A1 (en) 1998-10-23 2003-10-27 Ibm Chemical-mechanical planarization of metallurgy
US6515343B1 (en) 1998-11-19 2003-02-04 Quicklogic Corporation Metal-to-metal antifuse with non-conductive diffusion barrier
US6409904B1 (en) 1998-12-01 2002-06-25 Nutool, Inc. Method and apparatus for depositing and controlling the texture of a thin film
US6123825A (en) 1998-12-02 2000-09-26 International Business Machines Corporation Electromigration-resistant copper microstructure and process of making
US6232150B1 (en) 1998-12-03 2001-05-15 The Regents Of The University Of Michigan Process for making microstructures and microstructures made thereby
JP3918350B2 (ja) 1999-03-05 2007-05-23 セイコーエプソン株式会社 半導体装置の製造方法
US6348709B1 (en) 1999-03-15 2002-02-19 Micron Technology, Inc. Electrical contact for high dielectric constant capacitors and method for fabricating the same
JP3532788B2 (ja) 1999-04-13 2004-05-31 唯知 須賀 半導体装置及びその製造方法
US6259160B1 (en) 1999-04-21 2001-07-10 Advanced Micro Devices, Inc. Apparatus and method of encapsulated copper (Cu) Interconnect formation
JP2000311982A (ja) 1999-04-26 2000-11-07 Toshiba Corp 半導体装置と半導体モジュールおよびそれらの製造方法
US6258625B1 (en) 1999-05-18 2001-07-10 International Business Machines Corporation Method of interconnecting electronic components using a plurality of conductive studs
US6218203B1 (en) 1999-06-28 2001-04-17 Advantest Corp. Method of producing a contact structure
KR100333384B1 (ko) 1999-06-28 2002-04-18 박종섭 칩 사이즈 스택 패키지 및 그의 제조방법
JP3619395B2 (ja) 1999-07-30 2005-02-09 京セラ株式会社 半導体素子内蔵配線基板およびその製造方法
US6756253B1 (en) 1999-08-27 2004-06-29 Micron Technology, Inc. Method for fabricating a semiconductor component with external contact polymer support layer
US6583515B1 (en) 1999-09-03 2003-06-24 Texas Instruments Incorporated Ball grid array package for enhanced stress tolerance
US6593645B2 (en) 1999-09-24 2003-07-15 United Microelectronics Corp. Three-dimensional system-on-chip structure
JP2001102479A (ja) 1999-09-27 2001-04-13 Toshiba Corp 半導体集積回路装置およびその製造方法
US6500694B1 (en) 2000-03-22 2002-12-31 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6984571B1 (en) 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6333120B1 (en) 1999-10-27 2001-12-25 International Business Machines Corporation Method for controlling the texture and microstructure of plated copper and plated structure
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
AU2001247109A1 (en) 2000-04-27 2001-11-12 Nutool, Inc. Conductive structure for use in multi-level metallization and process
JP4123682B2 (ja) 2000-05-16 2008-07-23 セイコーエプソン株式会社 半導体装置及びその製造方法
US6326698B1 (en) 2000-06-08 2001-12-04 Micron Technology, Inc. Semiconductor devices having protective layers thereon through which contact pads are exposed and stereolithographic methods of fabricating such semiconductor devices
JP4322402B2 (ja) 2000-06-22 2009-09-02 大日本印刷株式会社 プリント配線基板及びその製造方法
JP3440057B2 (ja) 2000-07-05 2003-08-25 唯知 須賀 半導体装置およびその製造方法
WO2002009478A1 (fr) 2000-07-24 2002-01-31 Tdk Corporation Dispositif luminescent
US6423640B1 (en) 2000-08-09 2002-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Headless CMP process for oxide planarization
US6483044B1 (en) 2000-08-23 2002-11-19 Micron Technology, Inc. Interconnecting substrates for electrical coupling of microelectronic components
US6583460B1 (en) 2000-08-29 2003-06-24 Micron Technology, Inc. Method of forming a metal to polysilicon contact in oxygen environment
JP2002110799A (ja) 2000-09-27 2002-04-12 Toshiba Corp 半導体装置及びその製造方法
US6600224B1 (en) 2000-10-31 2003-07-29 International Business Machines Corporation Thin film attachment to laminate using a dendritic interconnection
US6552436B2 (en) 2000-12-08 2003-04-22 Motorola, Inc. Semiconductor device having a ball grid array and method therefor
WO2002063069A2 (en) * 2001-01-12 2002-08-15 University Of Rochester Methods and systems for electro-or electroless-plating of metal in high-aspect ratio features
JP2002353416A (ja) 2001-05-25 2002-12-06 Sony Corp 半導体記憶装置およびその製造方法
JP3705159B2 (ja) 2001-06-11 2005-10-12 株式会社デンソー 半導体装置の製造方法
DE10131627B4 (de) 2001-06-29 2006-08-10 Infineon Technologies Ag Verfahren zum Herstellen einer Halbleiterspeichereinrichtung
JP2003023071A (ja) 2001-07-05 2003-01-24 Sony Corp 半導体装置製造方法および半導体装置
US6847527B2 (en) 2001-08-24 2005-01-25 3M Innovative Properties Company Interconnect module with reduced power distribution impedance
US6555917B1 (en) 2001-10-09 2003-04-29 Amkor Technology, Inc. Semiconductor package having stacked semiconductor chips and method of making the same
US6667225B2 (en) 2001-12-17 2003-12-23 Intel Corporation Wafer-bonding using solder and method of making the same
US20030113947A1 (en) 2001-12-19 2003-06-19 Vandentop Gilroy J. Electrical/optical integration scheme using direct copper bonding
US6660564B2 (en) 2002-01-25 2003-12-09 Sony Corporation Wafer-level through-wafer packaging process for MEMS and MEMS package produced thereby
US6624003B1 (en) 2002-02-06 2003-09-23 Teravicta Technologies, Inc. Integrated MEMS device and package
US6887769B2 (en) 2002-02-06 2005-05-03 Intel Corporation Dielectric recess for wafer-to-wafer and die-to-die metal bonding and method of fabricating the same
US6762076B2 (en) 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US6720212B2 (en) 2002-03-14 2004-04-13 Infineon Technologies Ag Method of eliminating back-end rerouting in ball grid array packaging
US6627814B1 (en) 2002-03-22 2003-09-30 David H. Stark Hermetically sealed micro-device package with window
US6642081B1 (en) 2002-04-11 2003-11-04 Robert Patti Interlocking conductor method for bonding wafers to produce stacked integrated circuits
US7105980B2 (en) 2002-07-03 2006-09-12 Sawtek, Inc. Saw filter device and method employing normal temperature bonding for producing desirable filter production and performance characteristics
JP4083502B2 (ja) 2002-08-19 2008-04-30 株式会社フジミインコーポレーテッド 研磨方法及びそれに用いられる研磨用組成物
US7023093B2 (en) 2002-10-24 2006-04-04 International Business Machines Corporation Very low effective dielectric constant interconnect Structures and methods for fabricating the same
US7354798B2 (en) 2002-12-20 2008-04-08 International Business Machines Corporation Three-dimensional device fabrication method
JP3918935B2 (ja) 2002-12-20 2007-05-23 セイコーエプソン株式会社 半導体装置の製造方法
JP3981026B2 (ja) 2003-01-30 2007-09-26 株式会社東芝 多層配線層を有する半導体装置およびその製造方法
US6962835B2 (en) 2003-02-07 2005-11-08 Ziptronix, Inc. Method for room temperature metal direct bonding
US7135780B2 (en) 2003-02-12 2006-11-14 Micron Technology, Inc. Semiconductor substrate for build-up packages
US6908027B2 (en) 2003-03-31 2005-06-21 Intel Corporation Complete device layer transfer without edge exclusion via direct wafer bonding and constrained bond-strengthening process
DE10319538B4 (de) 2003-04-30 2008-01-17 Qimonda Ag Halbleitervorrichtung und Verfahren zur Herstellung einer Halbleitereinrichtung
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
TWI275168B (en) 2003-06-06 2007-03-01 Sanyo Electric Co Semiconductor device and method for making the same
US20040262772A1 (en) 2003-06-30 2004-12-30 Shriram Ramanathan Methods for bonding wafers using a metal interlayer
JP2005086089A (ja) 2003-09-10 2005-03-31 Seiko Epson Corp 3次元デバイスの製造方法
JP2005093486A (ja) 2003-09-12 2005-04-07 Seiko Epson Corp 半導体装置の製造方法及び半導体装置
US6867073B1 (en) 2003-10-21 2005-03-15 Ziptronix, Inc. Single mask via method and device
JP2005135988A (ja) 2003-10-28 2005-05-26 Toshiba Corp 半導体装置の製造方法
US8026128B2 (en) 2004-11-10 2011-09-27 Stats Chippac, Ltd. Semiconductor device and method of self-confinement of conductive bump material during reflow without solder mask
US6927498B2 (en) * 2003-11-19 2005-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Bond pad for flip chip package
US7842948B2 (en) 2004-02-27 2010-11-30 Nvidia Corporation Flip chip semiconductor die internal signal access system and method
KR100618855B1 (ko) 2004-08-02 2006-09-01 삼성전자주식회사 금속 콘택 구조체 형성방법 및 이를 이용한 상변화 메모리제조방법
US20060057945A1 (en) 2004-09-16 2006-03-16 Chia-Lin Hsu Chemical mechanical polishing process
US20060076634A1 (en) 2004-09-27 2006-04-13 Lauren Palmateer Method and system for packaging MEMS devices with incorporated getter
GB0505680D0 (en) 2005-03-22 2005-04-27 Cambridge Display Tech Ltd Apparatus and method for increased device lifetime in an organic electro-luminescent device
US7998335B2 (en) 2005-06-13 2011-08-16 Cabot Microelectronics Corporation Controlled electrochemical polishing method
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US7193423B1 (en) 2005-12-12 2007-03-20 International Business Machines Corporation Wafer-to-wafer alignments
US7348648B2 (en) 2006-03-13 2008-03-25 International Business Machines Corporation Interconnect structure with a barrier-redundancy feature
TWI299552B (en) 2006-03-24 2008-08-01 Advanced Semiconductor Eng Package structure
US7972683B2 (en) 2006-03-28 2011-07-05 Innovative Micro Technology Wafer bonding material with embedded conductive particles
US7750488B2 (en) 2006-07-10 2010-07-06 Tezzaron Semiconductor, Inc. Method for bonding wafers to produce stacked integrated circuits
KR100825648B1 (ko) 2006-11-29 2008-04-25 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조 방법
US9343330B2 (en) 2006-12-06 2016-05-17 Cabot Microelectronics Corporation Compositions for polishing aluminum/copper and titanium in damascene structures
US7803693B2 (en) 2007-02-15 2010-09-28 John Trezza Bowed wafer hybridization compensation
US8435421B2 (en) 2007-11-27 2013-05-07 Cabot Microelectronics Corporation Metal-passivating CMP compositions and methods
DE102008007001B4 (de) 2008-01-31 2016-09-22 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Vergrößern des Widerstandsverhaltens gegenüber Elektromigration in einer Verbindungsstruktur eines Halbleiterbauelements durch Bilden einer Legierung
US20090200668A1 (en) 2008-02-07 2009-08-13 International Business Machines Corporation Interconnect structure with high leakage resistance
US8349721B2 (en) 2008-03-19 2013-01-08 Stats Chippac, Ltd. Semiconductor device and method of forming insulating layer on conductive traces for electrical isolation in fine pitch bonding
US8349635B1 (en) 2008-05-20 2013-01-08 Silicon Laboratories Inc. Encapsulated MEMS device and method to form the same
US9893004B2 (en) 2011-07-27 2018-02-13 Broadpak Corporation Semiconductor interposer integration
US8344503B2 (en) 2008-11-25 2013-01-01 Freescale Semiconductor, Inc. 3-D circuits with integrated passive devices
KR100945800B1 (ko) 2008-12-09 2010-03-05 김영혜 이종 접합 웨이퍼 제조방법
IT1392793B1 (it) * 2008-12-30 2012-03-23 St Microelectronics Srl Condensatore integrato con piatto a spessore non-uniforme
US8476165B2 (en) 2009-04-01 2013-07-02 Tokyo Electron Limited Method for thinning a bonding wafer
CN202758883U (zh) * 2009-05-26 2013-02-27 拉姆伯斯公司 堆叠的半导体器件组件
US8101517B2 (en) 2009-09-29 2012-01-24 Infineon Technologies Ag Semiconductor device and method for making same
US8482132B2 (en) 2009-10-08 2013-07-09 International Business Machines Corporation Pad bonding employing a self-aligned plated liner for adhesion enhancement
FR2954585B1 (fr) 2009-12-23 2012-03-02 Soitec Silicon Insulator Technologies Procede de realisation d'une heterostructure avec minimisation de contrainte
WO2011108436A1 (ja) 2010-03-01 2011-09-09 国立大学法人大阪大学 半導体装置及び半導体装置用接合材
JP5517800B2 (ja) 2010-07-09 2014-06-11 キヤノン株式会社 固体撮像装置用の部材および固体撮像装置の製造方法
CN104011848A (zh) 2010-07-30 2014-08-27 昆山智拓达电子科技有限公司 一种硅通孔互连结构及其制造方法
FR2966283B1 (fr) 2010-10-14 2012-11-30 Soi Tec Silicon On Insulator Tech Sa Procede pour realiser une structure de collage
US8377798B2 (en) 2010-11-10 2013-02-19 Taiwan Semiconductor Manufacturing Co., Ltd Method and structure for wafer to wafer bonding in semiconductor packaging
US8476146B2 (en) 2010-12-03 2013-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing wafer distortion through a low CTE layer
US8620164B2 (en) 2011-01-20 2013-12-31 Intel Corporation Hybrid III-V silicon laser formed by direct bonding
US8988299B2 (en) 2011-02-17 2015-03-24 International Business Machines Corporation Integrated antenna for RFIC package applications
JP2012174988A (ja) 2011-02-23 2012-09-10 Sony Corp 接合電極、接合電極の製造方法、半導体装置、及び、半導体装置の製造方法
KR101780423B1 (ko) 2011-03-18 2017-09-22 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US8501537B2 (en) 2011-03-31 2013-08-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures formed using such methods
US8716105B2 (en) 2011-03-31 2014-05-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures and intermediate structures formed using such methods
EP3534399A1 (en) 2011-05-24 2019-09-04 Sony Corporation Semiconductor device
JP6031765B2 (ja) 2011-07-05 2016-11-24 ソニー株式会社 半導体装置、電子機器、及び、半導体装置の製造方法
JP5982748B2 (ja) 2011-08-01 2016-08-31 ソニー株式会社 半導体装置、半導体装置の製造方法、および電子機器
US8697493B2 (en) 2011-07-18 2014-04-15 Soitec Bonding surfaces for direct bonding of semiconductor structures
US8441131B2 (en) 2011-09-12 2013-05-14 Globalfoundries Inc. Strain-compensating fill patterns for controlling semiconductor chip package interactions
US8796853B2 (en) 2012-02-24 2014-08-05 International Business Machines Corporation Metallic capped interconnect structure with high electromigration resistance and low resistivity
US20130256913A1 (en) 2012-03-30 2013-10-03 Bryan Black Die stacking with coupled electrical interconnects to align proximity interconnects
CN103377911B (zh) 2012-04-16 2016-09-21 中国科学院微电子研究所 提高化学机械平坦化工艺均匀性的方法
US9142517B2 (en) 2012-06-05 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding mechanisms for semiconductor wafers
US8809123B2 (en) 2012-06-05 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Three dimensional integrated circuit structures and hybrid bonding methods for semiconductor wafers
US9048283B2 (en) 2012-06-05 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding systems and methods for semiconductor wafers
US8772946B2 (en) 2012-06-08 2014-07-08 Invensas Corporation Reduced stress TSV and interposer structures
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
DE102012224310A1 (de) 2012-12-21 2014-06-26 Tesa Se Gettermaterial enthaltendes Klebeband
US20140175655A1 (en) 2012-12-22 2014-06-26 Industrial Technology Research Institute Chip bonding structure and manufacturing method thereof
US9368438B2 (en) * 2012-12-28 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Package on package (PoP) bonding structures
US8916448B2 (en) 2013-01-09 2014-12-23 International Business Machines Corporation Metal to metal bonding for stacked (3D) integrated circuits
TWI490962B (zh) * 2013-02-07 2015-07-01 Univ Nat Chiao Tung 電性連接結構及其製備方法
TWI518991B (zh) 2013-02-08 2016-01-21 Sj Antenna Design Integrated antenna and integrated circuit components of the shielding module
US8946784B2 (en) 2013-02-18 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for image sensor packaging
US9230942B2 (en) * 2013-02-26 2016-01-05 Sandisk Information Technology (Shanghai) Co., Ltd. Semiconductor device including alternating stepped semiconductor die stacks
US9331032B2 (en) 2013-03-06 2016-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding and apparatus for performing the same
US9105485B2 (en) 2013-03-08 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structures and methods of forming the same
US8802538B1 (en) 2013-03-15 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for hybrid wafer bonding
US9443796B2 (en) 2013-03-15 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Air trench in packages incorporating hybrid bonding
US9064937B2 (en) 2013-05-30 2015-06-23 International Business Machines Corporation Substrate bonding with diffusion barrier structures
US9929050B2 (en) 2013-07-16 2018-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming three-dimensional integrated circuit (3DIC) stacking structure
US9040385B2 (en) 2013-07-24 2015-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for cleaning substrate surface for hybrid bonding
JP6212720B2 (ja) 2013-09-20 2017-10-18 パナソニックIpマネジメント株式会社 半導体装置及びその製造方法
US9723716B2 (en) 2013-09-27 2017-08-01 Infineon Technologies Ag Contact pad structure, an electronic component, and a method for manufacturing a contact pad structure
FR3011679B1 (fr) 2013-10-03 2017-01-27 Commissariat Energie Atomique Procede ameliore d'assemblage par collage direct entre deux elements, chaque element comprenant des portions de metal et de materiaux dielectriques
US9257399B2 (en) 2013-10-17 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. 3D integrated circuit and methods of forming the same
US9059333B1 (en) 2013-12-04 2015-06-16 International Business Machines Corporation Facilitating chip dicing for metal-metal bonding and hybrid wafer bonding
JP2015115446A (ja) 2013-12-11 2015-06-22 株式会社東芝 半導体装置の製造方法
US9437572B2 (en) 2013-12-18 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive pad structure for hybrid bonding and methods of forming same
US9865523B2 (en) 2014-01-17 2018-01-09 Taiwan Semiconductor Manufacturing Company, Ltd. Robust through-silicon-via structure
US9343433B2 (en) * 2014-01-28 2016-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with stacked dies and methods of forming the same
US9425155B2 (en) 2014-02-25 2016-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer bonding process and structure
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US9230941B2 (en) 2014-03-28 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structure for stacked semiconductor devices
US9299736B2 (en) 2014-03-28 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding with uniform pattern density
US9343369B2 (en) 2014-05-19 2016-05-17 Qualcomm Incorporated Three dimensional (3D) integrated circuits (ICs) (3DICs) and related systems
US9472458B2 (en) 2014-06-04 2016-10-18 Semiconductor Components Industries, Llc Method of reducing residual contamination in singulated semiconductor die
KR102275705B1 (ko) 2014-07-11 2021-07-09 삼성전자주식회사 웨이퍼 대 웨이퍼 접합 구조
US9536848B2 (en) 2014-10-16 2017-01-03 Globalfoundries Inc. Bond pad structure for low temperature flip chip bonding
JP6335099B2 (ja) * 2014-11-04 2018-05-30 東芝メモリ株式会社 半導体装置および半導体装置の製造方法
KR102274775B1 (ko) 2014-11-13 2021-07-08 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9394161B2 (en) 2014-11-14 2016-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. MEMS and CMOS integration with low-temperature bonding
WO2016185883A1 (ja) 2015-05-18 2016-11-24 ソニー株式会社 半導体装置および撮像装置
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US9704827B2 (en) * 2015-06-25 2017-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bond pad structure
US9656852B2 (en) 2015-07-06 2017-05-23 Taiwan Semiconductor Manufacturing Company Ltd. CMOS-MEMS device structure, bonding mesa structure and associated method
US10075657B2 (en) 2015-07-21 2018-09-11 Fermi Research Alliance, Llc Edgeless large area camera system
US9728521B2 (en) 2015-07-23 2017-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bond using a copper alloy for yield improvement
US9559081B1 (en) 2015-08-21 2017-01-31 Apple Inc. Independent 3D stacking
US9496239B1 (en) 2015-12-11 2016-11-15 International Business Machines Corporation Nitride-enriched oxide-to-oxide 3D wafer bonding
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US9881882B2 (en) 2016-01-06 2018-01-30 Mediatek Inc. Semiconductor package with three-dimensional antenna
US9923011B2 (en) 2016-01-12 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with stacked semiconductor dies
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US10636767B2 (en) 2016-02-29 2020-04-28 Invensas Corporation Correction die for wafer/die stack
WO2017155002A1 (ja) 2016-03-11 2017-09-14 ボンドテック株式会社 基板接合方法
US10026716B2 (en) 2016-04-15 2018-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC formation with dies bonded to formed RDLs
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
KR102505856B1 (ko) 2016-06-09 2023-03-03 삼성전자 주식회사 웨이퍼 대 웨이퍼 접합 구조체
US9941241B2 (en) 2016-06-30 2018-04-10 International Business Machines Corporation Method for wafer-wafer bonding
US9859254B1 (en) 2016-06-30 2018-01-02 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and a manufacturing method thereof
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
JP2018064758A (ja) 2016-10-19 2018-04-26 ソニーセミコンダクタソリューションズ株式会社 半導体装置、製造方法、および電子機器
CN106571334B (zh) * 2016-10-26 2020-11-10 上海集成电路研发中心有限公司 一种硅片间的混合键合方法
US10163750B2 (en) 2016-12-05 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure for heat dissipation
US10453832B2 (en) 2016-12-15 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Seal ring structures and methods of forming same
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
US20180182665A1 (en) 2016-12-28 2018-06-28 Invensas Bonding Technologies, Inc. Processed Substrate
CN117878055A (zh) 2016-12-28 2024-04-12 艾德亚半导体接合科技有限公司 堆栈基板的处理
US20180190583A1 (en) 2016-12-29 2018-07-05 Invensas Bonding Technologies, Inc. Bonded structures with integrated passive component
KR20230156179A (ko) 2016-12-29 2023-11-13 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 집적된 수동 컴포넌트를 구비한 접합된 구조체
US10276909B2 (en) 2016-12-30 2019-04-30 Invensas Bonding Technologies, Inc. Structure comprising at least a first element bonded to a carrier having a closed metallic channel waveguide formed therein
CN106653720A (zh) 2016-12-30 2017-05-10 武汉新芯集成电路制造有限公司 一种混合键合结构及混合键合方法
US10431614B2 (en) 2017-02-01 2019-10-01 Semiconductor Components Industries, Llc Edge seals for semiconductor packages
JP7030825B2 (ja) 2017-02-09 2022-03-07 インヴェンサス ボンディング テクノロジーズ インコーポレイテッド 接合構造物
CN106920795B (zh) 2017-03-08 2019-03-12 长江存储科技有限责任公司 存储器结构及其制备方法、存储器的测试方法
CN106920797B (zh) 2017-03-08 2018-10-12 长江存储科技有限责任公司 存储器结构及其制备方法、存储器的测试方法
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
JP6640780B2 (ja) 2017-03-22 2020-02-05 キオクシア株式会社 半導体装置の製造方法および半導体装置
JP2018163970A (ja) 2017-03-24 2018-10-18 東芝メモリ株式会社 半導体装置及びその製造方法
US10784191B2 (en) 2017-03-31 2020-09-22 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10312275B2 (en) 2017-04-25 2019-06-04 Semiconductor Components Industries, Llc Single-photon avalanche diode image sensor with photon counting and time-of-flight detection capabilities
US10580823B2 (en) 2017-05-03 2020-03-03 United Microelectronics Corp. Wafer level packaging method
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10290611B2 (en) * 2017-07-27 2019-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods of forming same
CN107665829B (zh) 2017-08-24 2019-12-17 长江存储科技有限责任公司 晶圆混合键合中提高金属引线制程安全性的方法
CN107731668B (zh) 2017-08-31 2018-11-13 长江存储科技有限责任公司 3d nand混合键合工艺中补偿晶圆应力的方法
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US11251157B2 (en) 2017-11-01 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure with hybrid bonding structure and method of fabricating the same and package
CN107993927A (zh) 2017-11-20 2018-05-04 长江存储科技有限责任公司 提高晶圆混合键合强度的方法
CN107993928B (zh) 2017-11-20 2020-05-12 长江存储科技有限责任公司 一种抑制晶圆混合键合中铜电迁移的方法
US11152417B2 (en) 2017-11-21 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Anchor structures and methods for uniform wafer planarization and bonding
US11011503B2 (en) 2017-12-15 2021-05-18 Invensas Bonding Technologies, Inc. Direct-bonded optoelectronic interconnect for high-density integrated photonics
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
JP6967980B2 (ja) 2018-01-23 2021-11-17 東京エレクトロン株式会社 接合方法、および接合装置
TWI823598B (zh) 2018-01-23 2023-11-21 日商東京威力科創股份有限公司 接合系統及接合方法
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11398258B2 (en) 2018-04-30 2022-07-26 Invensas Llc Multi-die module with low power operation
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
US11462419B2 (en) 2018-07-06 2022-10-04 Invensas Bonding Technologies, Inc. Microelectronic assemblies
CN109155301A (zh) 2018-08-13 2019-01-04 长江存储科技有限责任公司 具有帽盖层的键合触点及其形成方法
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
CN109417073B (zh) 2018-09-10 2019-12-06 长江存储科技有限责任公司 使用梳状路由结构以减少金属线装载的存储器件
CN109417077B (zh) 2018-09-10 2019-10-18 长江存储科技有限责任公司 使用梳状路由结构以减少金属线装载的存储器件
CN109417075B (zh) 2018-09-20 2020-06-26 长江存储科技有限责任公司 多堆叠层三维存储器件
CN109643643B (zh) 2018-11-30 2020-08-25 长江存储科技有限责任公司 键合存储器件及其制造方法
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
CN109844915A (zh) 2019-01-02 2019-06-04 长江存储科技有限责任公司 用于晶圆键合的等离子体活化处理
CN113330557A (zh) 2019-01-14 2021-08-31 伊文萨思粘合技术公司 键合结构
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US20200395321A1 (en) 2019-06-12 2020-12-17 Invensas Bonding Technologies, Inc. Sealed bonded structures and methods for forming the same

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108140559A (zh) * 2015-08-25 2018-06-08 英帆萨斯邦德科技有限公司 传导阻障直接混合型接合
CN105140144A (zh) * 2015-09-02 2015-12-09 武汉新芯集成电路制造有限公司 一种介质加压热退火混合键合方法
CN107039380A (zh) * 2015-12-28 2017-08-11 台湾积体电路制造股份有限公司 接合结构及其形成方法

Also Published As

Publication number Publication date
US20220005784A1 (en) 2022-01-06
TW202025393A (zh) 2020-07-01
EP3821461A1 (en) 2021-05-19
WO2020046677A1 (en) 2020-03-05
EP3821461A4 (en) 2022-02-09
US20230118156A1 (en) 2023-04-20
CN115332207A (zh) 2022-11-11
US11011494B2 (en) 2021-05-18
US20200075534A1 (en) 2020-03-05
CN112956011A (zh) 2021-06-11

Similar Documents

Publication Publication Date Title
CN112956011B (zh) 微电子学中在低温下进行直接金属间键合的层结构
CN113169151B (zh) 互连结构
US10790262B2 (en) Low temperature bonded structures
US20230132632A1 (en) Diffusion barriers and method of forming same
US9960142B2 (en) Hybrid bonding with air-gap structure
KR20210008917A (ko) Tsv 위의 대형 금속 패드
US11244916B2 (en) Low temperature bonded structures
TW201715620A (zh) 傳導阻障直接混合型接合
TW202401505A (zh) 用於接合的膨脹控制
TWI436466B (zh) 直通矽晶穿孔結構及其製程
TW201733009A (zh) 提升直接接合的接觸對準容限
TW201717315A (zh) 用於成品率改善的使用銅合金的混合鍵
JP2010080750A (ja) 半導体装置及びその製造方法
TW202220133A (zh) 低溫混合接合結構及其製造方法
JP5953701B2 (ja) 接続基板、半導体装置、接続基板の製造方法
US7514340B2 (en) Composite integrated device and methods for forming thereof
TWI847999B (zh) 接合結構、微電子裝置及形成微電子裝置的方法
WO2011148445A1 (ja) 半導体装置及びその製造方法
US12100676B2 (en) Low temperature bonded structures
CN118156251A (zh) 一种硅通孔互连结构以及形成方法
CN116721911A (zh) 一种晶圆键合方法及制造的键合晶圆、芯片和半导体器件

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
CP01 Change in the name or title of a patent holder

Address after: California, USA

Patentee after: Insulation Semiconductor Bonding Technology Co.

Address before: California, USA

Patentee before: Evanss Adhesive Technologies

CP01 Change in the name or title of a patent holder