CN117878055A - 堆栈基板的处理 - Google Patents

堆栈基板的处理 Download PDF

Info

Publication number
CN117878055A
CN117878055A CN202410017199.2A CN202410017199A CN117878055A CN 117878055 A CN117878055 A CN 117878055A CN 202410017199 A CN202410017199 A CN 202410017199A CN 117878055 A CN117878055 A CN 117878055A
Authority
CN
China
Prior art keywords
substrate
layer
protective
wiring layer
conductive wiring
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202410017199.2A
Other languages
English (en)
Inventor
赛普里恩·艾米卡·乌佐
高桂莲
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Edya Semiconductor Bonding Technology Co ltd
Original Assignee
Edya Semiconductor Bonding Technology Co ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Edya Semiconductor Bonding Technology Co ltd filed Critical Edya Semiconductor Bonding Technology Co ltd
Publication of CN117878055A publication Critical patent/CN117878055A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L21/6836Wafer tapes, e.g. grinding or dicing support tapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/6834Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to protect an active side of a device or wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68381Details of chemical or physical process used for separating the auxiliary support from a device or wafer

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Abstract

代表性实施方案提供用于处理集成电路(IC)晶粒及相关装置以为堆栈及接合该些装置作准备的技术。该些所揭示技术提供在保护底层的同时将处理残余物自装置表面移除。一或多个牺牲层可在处理期间涂覆至该装置的一表面以保护该些底层。处理残余物附着至该些牺牲层而非该装置,且可与该些牺牲层一起被移除。

Description

堆栈基板的处理
相关申请案的交叉参考
本申请案依照35U.S.C.§119(e)(1)主张2017年12月19日申请的美国临时申请案第15/846,731号以及2016年12月28日申请的美国临时申请案第62/439,771号的权益,该案以全文引用的方式并入本文中。
技术领域
以下描述是关于集成电路(「IC」)的处理。更明确而言,以下描述是关于将处理残余物自晶粒、晶圆及其他基板的表面移除。
背景技术
对于诸如整合式芯片及晶粒的微电子组件的更紧凑实体配置的需要随着携带型电子装置的快速发展、物联网的扩展、纳米级整合、次波长光学整合等等已变得愈发强烈。仅借助于实例,装置通常被称作整合具有大功率数据处理器的蜂巢式电话、内存及诸如全球定位系统接收器的辅助装置、电子摄影机及局域网络连接件以及高分辨率显示器及相关联的图像处理芯片的功能的「智能电话」。这些装置可提供诸如完全因特网连接、娱乐(包括全分辨率视讯、导航、电子银行等)的性能,所有均在口袋大小的装置中。复杂的携带型装置需要将众多芯片及晶粒封装至较小空间中。
微电子组件常常包含诸如砷化硅或砷化镓的半导体材料的薄平板。芯片及晶粒通常经设置为个别预封装单元。在一些单元设计中,将晶粒安装至基板或芯片载体,随后将该基板或芯片载体安装于电路面板(诸如,印刷电路板(PCB))上。晶粒可经设置于便于在制造期间及在将晶粒安装于外部基板上期间对晶粒的处置的封装中。举例而言,许多晶粒经设置于适合于表面安装的封装中。此通用类型的众多封装经提议用于各种应用。最常见地,这些封装包括介电质组件,其通常被称作具有形成为介电质上的经镀覆或经蚀刻金属结构的端子的「芯片载体」。通常借由诸如沿晶粒载体延伸的薄迹线的导电特征及借由在晶粒的接触件与端子或迹线的接触件之间延伸的精细引线或电线将端子连接至晶粒的接触件(例如,接合垫)。在表面安装操作中,可将封装置放至电路板上,以使得封装上的每一端子与电路板上的对应接触垫对准。将焊料或其他接合材料设置于端子与接触垫之间。可借由加热装配件以便熔化或「回焊」焊料或以其他方式活化接合材料来将封装永久地接合在适当的位置。
通常被称作「芯片级封装」的某些封装占据等于或仅略大于并入于封装中的装置的面积的电路板的面积。这种比例是有利的,因为其降低装配件的整体大小且准许在基板上的各种装置之间使用短互连件,此随后限制装置之间的信号传播时间且因此便于以高速操作装配件。
半导体晶粒亦可经设置于「堆栈」配置中,举例而言,其中一个晶粒设置于载体上,且另一晶粒安装在第一晶粒的上方。这些配置可允许将多个不同晶粒安装于电路板上的单个覆盖面积内,且可进一步借由在晶粒之间设置短互连件来便于高速操作。通常,此互连距离可仅略大于晶粒自身的厚度。对于将在晶粒封装的堆栈内达成的互连,用于机械及电气连接的互连结构可设置于每一晶粒封装(除了最顶封装)以外的两侧(例如,面)上。此已(例如)借由在安装有晶粒的基板的两侧上设置接触垫或焊盘来得以实现,该些衬垫借由导电通孔或类似者经过基板连接。堆栈芯片配置及互连结构的实例提供于美国专利申请公开案第2010/0232129号中,该公开案揭示内容以引用的方式并入本文中。
然而,晶粒或装置的表面彼此紧密接触或邻近的一些堆栈配置对于堆栈芯片的一个或两个表面上粒子或污染物(例如,大于.5纳米)的存在是敏感的。举例而言,自处理步骤剩余的粒子可产生堆栈芯片之间的不良接合区域。对于处理或处置而言,晶粒及基板的暂时接合可能尤其是有问题的,这是因为暂时载体及基板的移除可留下接合层残余物。
来自暂时接合层的残余物(其可由高温聚合物组成)可具有不同厚度(例如,厚度可介于50纳米至30微米之范围内)在基板表面上不连续。电浆灰化可用以移除薄残余物,但即使较长的氧电浆灰化步骤(例如,历时40分钟)仍可能不会移除最厚残余物,且在许多情况下,可氧化导电互连层(例如,铜互连层)。在此等状况下,高温(例如,50℃以上)湿式制程有时用以移除厚残余物;然而,该制程可并不与其他晶粒层或材料兼容。举例而言,高温湿式制程可劣化经抛光金属层的平滑度,从而降低装置良率。
发明内容
代表性实施方案提供用于处理集成电路(integrated circuit;IC)晶粒及相关装置以为堆栈及接合该些装置作准备的技术。经处理装置可留下表面残余物,从而不利地影响接合。所揭示技术在保护底层的同时改良自装置表面的残余物移除。一或多个牺牲层可在处理期间涂覆至该装置的一表面以保护该些底层。处理残余物附着至该些牺牲层而非该装置,且可与该些牺牲层一起被移除。
在各种实施中,实例制程包括湿式蚀刻装置的表面以移除牺牲层及残余物。在一些具体实例中,多个牺牲层中的一或多个在不同处理阶段被移除以在处理阶段期间保护底层。在一些实例中,选择性蚀刻剂(湿式蚀刻剂)可用于移除一或多个牺牲层及残余物而不损害装置的表面或损害装置的表面上的金属互连结构。
参考电气及电子组件及变化的载体论述各种实施及配置。虽然提及特定组件(亦即,晶圆、集成电路(IC)芯片晶粒等),但此并非意欲为限制性,且为了易于论述及说明方便。参考晶圆、晶粒或其类似物论述的技术及装置适用于任一类型或数目的电气组件、电路(例如,集成电路(IC)、混合电路、ASIC、内存装置、处理器等)、组件的群组、封装的组件、结构(例如,晶圆、面板、板、PCB等)及类似的,其可经耦接以彼此介接,与外部电路、系统、载体及类似物介接。该些不同组件、电路、群组、封装、结构及类似者中的每一个可通常被称作「微电子组件」。为简单起见,该些组件另外将在本文中被称作「晶粒」或「基板」。
使用图形流程图说明所揭示制程。描述所揭示制程所按的次序并非意欲解释为限制性,且任何数目个所描述制程区块可按任何次序组合以实施制程或替代制程。另外,可在不脱离本文中所描述主题的精神及范畴的情况下自制程删除个别区块。另外,在不脱离本文中所描述主题的范畴情况下,所揭示制程可在任何合适的制造或处理装置或系统,以及任一硬件、软件、固件或其一组合中实施。
在下文使用多个实例来更详细地解释实施方案。尽管在此处且在下文论述各种实施方案及实例,但其他实施方案及实例可借由组合个别实施方案及实例的特征及组件而来成为可能。
附图说明
参考附图阐述实施方式。在图式中,参考数字的最左侧数字识别首次出现该参考数字图。在不同图中使用同一参考数字指示类似或相同对象。
对此论述,在图式中所说明的装置及系统展示为具有大量组件。如本文中所描述,装置及/或系统的各种实施方案可包括更少组件且保持在本发明的范畴内。替代地,装置及/或系统的其他实施方案可包括额外组件,或所描述组件的各种组合,且保持在本发明的范畴内。
图1为说明实例晶粒进程列的经示意性说明的流程图。
图2及图3展示根据第一具体实例的说明实例晶粒进程列的经示意性说明之流程图。
图4及图5展示根据第二具体实例的说明实例晶粒进程列的经示意性说明的流程图。
具体实施方式
概述
揭示了用于处理集成电路(IC)晶粒及相关装置以为堆栈及接合该些装置作准备的技术的各种具体实例。经历处理的装置可自制程步骤留下表面残余物,从而不利地影响接合。所揭示技术在保护底层的同时改良自装置表面的残余物移除。
在各种具体实例中,使用所揭示技术可简化用于最小容限堆栈及接合技术的堆栈制程,减少晶粒制造及处理成本并改良利润率,减少暂时接合操作的缺陷,允许较高堆栈装置良率,消除关键制程缺陷,且可减少晶粒的处置以最小化粒子产生。使用不需黏着剂的表面至表面直接接合技术(诸如)及/或混合式接合(诸如「直接接合互连」/>)(两者可自Ziptronix公司、Xperi技术公司获得(例如参看美国专利第6,864,585号及第7,485,968号,该些专利全文并入本文中))来堆栈及接合晶粒可尤其有益,其可归因于对于极平坦接口的需要而对粒子及污染物敏感。相对绝缘体、半导体及/或导体层之间的粒子的移除改良表面的平坦度,及相应地改良接合两个表面的能力。
举例而言,在图1处展示以图形方式说明的流程图,其说明实例晶粒进程列100。在区块(A)处,制程开始于借由使用暂时接合层106将一处置基板104接合至包括一或多个装置(装置未图标)的基板102而制备基板装配件。基板102的配线层108由金属(诸如铜等)组成,且借由接合层106接触。在各种实例中,接合层106由高温聚合物、环氧树脂、聚酰亚胺、丙烯酸或其类似物组成以确保处置基板104在处理期间保持接合至装置102。
在区块(B)处,基板102的背侧的一部分是使用一或多种技术(例如,研磨、化学机械抛光/平坦化(chemical mechanical polishing/planarizing;CMP)、反应性离子蚀刻(reactive-ion etching;RIE)等)而移除至所要尺寸。变薄基板102的背侧可经进一步处理以(例如)形成互连配线层、被动组件层或所关注的其他结构或特征。在区块(C)处,具有一或多个装置的基板102附着至切割薄片110以用于单一化。处置基板104现在在「顶侧」,以准备将其移除。
在区块(D)处,处置基板104可借由研磨、蚀刻、抛光、滑落或借由暂时接合黏着层106的光学劣化等而移除。在区块(E)处,移除暂时接合层106。如在区块(E)处所示,移除制程通常留下某一残余物112。残余物112可具有不同厚度(例如,厚度可介于5纳米至30微米或甚至更高范围内)。电浆灰化可用以移除薄残余物112,但即使较长的氧电浆灰化步骤(例如,历时40分钟)仍可能不会移除最厚残余物112,且在许多情况下,可氧化配线层108(例如,铜互连层108)。较长灰化时间亦可使外露配线层108的表面变粗糙,此可降低接合装置良率。在一些状况下,高温(例如,50℃以上)湿式蚀刻制程用以移除厚残余物112;然而,该制程可并不与其他晶粒层或材料兼容。举例而言,高温湿式制程可溶解配线层108的导电金属表面部分,因此使金属配线层108劣化,移除比所需要更多的金属且留下粗糙表面构形(topography)。在一些低容限接合方法(诸如及「直接接合互连/>」)中,需要(例如,配线层108的)金属构形具有小于10纳米方差以用于成功接合。
在区块(F)处,基板102经单一化成晶粒114。如所示,残余物112可保持于晶粒114上,从而潜在地导致不良接合,及减少产品良率。
实例实施方案
在各种实施方案中,一或多个保护层可在接合载体或处置基板至敏感层之前涂覆至敏感装置层。保护(牺牲)层的移除亦移除在移除接合层时留下的任何残余物。在各种具体实例中,可使用不损害基础敏感绝缘及导电层的室温或接近室温制程移除保护层。
举例而言,图2及图3展示根据第一具体实例的说明实例晶粒进程列200以图形方式说明的流程图。如图2中在区块(A)处所示,在施加暂时黏着剂106及处置基板104之前,薄无机保护层202形成(例如,旋涂)于基板102的配线层108上。在各种具体实例中,保护层202可包含以下各者中的一或多种:二氧化硅(SiO2)、掺杂硼的二氧化硅(亦即,B-SiO2)、掺杂磷的二氧化硅(亦即,P-SiO2)或其类似物。在其他具体实例中,保护层202可包含借由较低温度电浆增强式化学气相沉积(plasma enhanced chemical vapor deposition;PECVD)、原子层沉积(atomic layer deposition;ALD)、电浆增强原子层沉积(plasma enhancedatomic layer deposition;PEALD)或类似方法涂布的非化学计量介电质材料(非装置质量介电质材料)。保护层202可在一些具体实例中小于50纳米厚(在其他具体实例中,更厚或更薄)。作为制程的部分,取决于涂布制程的性质,保护层202可在低于100℃温度下在惰性气体或真空中历时大致30分钟固化。在各种其他实施方案中,固化温度及时间以及周围环境可变化。在一些状况下,保护层202可随后在添加黏着层106之前用电浆辐射处理。
在区块(B)处,包括一或多个装置(装置未图标)的基板102是使用暂时黏着剂106接合至处置基板104,如上文所描述。在实例制程200中,结合层106接触保护(牺牲)层202而非接触金属配线层108。以此方式,敏感金属配线层108受到保护而免于黏着剂106及其残余物112的影响。在区块(C)处,基板102根据所意欲的应用的需要而减少且根据需要被进一步处理。在区块(D)处,减少的基板102附着至切割薄片110,其中处置基板104在顶侧。
在区块(E)处,处置基板104被移除,且在区块(F)处,暂时结合层106被移除,从而留下残余物112。在此实例制程200中,残余物112留在保护层202而非金属配线层108上。在一些其他具体实例中,不合需要的残余物112可为来自切割薄片或研磨薄片黏着剂的残余物。不管不合需要的残余物112的来源,利用基板102的装置以不合需要的残余物112与保护牺牲层202接触的此序列而形成。
参考图3,制程200继续。为了连续性及易于论述,再次在图3中说明区块(F)。作为可选制程步骤,在区块(F)处残余物112可持续小于例如10分钟暴露在氧电浆中以移除较薄残余物112。在具体实例中,电浆暴露亦可增加亲水性且使所涂布无机保护层202中的接合变弱,且使得保护层202及残余物112更易于自基板102除去。在区块(G)处,基板102经单一化成晶粒114。如在区块(G)处所示,残余物112可在单一化之后保持(或另外累积)于晶粒114上、保护层202上。
在区块(H)处,举例而言,具有小于2%及较佳地小于0.2%的氟化物离子浓度的湿式稀释蚀刻剂302(例如,缓冲氧化物蚀刻剂(buffered oxide etchant;BHF)、氢氟酸(hydrofluoric acid;HF)、糖化稀释(glycated dilute)BFH或HF或其类似者)经喷射至晶粒114上以分解并移除无机保护层202。在一些具体实例中,较佳地蚀刻剂302包括错合剂(complexing agent)以抑制在保护层202下方的配线层108中的金属的蚀刻。错合剂可包含例如具有三唑部分的错合剂或其类似物,其中导电金属为铜。湿式蚀刻剂302可借由自旋制程(如所说明)、另一分批制程或其类似者而视需要历时预选持续时间来涂覆。错合剂可在后续清除操作中用合适的溶剂(例如含有醇的溶剂)移除。
在区块(I)处,经单一化晶粒114经展示不含残余物112。保护层202的移除亦自晶粒114的表面移除残余物112而不使晶粒114的配线层108劣化。在具体实例中,如在区块(J)及区块(K)处所示,一或多个额外无机(或有机,在替代具体实例中)保护层304展示为先前已被添加至基板102的第二(相对)表面。举例而言,在各种实施中,额外保护层304可视情况被添加至基板102的第二表面以在各种制程期间保护基板102。保护层304可在将基板102定位至切割薄片上之前被添加,例如(参看区块(D))。在此具体实例中,保护层304可保护基板102的第二表面以免受与切割薄片相关联的残余物或黏着剂影响,或可便于自基板102的第二表面清除此类残余物。在区块(J)处,基板102经展示为经单一化成晶粒114且在区块(K)处基板102展示为完整。
根据各种具体实例,在图4及图5处展示另一实例晶粒进程列400。在具体实例中,两个或大于两个保护层202及402在黏着剂106之前经涂覆至金属配线层108。在具体实例中,配线层108受有机保护层402(诸如有机光阻或其类似者)保护,且有机保护层402在将处置基板104接合至基板102之前受无机保护(牺牲)层202保护,如上文所论述。在具体实例中,额外保护层(诸如保护层402)的使用允许在外露层被处理的同时底层(诸如配线层108)受到保护。举例而言,额外有机保护层402允许使用化学品及/或可对配线层108有害(例如,腐蚀、粗糙化、干涸)的技术来移除保护层202。
参考图4,在区块(A)处,包括一或多个装置(装置未图标)的基板102最初在配线层108上涂布有薄(例如,旋涂)有机保护层402,继之以较薄无机保护层202(例如,SiO2、B-SiO2、p-SiO2及类似物)涂布,如上文所描述。
在区块(B)处,基板102是使用暂时接合106而接合至处置基板104,如上文所描述。另外,在此实例中,结合层106接触保护(牺牲)层202而非接触金属配线层108或有机层402。在区块(C)处,基板102视需要而减少,且在区块(D)处,减少基板102附着至切割薄片110,其中处置基板104在顶侧。
在区块(E)处,处置基板104被移除,且在区块(F)处,暂时结合层106被移除,从而大体留下残余物112。另外,在此实例中,残余物112留在保护层202而非金属配线层108或有机层402上。
参考图5,制程400继续。为了连续性及易于论述在图5中再现区块(F)。视情况,在区块(F)处,残余物112可例如持续小于10分钟暴露在氧电浆中以移除较薄残余物112层及亦增加亲水性并使涂布无机保护层202中的接合变弱。此可使得保护层202及残余物112更易于自基板102除去。在区块(G)处,基板102视情况经单一化成晶粒114。如所示,残余物112可保持于晶粒114上,保持于保护层202上。在区块(H)处,湿式稀释蚀刻剂302(例如,缓冲氧化物蚀刻剂(BHF)、氢氟酸(HF)或其类似物)喷射至晶粒114上以分解及移除无机保护层202。湿式蚀刻剂302可借由自旋制程或其类似物而视需要历时预选持续时间来涂覆。有机保护层402保持在晶粒114上。
在区块(I)处,单一化晶粒114经展示实质上不含残余物112。保护层202的移除亦自晶粒114的表面移除残余物112而至少部分归因于配线层108上的有机保护层402不使配线层108劣化。在具体实例中,如在区块(J)及区块(K)处所示,一或多个额外无机或有机保护层304经展示为先前已被添加至基板102的第二(相对)表面。举例而言,在各种实施中,额外保护层304可视情况被添加至基板102的第二表面以在各种制程期间保护基板102。保护层304可在将基板102定位至切割薄片上之前被添加,例如(参看区块(D))。在此具体实例中,保护层304可保护基板102的第二表面免受与切割薄片相关联的残余物或黏着剂影响,或可便于自基板102的第二表面清除此类残余物。在区块(J)处,基板102经展示为经单一化成晶粒114且在区块(K)处基板102展示为完整。
在一个具体实例中,在例如如图1中在区块(E)处、图3中在区块(F)处及图5中在区块(F)处所描绘移除暂时接合层106之后,不合需要的残余物112可在单一化步骤之前借由移除层202而移除。换言之,基板102可在具有或不具有保护层202的情况下经单一化。举例而言,基板102可在单一化步骤之前用保护层(诸如层202)涂布,以在单一化期间防止来自机械切割(例如,锯割)的切割碎片黏着至配线层108,并允许切割碎片连同保护层202一起被移除。
在各种具体实例中,其他保护层组合(及任何数目个保护层)可用于保护底层免受制程步骤的影响。举例而言,每一保护层可以化学方式工程化以被选择性地移除,同时在被移除的保护层下方的层保护底层(诸如配线层108)。有机层可为疏水性或亲水性以充当所使用溶剂的亲和性。举例而言,两层组合可包括两个光阻层、一个疏水层及一个无机层,或其类似的。三个或三个以上保护层的组合亦可以类似于每一层用以保护下部层以免于处理的负面影响的方式而使用。一般而言,确保配线层108不会因金属移除或构形的粗糙而劣化一或多个保护层的目标。在各种具体实例中,在湿洗步骤之后,经处理基板或晶粒可在接合至另一清洁介电质表面之前经进一步处理。
结论
尽管已以特定针对于结构特征及/或方法行动的语言描述本发明的实施方案,但应理解,实施方案不一定限于所描述特定特征或行动。确切而言,将特定特征及行动揭示为实施实例装置及技术的代表性形式。
本文的每项权利要求构成单独具体实例,且组合不同权利要求的具体实例及/或不同具体实例在本发明的范畴内,且一般熟习此项技术者在查阅本发明之后将显而易见。

Claims (61)

1.一种形成微电子装配件的方法,其包含:
提供具有外露的导电配线层的基板,该导电配线层与该基板的接合表面齐平或低于该基板的该接合表面;
用一或多个保护牺牲层涂布该导电配线层;
使用暂时接合层将处置基板接合至该一或多个保护牺牲层;
在该处置基板接合至该基板的同时处理该基板;
移除该处置基板;
移除该暂时接合层;
将该基板、该一或多个保护牺牲层及该暂时接合层的残余物暴露在湿式蚀刻剂中维持预选的持续时间,该湿式蚀刻剂分解至少一个保护牺牲层,其中该湿式蚀刻剂包含适用于抑制该导电配线层的溶解的错合剂;及
自该导电配线层中清洗掉该至少一个保护牺牲层及该处理的该残余物。
2.如权利要求1所述的方法,其进一步包含在将该基板、该一或多个保护牺牲层及该残余物暴露在该湿式蚀刻剂中之前,将该基板、该一或多个保护牺牲层及该残余物暴露在氧电浆辐射中维持预选的持续时间以修改该一或多个保护牺牲层的湿气吸收特性。
3.如权利要求1所述的方法,其进一步包含用有机或无机保护层涂布该基板的与该导电配线层相对的表面。
4.如权利要求1所述的方法,其中该处理包括在该处置基板接合至该基板的同时自该基板的与该导电配线层相对的表面移除该基板的一部分。
5.如权利要求1所述的方法,其中该保护牺牲层中的一或多个包含无机二氧化硅(SiO2)、掺杂硼的二氧化硅(B-SiO2)或掺杂磷的二氧化硅(P-SiO2)材料。
6.如权利要求1所述的方法,其中该一或多个保护牺牲层包含在该导电配线层上的有机保护层及在该有机保护层上的无机保护层,该有机保护层适用于保护该导电配线层以免因该无机保护层及该残余物的移除而劣化。
7.如权利要求1所述的方法,其中该湿式蚀刻剂包含缓冲氧化物蚀刻剂(BHF)或氢氟酸(HF)。
8.如权利要求1所述的方法,其中该保护牺牲层中的一或多个是使用旋涂、电浆物理气相沉积(PVD)或使用电泳制程来施加。
9.如权利要求1所述的方法,其中该基板在移除该保护牺牲层之前经单一化。
10.如权利要求1所述的方法,其中该基板在移除该保护牺牲层之后经单一化。
11.如权利要求1所述的方法,其中该导电配线层不会因暴露在该湿式蚀刻剂中而劣化、粗糙化或腐蚀。
12.一种形成微电子装配件的方法,其包含:
提供具有外露的配线层的基板,该配线层与该基板的接合表面齐平或低于该基板的该接合表面;
用一或多个保护牺牲层涂布该配线层;
处理该基板;
将该基板、该一或多个保护牺牲层以及该处理的残余物暴露于湿式蚀刻剂达预选的持续时间,该湿式蚀刻剂分解至少一个保护牺牲层;
自该配线层中清洗掉该至少一个保护牺牲层和该处理的该残余物,其中该湿式蚀刻剂包括适用于抑制该导电配线层的溶解的错合剂;及
通过将该基板的该配线层与另一基板的表面直接接合来将该基板堆叠在该另一基板上。
13.如权利要求12所述的方法,其中处理该基板进一步包含:
使用暂时接合层将暂时基板接合至该一或多个保护牺牲层;
在该暂时基板接合至该一或多个保护牺牲层的同时处理该基板;及
移除该暂时基板及该暂时接合层。
14.如权利要求12所述的方法,其进一步包含借由移除该一或多个保护牺牲层而移除该处理的残余物。
15.如权利要求12所述的方法,其进一步包含用该湿式蚀刻剂移除该处理的残余物而不劣化、粗糙化或腐蚀该配线层。
16.如权利要求12所述的方法,其进一步包含移除该处理的残余物而不将该配线层暴露在该湿式蚀刻剂中。
17.如权利要求12所述的方法,其进一步包含在移除该保护牺牲层中的一或多个之前单一化该基板。
18.一种形成微电子装配件的方法,其包含:
提供具有外露的导电配线层的基板,该导电配线层与该基板的接合表面齐平或低于该基板的该接合表面;
用一或多个保护牺牲层涂布该导电配线层;
使用暂时接合层将处置基板接合至该一或多个保护牺牲层;
在该处置基板接合至该基板的同时处理该基板;
移除该处置基板;
移除该暂时接合层;
将该基板、该一或多个保护牺牲层及该暂时接合层的残余物暴露在氧电浆辐射中维持预选的持续时间以修改该一或多个保护牺牲层中的至少一个保护牺牲层的湿气吸收特性;
将该基板、该一或多个保护牺牲层及该暂时接合层的该残余物暴露在湿式蚀刻剂中维持预选的持续时间,该湿式蚀刻剂分解至少一个保护牺牲层,所述一个或多个保护牺牲层包括位于所述导电配线层上方的有机保护层和位于所述有机保护层上方的无机保护层,所述有机保护层适用于保护所述导电配线层不因去除所述无机保护层及该残余物而劣化;及
自该导电配线层中清洗掉该至少一个保护牺牲层及该暂时接合层的该残余物。
19.如权利要求18所述的方法,其进一步包含在保护下方的底层的同时选择性地移除该保护牺牲层。
20.一种形成微电子装配件的方法,其包括:
提供具有外露的导电配线层的基板,该导电配线层与该基板的接合表面齐平或低于该基板的该接合表面;
用一或多个保护牺牲层涂布该导电配线层;
处理该基板;
将该基板、该一或多个保护牺牲层以及该处理的残余物暴露于湿式蚀刻剂达预选的持续时间,该湿式蚀刻剂分解至少一个保护牺牲层,其中该湿式蚀刻剂包括适用于抑制该导电配线层的溶解的错合剂。
21.一种形成微电子装配件的方法,其包括:
提供具有外露的导电配线层的基板,该导电配线层与该基板的接合表面齐平或低于该基板的该接合表面;
用位于该导电配线层上方的有机保护牺牲层和位于该有机保护牺牲层上方的无机保护牺牲层涂布该导电配线层,该有机保护牺牲层适用于保护该导电配线层不因去除该无机保护牺牲层而劣化;
处理基板;
将该基板、该无机保护牺牲层和该处理的残余物暴露于湿式蚀刻剂达预选的持续时间,该湿式蚀刻剂至少分解该无机保护牺牲层而不使该导电配线层劣化、粗糙化或腐蚀。
22.一种形成微电子装配件的方法,其包括:
提供第一基板,其具有与该第一基板的接合表面齐平或低于该第一基板的该接合表面的外露的导电配线层;
用一或多个保护牺牲层涂布该导电配线层;
使用暂时接合层将第二基板接合至该一或多个保护牺牲层;
移除该第二基板;
去除该暂时接合层;
将该第一基板、该一或多个保护牺牲层、以及该暂时接合层的残余物暴露于该湿式蚀刻剂达预选的持续时间,该湿式蚀刻剂分解至少一个保护牺牲层,其中该湿式蚀刻剂包含适用于抑制该导电配线层的溶解的错合剂;及
自该导电配线层中清洗掉该至少一个保护牺牲层和该暂时接合层的残余物。
23.如权利要求22所述的方法,其进一步包括将该第一基板、该一或多个保护牺牲层以及该残余物暴露于氧电浆辐射达预选的持续时间,以在将该第一基板、该一或多个保护牺牲层以及该残余物暴露于湿式蚀刻剂之前,改变该一或多个保护牺牲层的吸湿特性。
24.如权利要求22所述的方法,其进一步包括在将该第二基板接合至该第一基板的同时研磨、蚀刻或减薄该第一基板。
25.如权利要求22所述的方法,其中该保护牺牲层中的一个或多个包括无机二氧化硅(SiO2)、掺杂硼的二氧化硅(B-SiO2)或掺杂磷的二氧化硅(P-SiO2)材料。
26.如权利要求22所述的方法,其中该一或多个保护牺牲层包括位于该导电配线层上方的有机保护层和位于该有机保护层上方的无机保护层,该有机保护层适用于保护该导电配线层以免因该无机保护层及该残余物的移除而劣化。
27.如权利要求22所述的方法,其中该湿式蚀刻剂包括缓冲氧化物蚀刻剂(BHF)或氢氟酸(HF)。
28.如权利要求22所述的方法,其中使用室温制程从该导电配线层去除所述至少一个保护牺牲层和所述暂时接合层的该残余物。
29.如权利要求22所述的方法,其中该一或多个保护牺牲层中的至少一个是使用旋涂、电浆物理气相沉积(PVD)或使用电泳制程来施加。
30.如权利要求22所述的方法,其中该基板在移除该一或多个保护牺牲层中的至少一个之前经单一化。
31.如权利要求30所述的方法,其中该一或多个保护牺牲层中的该至少一个适用于保护该导电配线层免受因单一化该第一基板而产生的残余物的影响。
32.如权利要求22所述的方法,其中该导电配线层不会因暴露于该湿式蚀刻剂而劣化、粗糙化或腐蚀。
33.一种形成微电子装配件的方法,其包括:
提供具有外露的配线层的基板;
用一或多个保护牺牲层涂布该配线层;
单一化该基板;
将该基板和该一或多个保护牺牲层暴露于湿式蚀刻剂达预选的持续时间,该湿式蚀刻剂分解至少一个保护牺牲层,其中该湿式蚀刻剂包括适用于抑制该导电配线层的溶解的错合剂;及
清洗该至少一个保护牺牲层以及从该配线层单一化的残余物。
34.如权利要求33所述的方法,其进一步包括使用不使用粘合剂的直接接合技术将该基板的该配线层接合到另一基板的接合表面。
35.如权利要求33所述的方法,其进一步包括:
使用暂时接合层将暂时基板接合至该一或多个保护牺牲层;
在将该暂时基板接合到该一或多个保护牺牲层的同时处理该基板;和
去除该暂时基板和该暂时粘合层。
36.如权利要求35所述的方法,其进一步包括通过去除该一或多个保护牺牲层来去除该暂时接合层和/或该单一化的残余物。
37.如权利要求33所述的方法,其进一步包括通过去除该一或多个保护牺牲层来去除所述单一化的残余物,而不因该湿式蚀刻剂使该配线层劣化、粗糙化或腐蚀。
38.如权利要求33所述的方法,其进一步包括通过去除该一或多个保护牺牲层来去除该单一化的残余物,而不将该配线层暴露于该湿式蚀刻剂。
39.一种形成微电子装配件的方法,其包括:
提供具有外露的导电配线层的基板;
用一或多个保护牺牲层涂布该导电配线层;
单一化该基板;
将该基板和该一或多个保护牺牲层暴露于湿式蚀刻剂达预选的持续时间,该湿式蚀刻剂分解至少一个保护牺牲层,其中该湿式蚀刻剂包括适用于抑制该导电配线层的溶解的错合剂;
清洗该至少一个保护牺牲层以及从该导电配线层单一化的残余物。
40.一种形成微电子装配件的方法,其包括:
提供基板,该基板具有与该基板的接合表面齐平或低于该基板的该接合表面的暴露的导电配线层;
用位于该导电配线层上方的有机保护牺牲层和位于该有机保护牺牲层上方的无机保护牺牲层涂布该导电配线层,该有机保护牺牲层适用于保护该导电配线层不因去除该无机保护牺牲层而劣化;
将该基板和该无机保护牺牲层暴露于湿式蚀刻剂达预选的持续时间,该湿式蚀刻剂至少分解该无机保护牺牲层而不使该导电配线层劣化、粗糙化或腐蚀。
41.如权利要求40所述的方法,其进一步包括在去除该有机保护牺牲层之前单一化该基板。
42.一种形成微电子装配件的方法,其包括:
提供具有第一基板的第一组件,该第一基板包括位于该第一基板的顶表面处或之下的配线层;
用第一保护层和第二保护层涂布该配线层的顶表面;
将具有第二基板的第二组件通过粘合剂材料接合至该第二保护层。
处理该第一组件;
去除该第二组件;
从该第二保护层去除该粘合剂材料;
将该第一组件、该第一保护层和该第二保护层以及该粘合剂材料的残余物暴露于湿式化学品,该湿式化学品去除该第二保护层而不去除该第一保护层,其中该湿式化学品改变该第一保护层的特性;及
从该基板的该顶表面去除该第一保护层。
43.如权利要求42所述的方法,其进一步包括将该第一基板的该顶表面混合接合至另一组件。
44.如权利要求42所述的方法,其中该湿式化学品改变该第一保护层的吸湿特性。
45.如权利要求42所述的方法,其中该湿式化学品包括缓冲氧化物蚀刻剂或氢氟酸。
46.如权利要求42所述的方法,其中该湿式化学品包括适用于抑制该配线层的溶解的错合剂。
47.一种形成微电子装配件的方法,其包括:
提供具有第一基板的第一组件,该第一基板包括位于该第一基板的顶表面处或之下的配线层;
用一或多个保护层涂布该配线层的该顶表面;
经由粘合剂材料将具有第二基板的第二组件接合至该一或多个保护层;
处理该第一组件;
去除该第二组件;
从该一或多个保护层去除该粘合剂材料;
将该第一组件、该一或多个保护层以及该粘合剂材料的残余物暴露于湿式化学品,该湿式化学品分解至少一个保护层,其中该湿式化学品不会溶解、粗糙化或劣化该配线层;及
从配线层的顶表面去除一层或多层保护层以及残留的粘合剂材料。
48.如权利要求47所述的方法,其进一步包括将该第一基板的该顶表面混合接合至另一组件。
49.如权利要求47所述的方法,其中该湿式化学品包括缓冲氧化物蚀刻剂或氢氟酸。
50.一种形成微电子装配件的方法,其包括:
提供基板,配线层在该基板的接合表面处;
用一或多个保护层涂布该配线层;
将该基板和该一或多个保护层暴露于湿式化学品,该湿式化学品分解一或多个保护层;及
将该基板的该接合表面混合接合至另一组件的另一接合表面。
51.如权利要求50所述的方法,其进一步包括在将该基板和该一或多个保护层暴露于所述湿式化学品之后清洗该一或多个保护层。
52.如权利要求50所述的方法,其中该湿式化学品包括适用于抑制该配线层的溶解的错合剂。
53.如权利要求50所述的方法,其进一步包括将该基板单一化成多个晶粒。
54.如权利要求53所述的方法,其中单一化该基板包括在将该基板和该一或多个保护层暴露于该湿式化学品之前单一化该基板。
55.如权利要求54所述的方法,其进一步包括在单一化之前涂布该配线层。
56.如权利要求53所述的方法,其中单一化该基板包括在将该基板和该一或多个保护层暴露于该湿式化学品之后单一化该基板。
57.如权利要求53所述的方法,其中混合接合该基板的该接合表面包括将该多个晶粒中的至少一个晶粒的接合表面混合接合至该另一组件的该另一接合表面。
58.如权利要求50所述的方法,其进一步包括在将该基板和该一或多个保护层暴露于该湿式化学品之前,将具有第二基板的第二组件经由粘合剂材料接合至该一或多个保护层,处理该基板,并且去除该第二组件。
59.如权利要求58所述的方法,其进一步包括在将该基板和该一或多个保护层暴露于该湿式化学品之前去除该粘合剂材料,该湿式化学品去除该粘合剂的残余物。
60.如权利要求50所述的方法,其中该湿式化学品包括缓冲氧化物蚀刻剂或氢氟酸。
61.如权利要求50所述的方法,其中该一或多个保护层包含有机材料。
CN202410017199.2A 2016-12-28 2017-12-19 堆栈基板的处理 Pending CN117878055A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662439771P 2016-12-28 2016-12-28
US62/439,771 2016-12-28
CN201780083260.1A CN110178212B (zh) 2016-12-28 2017-12-19 堆栈基板的处理
PCT/US2017/067304 WO2018125673A2 (en) 2016-12-28 2017-12-19 Processing stacked substrates

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201780083260.1A Division CN110178212B (zh) 2016-12-28 2017-12-19 堆栈基板的处理

Publications (1)

Publication Number Publication Date
CN117878055A true CN117878055A (zh) 2024-04-12

Family

ID=62625058

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202410017199.2A Pending CN117878055A (zh) 2016-12-28 2017-12-19 堆栈基板的处理
CN201780083260.1A Active CN110178212B (zh) 2016-12-28 2017-12-19 堆栈基板的处理

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201780083260.1A Active CN110178212B (zh) 2016-12-28 2017-12-19 堆栈基板的处理

Country Status (6)

Country Link
US (3) US10707087B2 (zh)
EP (1) EP3563411B1 (zh)
KR (1) KR102320673B1 (zh)
CN (2) CN117878055A (zh)
TW (1) TWI744443B (zh)
WO (1) WO2018125673A2 (zh)

Families Citing this family (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US11069734B2 (en) 2014-12-11 2021-07-20 Invensas Corporation Image sensor device
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
US10762420B2 (en) 2017-08-03 2020-09-01 Xcelsis Corporation Self repairing neural network
TWI822659B (zh) 2016-10-27 2023-11-21 美商艾德亞半導體科技有限責任公司 用於低溫接合的結構和方法
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
US10796936B2 (en) 2016-12-22 2020-10-06 Invensas Bonding Technologies, Inc. Die tray with channels
EP3563411B1 (en) 2016-12-28 2021-04-14 Invensas Bonding Technologies, Inc. Method of processing a substrate on a temporary substrate
US20180182665A1 (en) 2016-12-28 2018-06-28 Invensas Bonding Technologies, Inc. Processed Substrate
KR20230156179A (ko) 2016-12-29 2023-11-13 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 집적된 수동 컴포넌트를 구비한 접합된 구조체
TWI738947B (zh) 2017-02-09 2021-09-11 美商英帆薩斯邦德科技有限公司 接合結構與形成接合結構的方法
US10629577B2 (en) 2017-03-16 2020-04-21 Invensas Corporation Direct-bonded LED arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
US10784191B2 (en) 2017-03-31 2020-09-22 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10529634B2 (en) 2017-05-11 2020-01-07 Invensas Bonding Technologies, Inc. Probe methodology for ultrafine pitch interconnects
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10217720B2 (en) 2017-06-15 2019-02-26 Invensas Corporation Multi-chip modules formed using wafer-level processing of a reconstitute wafer
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US10658313B2 (en) 2017-12-11 2020-05-19 Invensas Bonding Technologies, Inc. Selective recess
US11011503B2 (en) 2017-12-15 2021-05-18 Invensas Bonding Technologies, Inc. Direct-bonded optoelectronic interconnect for high-density integrated photonics
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11256004B2 (en) 2018-03-20 2022-02-22 Invensas Bonding Technologies, Inc. Direct-bonded lamination for improved image clarity in optical devices
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US11244916B2 (en) 2018-04-11 2022-02-08 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) * 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
CN112514059B (zh) 2018-06-12 2024-05-24 隔热半导体粘合技术公司 堆叠微电子部件的层间连接
CN112585740A (zh) 2018-06-13 2021-03-30 伊文萨思粘合技术公司 作为焊盘的tsv
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
US11664357B2 (en) 2018-07-03 2023-05-30 Adeia Semiconductor Bonding Technologies Inc. Techniques for joining dissimilar materials in microelectronics
US11462419B2 (en) 2018-07-06 2022-10-04 Invensas Bonding Technologies, Inc. Microelectronic assemblies
WO2020010136A1 (en) 2018-07-06 2020-01-09 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US11296044B2 (en) 2018-08-29 2022-04-05 Invensas Bonding Technologies, Inc. Bond enhancement structure in microelectronics for trapping contaminants during direct-bonding processes
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
KR20210104742A (ko) 2019-01-14 2021-08-25 인벤사스 본딩 테크놀로지스 인코포레이티드 접합 구조체
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
US11842894B2 (en) 2019-12-23 2023-12-12 Adeia Semiconductor Bonding Technologies Inc. Electrical redundancy for bonded structures
KR20230003471A (ko) 2020-03-19 2023-01-06 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 직접 결합된 구조체들을 위한 치수 보상 제어
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
WO2021236361A1 (en) 2020-05-19 2021-11-25 Invensas Bonding Technologies, Inc. Laterally unconfined structure
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
KR20230097121A (ko) * 2020-10-29 2023-06-30 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 직접 접합 방법 및 구조체
US20220139869A1 (en) * 2020-10-29 2022-05-05 Invensas Bonding Technologies, Inc. Direct bonding methods and structures
US11574817B2 (en) * 2021-05-05 2023-02-07 International Business Machines Corporation Fabricating an interconnection using a sacrificial layer

Family Cites Families (108)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07193294A (ja) 1993-11-01 1995-07-28 Matsushita Electric Ind Co Ltd 電子部品およびその製造方法
KR960009074A (ko) 1994-08-29 1996-03-22 모리시다 요이치 반도체 장치 및 그 제조방법
US6097096A (en) 1997-07-11 2000-08-01 Advanced Micro Devices Metal attachment method and structure for attaching substrates at low temperatures
JP3532788B2 (ja) 1999-04-13 2004-05-31 唯知 須賀 半導体装置及びその製造方法
JP2001094005A (ja) * 1999-09-22 2001-04-06 Oki Electric Ind Co Ltd 半導体装置及び半導体装置の製造方法
JP3440057B2 (ja) 2000-07-05 2003-08-25 唯知 須賀 半導体装置およびその製造方法
US6423640B1 (en) 2000-08-09 2002-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Headless CMP process for oxide planarization
TW522531B (en) * 2000-10-20 2003-03-01 Matsushita Electric Ind Co Ltd Semiconductor device, method of manufacturing the device and mehtod of mounting the device
JP2002353416A (ja) 2001-05-25 2002-12-06 Sony Corp 半導体記憶装置およびその製造方法
US6887769B2 (en) 2002-02-06 2005-05-03 Intel Corporation Dielectric recess for wafer-to-wafer and die-to-die metal bonding and method of fabricating the same
US6762076B2 (en) 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US7105980B2 (en) 2002-07-03 2006-09-12 Sawtek, Inc. Saw filter device and method employing normal temperature bonding for producing desirable filter production and performance characteristics
JP4083502B2 (ja) 2002-08-19 2008-04-30 株式会社フジミインコーポレーテッド 研磨方法及びそれに用いられる研磨用組成物
US7023093B2 (en) 2002-10-24 2006-04-04 International Business Machines Corporation Very low effective dielectric constant interconnect Structures and methods for fabricating the same
US6962835B2 (en) 2003-02-07 2005-11-08 Ziptronix, Inc. Method for room temperature metal direct bonding
US6908027B2 (en) 2003-03-31 2005-06-21 Intel Corporation Complete device layer transfer without edge exclusion via direct wafer bonding and constrained bond-strengthening process
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
FR2857953B1 (fr) * 2003-07-21 2006-01-13 Commissariat Energie Atomique Structure empilee, et procede pour la fabriquer
US6867073B1 (en) 2003-10-21 2005-03-15 Ziptronix, Inc. Single mask via method and device
US7226812B2 (en) * 2004-03-31 2007-06-05 Intel Corporation Wafer support and release in wafer processing
US7326629B2 (en) * 2004-09-10 2008-02-05 Agency For Science, Technology And Research Method of stacking thin substrates by transfer bonding
US20060057945A1 (en) 2004-09-16 2006-03-16 Chia-Lin Hsu Chemical mechanical polishing process
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US7193423B1 (en) 2005-12-12 2007-03-20 International Business Machines Corporation Wafer-to-wafer alignments
US8058101B2 (en) 2005-12-23 2011-11-15 Tessera, Inc. Microelectronic packages and methods therefor
GB2435544B (en) * 2006-02-24 2008-11-19 Oligon Ltd Mems device
US7968379B2 (en) * 2006-03-09 2011-06-28 SemiLEDs Optoelectronics Co., Ltd. Method of separating semiconductor dies
US7750488B2 (en) 2006-07-10 2010-07-06 Tezzaron Semiconductor, Inc. Method for bonding wafers to produce stacked integrated circuits
JP2010500764A (ja) * 2006-08-07 2010-01-07 セミ−フォトニクス カンパニー リミテッド 複数の半導体ダイを分離する方法
US7795113B2 (en) 2006-12-21 2010-09-14 Imec Method for bonding a die or substrate to a carrier
SG10201610631UA (en) * 2006-12-21 2017-02-27 Entegris Inc Liquid cleaner for the removal of post-etch residues
US7803693B2 (en) 2007-02-15 2010-09-28 John Trezza Bowed wafer hybridization compensation
US8349635B1 (en) 2008-05-20 2013-01-08 Silicon Laboratories Inc. Encapsulated MEMS device and method to form the same
US9893004B2 (en) 2011-07-27 2018-02-13 Broadpak Corporation Semiconductor interposer integration
US7867876B2 (en) * 2008-12-23 2011-01-11 International Business Machines Corporation Method of thinning a semiconductor substrate
US8476165B2 (en) 2009-04-01 2013-07-02 Tokyo Electron Limited Method for thinning a bonding wafer
US8482132B2 (en) 2009-10-08 2013-07-09 International Business Machines Corporation Pad bonding employing a self-aligned plated liner for adhesion enhancement
US8252682B2 (en) * 2010-02-12 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for thinning a wafer
US7883991B1 (en) * 2010-02-18 2011-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Temporary carrier bonding and detaching processes
JP5517800B2 (ja) 2010-07-09 2014-06-11 キヤノン株式会社 固体撮像装置用の部材および固体撮像装置の製造方法
SG187551A1 (en) * 2010-07-16 2013-03-28 Advanced Tech Materials Aqueous cleaner for the removal of post-etch residues
FR2966283B1 (fr) 2010-10-14 2012-11-30 Soi Tec Silicon On Insulator Tech Sa Procede pour realiser une structure de collage
US8377798B2 (en) 2010-11-10 2013-02-19 Taiwan Semiconductor Manufacturing Co., Ltd Method and structure for wafer to wafer bonding in semiconductor packaging
US8552536B2 (en) * 2010-12-16 2013-10-08 Qualcomm Mems Technologies, Inc. Flexible integrated circuit device layers and processes
US8620164B2 (en) 2011-01-20 2013-12-31 Intel Corporation Hybrid III-V silicon laser formed by direct bonding
US8796116B2 (en) 2011-01-31 2014-08-05 Sunedison Semiconductor Limited Methods for reducing the metal content in the device layer of SOI structures and SOI structures produced by such methods
US8716105B2 (en) 2011-03-31 2014-05-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures and intermediate structures formed using such methods
US8501537B2 (en) 2011-03-31 2013-08-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures formed using such methods
EP3534399A1 (en) 2011-05-24 2019-09-04 Sony Corporation Semiconductor device
JP5982748B2 (ja) 2011-08-01 2016-08-31 ソニー株式会社 半導体装置、半導体装置の製造方法、および電子機器
WO2013006865A2 (en) * 2011-07-07 2013-01-10 Brewer Science Inc. Methods of transferring device wafers or layers between carrier substrates and other surfaces
US8697493B2 (en) 2011-07-18 2014-04-15 Soitec Bonding surfaces for direct bonding of semiconductor structures
US8441131B2 (en) 2011-09-12 2013-05-14 Globalfoundries Inc. Strain-compensating fill patterns for controlling semiconductor chip package interactions
CN103377911B (zh) 2012-04-16 2016-09-21 中国科学院微电子研究所 提高化学机械平坦化工艺均匀性的方法
US8809123B2 (en) 2012-06-05 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Three dimensional integrated circuit structures and hybrid bonding methods for semiconductor wafers
US9142517B2 (en) 2012-06-05 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding mechanisms for semiconductor wafers
US8987057B2 (en) * 2012-10-01 2015-03-24 Nxp B.V. Encapsulated wafer-level chip scale (WLSCP) pedestal packaging
US20140175655A1 (en) 2012-12-22 2014-06-26 Industrial Technology Research Institute Chip bonding structure and manufacturing method thereof
KR102075635B1 (ko) * 2013-01-03 2020-03-02 삼성전자주식회사 웨이퍼 지지 구조물, 웨이퍼 지지 구조물을 포함하는 반도체 패키지의 중간 구조물, 및 중간 구조물을 이용한 반도체 패키지의 제조 방법
KR102077248B1 (ko) 2013-01-25 2020-02-13 삼성전자주식회사 기판 가공 방법
US8946784B2 (en) 2013-02-18 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for image sensor packaging
US8802538B1 (en) 2013-03-15 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for hybrid wafer bonding
US9443796B2 (en) 2013-03-15 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Air trench in packages incorporating hybrid bonding
WO2014144120A1 (en) * 2013-03-15 2014-09-18 First Solar, Inc. Method of manufacturing a photovoltaic device
US9064937B2 (en) 2013-05-30 2015-06-23 International Business Machines Corporation Substrate bonding with diffusion barrier structures
US9929050B2 (en) 2013-07-16 2018-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming three-dimensional integrated circuit (3DIC) stacking structure
WO2015040784A1 (ja) * 2013-09-17 2015-03-26 パナソニックIpマネジメント株式会社 半導体装置及びその製造方法
US9723716B2 (en) 2013-09-27 2017-08-01 Infineon Technologies Ag Contact pad structure, an electronic component, and a method for manufacturing a contact pad structure
US9257399B2 (en) 2013-10-17 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. 3D integrated circuit and methods of forming the same
JP2015115446A (ja) 2013-12-11 2015-06-22 株式会社東芝 半導体装置の製造方法
US9437572B2 (en) 2013-12-18 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive pad structure for hybrid bonding and methods of forming same
CN105849215B (zh) * 2013-12-26 2019-09-03 日立化成株式会社 临时固定用膜、临时固定用膜片材及半导体装置
WO2015140595A1 (zh) * 2014-03-19 2015-09-24 三星电子株式会社 制造半导体装置的方法
US9230941B2 (en) 2014-03-28 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structure for stacked semiconductor devices
US9299736B2 (en) 2014-03-28 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding with uniform pattern density
US9472458B2 (en) 2014-06-04 2016-10-18 Semiconductor Components Industries, Llc Method of reducing residual contamination in singulated semiconductor die
US9786643B2 (en) * 2014-07-08 2017-10-10 Micron Technology, Inc. Semiconductor devices comprising protected side surfaces and related methods
KR102275705B1 (ko) 2014-07-11 2021-07-09 삼성전자주식회사 웨이퍼 대 웨이퍼 접합 구조
US9401303B2 (en) * 2014-08-01 2016-07-26 Globalfoundries Inc. Handler wafer removal by use of sacrificial inert layer
US9536848B2 (en) 2014-10-16 2017-01-03 Globalfoundries Inc. Bond pad structure for low temperature flip chip bonding
US9394161B2 (en) 2014-11-14 2016-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. MEMS and CMOS integration with low-temperature bonding
KR102327141B1 (ko) * 2014-11-19 2021-11-16 삼성전자주식회사 프리패키지 및 이를 사용한 반도체 패키지의 제조 방법
JP2016146395A (ja) * 2015-02-06 2016-08-12 株式会社テラプローブ 半導体装置の製造方法及び半導体装置
WO2016152598A1 (ja) * 2015-03-23 2016-09-29 富士フイルム株式会社 キットおよび積層体
CA2985729C (en) 2015-05-11 2023-05-09 Mybiotics Pharma Ltd Systems and methods for growing a biofilm of probiotic bacteria on solid particles for colonization of bacteria in the gut
US9656852B2 (en) 2015-07-06 2017-05-23 Taiwan Semiconductor Manufacturing Company Ltd. CMOS-MEMS device structure, bonding mesa structure and associated method
US9455179B1 (en) * 2015-07-09 2016-09-27 International Business Machines Corporation Methods to reduce debonding forces on flexible semiconductor films disposed on vapor-releasing adhesives
US10075657B2 (en) 2015-07-21 2018-09-11 Fermi Research Alliance, Llc Edgeless large area camera system
US9728521B2 (en) 2015-07-23 2017-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bond using a copper alloy for yield improvement
US9559081B1 (en) 2015-08-21 2017-01-31 Apple Inc. Independent 3D stacking
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
JP2017054861A (ja) * 2015-09-07 2017-03-16 株式会社東芝 半導体装置の製造方法
US9496239B1 (en) 2015-12-11 2016-11-15 International Business Machines Corporation Nitride-enriched oxide-to-oxide 3D wafer bonding
US9923011B2 (en) 2016-01-12 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with stacked semiconductor dies
US10026716B2 (en) 2016-04-15 2018-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC formation with dies bonded to formed RDLs
KR102505856B1 (ko) 2016-06-09 2023-03-03 삼성전자 주식회사 웨이퍼 대 웨이퍼 접합 구조체
US9941241B2 (en) 2016-06-30 2018-04-10 International Business Machines Corporation Method for wafer-wafer bonding
US10163750B2 (en) 2016-12-05 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure for heat dissipation
JP6512454B2 (ja) * 2016-12-06 2019-05-15 パナソニックIpマネジメント株式会社 素子チップの製造方法
US10453832B2 (en) 2016-12-15 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Seal ring structures and methods of forming same
US20180182665A1 (en) 2016-12-28 2018-06-28 Invensas Bonding Technologies, Inc. Processed Substrate
EP3563411B1 (en) 2016-12-28 2021-04-14 Invensas Bonding Technologies, Inc. Method of processing a substrate on a temporary substrate
US10431614B2 (en) 2017-02-01 2019-10-01 Semiconductor Components Industries, Llc Edge seals for semiconductor packages
JP6640780B2 (ja) 2017-03-22 2020-02-05 キオクシア株式会社 半導体装置の製造方法および半導体装置
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10580823B2 (en) 2017-05-03 2020-03-03 United Microelectronics Corp. Wafer level packaging method
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US11251157B2 (en) 2017-11-01 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure with hybrid bonding structure and method of fabricating the same and package

Also Published As

Publication number Publication date
TW201826335A (zh) 2018-07-16
US20230008039A1 (en) 2023-01-12
CN110178212B (zh) 2024-01-09
US20200388503A1 (en) 2020-12-10
KR20190092574A (ko) 2019-08-07
WO2018125673A2 (en) 2018-07-05
CN110178212A (zh) 2019-08-27
KR102320673B1 (ko) 2021-11-01
EP3563411A2 (en) 2019-11-06
TWI744443B (zh) 2021-11-01
EP3563411A4 (en) 2020-11-04
EP3563411B1 (en) 2021-04-14
WO2018125673A3 (en) 2018-08-02
US10707087B2 (en) 2020-07-07
US11348801B2 (en) 2022-05-31
US20180182639A1 (en) 2018-06-28

Similar Documents

Publication Publication Date Title
CN110178212B (zh) 堆栈基板的处理
US20220139867A1 (en) Direct bonding methods and structures
US11037919B2 (en) Techniques for processing devices
US11652083B2 (en) Processed stacked dies
US20220139869A1 (en) Direct bonding methods and structures
CN110546754B (zh) 晶粒处理
WO2019204532A1 (en) Dbi to si bonding for simplified handle wafer
US7846776B2 (en) Methods for releasably attaching sacrificial support members to microfeature workpieces and microfeature devices formed using such methods
KR20040025951A (ko) 반도체 소자의 백그라인딩 방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination