KR20210104742A - 접합 구조체 - Google Patents

접합 구조체 Download PDF

Info

Publication number
KR20210104742A
KR20210104742A KR1020217019781A KR20217019781A KR20210104742A KR 20210104742 A KR20210104742 A KR 20210104742A KR 1020217019781 A KR1020217019781 A KR 1020217019781A KR 20217019781 A KR20217019781 A KR 20217019781A KR 20210104742 A KR20210104742 A KR 20210104742A
Authority
KR
South Korea
Prior art keywords
bonding
reconstructed
protective material
carrier
layer
Prior art date
Application number
KR1020217019781A
Other languages
English (en)
Inventor
벨가셈 하바
라예쉬 카트카르
일야스 모하메드
하비에르 에이. 드라크루즈
Original Assignee
인벤사스 본딩 테크놀로지스 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인벤사스 본딩 테크놀로지스 인코포레이티드 filed Critical 인벤사스 본딩 테크놀로지스 인코포레이티드
Publication of KR20210104742A publication Critical patent/KR20210104742A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L24/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/561Batch processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/568Temporary substrate used as encapsulation process aid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3135Double encapsulation or coating and encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/10Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers
    • H01L25/105Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers the devices being of a type provided for in group H01L27/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/6834Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to protect an active side of a device or wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68381Details of chemical or physical process used for separating the auxiliary support from a device or wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/0557Disposition the external layer being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • H01L2224/0618Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/06181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08121Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the connected bonding areas being not aligned with respect to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/08146Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the bonding area connecting to a via connection in the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • H01L2224/091Disposition
    • H01L2224/0918Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/09181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80003Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/80006Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8034Bonding interfaces of the bonding area
    • H01L2224/80357Bonding interfaces of the bonding area being flush with the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80986Specific sequence of steps, e.g. repetition of manufacturing steps, time sequence
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/96Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being encapsulated in a common layer, e.g. neo-wafer or pseudo-wafer, said common layer being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1017All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support
    • H01L2225/1035All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support the device being entirely enclosed by the support, e.g. high-density interconnect [HDI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/96Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being encapsulated in a common layer, e.g. neo-wafer or pseudo-wafer, said common layer being separable into individual assemblies after connecting

Abstract

접합 구조체는 제1 소자를 포함하고, 제1 접합면을 포함하는 제1면 및 제1면의 반대편의 제2면을 갖는 제1 재구성된 소자를 포함할 수 있다. 제1 재구성된 소자는 제1 소자의 제1 측벽면 주위에 배치된 제1 보호 재료를 포함할 수 있다. 접합 구조체는 제2 소자를 포함하고 제2 접합면을 포함하는 제1면 및 제1면의 반대편의 제2면을 갖는 제2 재구성된 소자를 포함할 수 있다. 제1 재구성된 소자는 제2 소자의 제2 측벽면 주위에 배치된 제2 보호 재료를 포함할 수 있다. 제2 재구성된 소자의 제1면의 제2 접합면은 접합 계면을 따라 개재된 접착제 없이 제1 재구성된 소자의 제1면의 제1 접합면에 직접 접합되어 있을 수 있다.

Description

접합 구조체
본 기술분야는 접합 구조체 및 이를 형성하는 방법에 관한 것이다.
본 출원은 2019년 1월 14일에 출원된 미국 특허 가출원 제62/792,360호에 대한 우선권을 주장하며, 그 전체 내용은 그 전체가 모든 목적을 위해 참조로써 포함된다.
다양한 패키징 배치에서, 로우-프로파일 패키지 내에 다수의 집적된 디바이스 다이를 적층하는 것이 유리할 수 있다. 예를 들어, 3차원(3D) 집적 기술은 종종 두 개 이상의 집적된 디바이스 다이가 위에 적층되고 두 개 이상의 집적된 디바이스 다이가 서로 전기적으로 연결된 패키지를 사용한다. 기존의 다이 박형화 및/또는 3D 집적 방법은 조립 중에 다이에 가해지는 응력이 적층되어 있는 다이를 손상시킬 수 있고, 적층되어 있는 다이를 안정적으로 정렬하고 연결하는 것이 어려울 수 있기 때문에 제품 수율이 제한될 수 있다. 따라서, 집적 디바이스 다이를 적층하기 위한 개선된 시스템 및 방법에 대한 지속적인 요구가 남아있다.
일 실시예에서, 접합 구조체가 개시된다. 접합 구조체는 제1 소자를 포함하고, 제1 접합면을 포함하는 제1면 및 제1면의 반대편의 제2면을 갖는 제1 재구성된 소자를 포함할 수 있다. 제1 재구성된 소자는 제1 소자의 제1 측벽면 주위에 배치된 제1 보호 재료를 포함할 수 있다. 접합 구조체는 제2 소자를 포함하고 제2 접합면을 포함하는 제1면 및 제1면의 반대편의 제2면을 갖는 제2 재구성된 소자를 포함할 수 있다. 제1 재구성된 소자는 제2 소자의 제2 측벽면 주위에 배치된 제2 보호 재료를 포함할 수 있다. 제2 재구성된 소자의 제1면의 제2 접합면은 접합 계면을 따라 개재된 접착제 없이 제1 재구성된 소자의 제1면의 제1 접합면에 직접 접합되어 있을 수 있다. 제1 보호 재료는 제1 접합면과 같은 높이에 있고, 제2 보호 재료는 제2 접합면과 같은 높이에 있을 수 있다.
다른 실시예에서, 접합 구조체가 개시된다. 접합 구조체는 제1 소자를 포함하고 제1면 및 제1면의 반대편의 제2면을 갖는 제1 재구성된 소자를 포함할 수 있다. 접합 구조체는 제2 소자를 포함하고 제1면과 제1면의 반대편의 제2면을 갖는 제2 재구성된 소자 - 제2 재구성된 소자의 제1면이 접합 계면을 따라 개재된 접착제 없이 제1 재구성된 소자의 제1면에 직접 접합되어 있음 - 를 포함할 수 있다. 접합 구조체는 제1 및 제2 소자의 각각의 제1면 및 제2면 주위에 배치된 보호 재료를 포함할 수 있다. 접합 구조체는 제1 및 제2 소자 사이에 배치되어 있는 비전도성층으로서, 보호 재료와 비전도성층 사이에 계면이 제공될 수 있도록 제1 및 제2 소자의 제1면 및 제2면 중 적어도 하나와 같은 높이에 있는 비전도성층을 포함할 수 있다.
다른 실시예에서, 접합 구조체가 개시된다. 접합 구조체는 복수의 제1 소자를 포함하는 제1 재구성된 웨이퍼를 포함할 수 있다. 접합 구조체는 복수의 제2 소자를 포함하는 제2 재구성된 웨이퍼를 포함할 수 있다. 제1 및 제2 재구성된 웨이퍼는 접착제 없이 서로 직접 접합되어 있을 수 있다.
다른 실시예에서, 접합 방법이 개시된다. 접합 방법은 복수의 제1 소자 위에 제1 보호 재료를 도포하여 제1 재구성된 웨이퍼를 형성하는 단계를 포함할 수 있다. 접합 방법은 복수의 제2 소자 위에 제2 보호 재료를 도포하여 제2 재구성된 웨이퍼를 형성하는 단계를 포함할 수 있다. 접합 방법은 접착제 없이 제1 재구성된 웨이퍼를 제2 재구성된 웨이퍼에 직접 접합하는 단계를 포함할 수 있다.
다른 실시예에서, 접합 방법이 개시된다. 접합 방법은 접착제 없이 제1 소자를 캐리어에 직접 접합하는 단계를 포함할 수 있다. 캐리어는 실리콘 캐리어의 표면 상에 직접 배치되어 있는 실리콘 산화물층을 갖는 실리콘 캐리어를 포함할 수 있다. 실리콘 산화물층은 제1 소자에 직접 접합되어 있고, 네이티브 산화물층 또는 열 산화물층을 포함할 수 있다.
이 양태들 및 다른 양태들은 바람직한 실시예들에 대한 다음의 설명 및 본 발명을 예시하고 제한하기위한 것이 아닌 첨부 도면으로부터 명백할 것이다.
도 1의 (A) 내지 (C)는 다양한 직접 접합 공정에서 희생 캐리어의 사용을 개략적으로 도시한다.
도 2는 캐리어에 직접 접합된 복수의 소자를 도시한다.
도 3의 (A) 내지 (C)는 소자가 접착제 없이 캐리어에 직접 접합되어 있는 다양한 예를 도시한다.
도 4의 (A)는 캐리어에 직접 접합되어 있고 소자들 사이의 갭 내에 보호 재료가 도포된 복수의 소자의 개략적인 측면도이다.
도 4의 (B)는 캐리어에 직접 접합되어 있는 하나 이상의 더미 소자를 포함하는 복수의 소자의 개략적인 측면도이다.
도 5의 (A) 내지 (C)는 재구성된 웨이퍼를 형성하기 위한 일련의 처리 단계를 도시한다.
도 6은 다른 재구성된 웨이퍼 또는 기판에 직접 접합하도록 구성된 접합층을 갖는 재구성된 웨이퍼의 개략적인 단면도이다.
도 7의 (A)는 직접 본딩 이전의 2개의 대향하는 재구성된 웨이퍼를 도시한다.
도 7의 (B)는 서로 직접 접합된 후 두 개의 대향하는 재구성된 웨이퍼를 도시한다.
도 8의 (A) 및 (B)는 다양한 실시예들에 따라 2개 이상의 재구성된 웨이퍼를 적층하는 방법 및 구조를 도시한다.
도 9의 (A) 내지 (F)는 다양한 실시예들에 따른 다양한 페이스 업(face up) 본딩 구조체들을 도시한다.
도 10의 (A) 내지 (E)는 다양한 실시예들에 따른 다양한 페이스 다운(face down) 본딩 구조체들을 도시한다.
도 11은 추가 충진 재료가 제2 보호 재료로서 작용할 수 있고 인접한 소자들 사이의 갭에서 컨포멀 보호 재료 상에 제공될 수 있는 다른 실시예를 도시한다.
도 12의 (A) 내지 (C)는 다양한 실시예들에 따라 재구성된 웨이퍼를 형성하는 방법을 도시한다.
도 13의 (A) 및 (B)는 다양한 실시예들에 따라 재구성된 웨이퍼를 형성하는 방법을 도시한다.
도 14의 (A) 내지 (C)는 캐리어에 직접 접합되어 있는 인접 소자들 사이에 몰드 화합물이가 제공될 수 있고, 몰드 화합물 상에 금속이 제공될 수 있는 또 다른 실시예를 도시한다.
도 15의 (A) 내지 (C)는 캐리어에 직접 접합되어 있는 인접 소자들 사이에 몰드 화합물이가 제공될 수 있고, 몰드 화합물의 양면에 금속이 제공될 수 있는 또 다른 실시예를 도시한다.
도 16의 (A) 내지 (C)는 몰드 화합물과와 캐리어 사이에 보호 코팅 또는 층이 제공될 수 있는 또 다른 실시예를 도시한다.
도 17의 (A) 내지 (D)는 본 명세서에 개시된 방법으로 제공될 수 있는 추가적인 접합 구조체들을 도시한다.
도 18은 다양한 실시예들에 따른 하나 이상의 접합 구조체를 포함하는 시스템의 개략도이다.
본 명세서에 개시된 다양한 실시예는 제1면 및 제1면의 반대편의 제2면을 갖는 제1 소자(예를 들어, 제1 집적 디바이스 다이)를 포함하는 접합 구조체에 관한 것이다. 접합 구조체는 제1면 및 제1면의 반대편의 제2면을 갖는 제2 소자(예를 들어, 제2 집적 디바이스 다이)를 포함할 수 있다. 제2 집적 디바이스 다이의 제1면은 본딩 계면을를 따라 개재되는 접착제 없이 제1 집적 디바이스 다이의 제1면에 직접 접합될 수 있다. 보호 재료는 제1 및 제2 집적 디바이스 다이의 주변(예를 들어, 각각의 측벽) 주위에 배치될 수 있다. 보호 재료는 제1 집적 디바이스 다이의 제2면으로부터 제2 집적 디바이스 다이의 제2면으로 연장될 수 있다. 다양한 실시예들에서, 보호 재료의 일부는 인접한 제1 집적 디바이스 다이 또는 소자 사이의 갭 내에 배치될 수 있다. 일부 실시예들에서, 보호 재료는 실리콘 이산화물, 실리콘 질화물, 폴리 실리콘, 비정질 실리콘 등과 같은 무기 유전체를 포함할 수 있다.
본 명세서에 개시된 실시예들은 캐리어로서 기능하는 웨이퍼 또는 기판에 복수의 집적 디바이스 다이 및 집적 디바이스 다이 상의 보호 재료(하나 또는 복수의 보호층을 포함할 수 있음)가 제공되는 웨이퍼 레벨 프로세스를 포함할 수 있다. 다이(들) 및 보호 재료는 유사한 공정에 의해 형성된 다른 재구성된 웨이퍼에 접합(예를 들어, 접착제 없이 직접 접합)될 수 있는 재구성된 웨이퍼의 적어도 일부를 형성할 수 있다. 접합된 재구성된 웨이퍼는 예를 들어 캐리어의 제거 후에 복수의 접합 구조체를 형성하도록 단일화될 수 있다. 접합 구조체는 일부 실시예들에서 패키징 구조를 포함할 수 있다. 본 명세서에서 사용된 바와 같이, 직접 접합 상호 연결부 또는 DBI®는 조밀하게 분산된 전도성 접촉부가 개재되는 접착제 없이 서로 접합되어 있는 접합 구조체를 포함할 수 있다. 다양한 실시예들에서, 주변 유전체 또는 비전도성 재료는 또한 개재되는 접착제 없이 직접 접합될 수 있다. ZiBond® 공정은 개재되는 접착제 없이 비전도성 재료 사이의 직접 접합을 구성할 수 있다. DBI 및 ZiBond 프로세스 및 구조의 예는 적어도 미국 특허 제9,391,143호, 제10,141,218호, 제10,147,641호, 제9,431,368호, 및 제7,126,212호를 통해 알 수 있고, 이들 각각의 전체 내용은 그 전체가 모든 목적을 위해 본원에 참고로 포함된다. 캐리어에 장착된 각각의 싱귤레이팅된 다이는 장착 전에 테스트될 수 있으므로, 재구성된 웨이퍼의 모든 다이가 KGD(Known Good Dies)가 될 수 있다.
도 1의 (A) 내지 (C)는 다양한 직접 접합 공정에서 희생 캐리어(3)의 사용을 개략적으로 도시한다. 도시된 바와 같이, 일부 실시예에서, 소자(2)는 접착제 없이 캐리어(3)에 직접 접합될 수 있다. 이 응용 전반에 걸쳐, 소자(2)(또는 본 명세서에 설명된 임의의 다른 소자)는 반도체 소자(예를 들어, 집적 디바이스 다이), 광학 소자 등과 같은 임의의 적절한 유형의 소자를 포함할 수 있다. 캐리어(3)는 하나 이상의 로직 또는 처리 장치를 갖는 캐리어, 및/또는 처리 중에 일부 지점에서 제거될 희생 캐리어(즉, 능동 처리 회로가 없는 캐리어)와 같은 임의의 적절한 유형의 캐리어를 포함할 수 있다.
소자(2)는 전면(9) 및 전면(9)의 반대편의 배면(10)을 포함할 수 있다. 다양한 실시예들에서, 전면(9)은 소자(2)에 형성된 능동 회로 또는 장치에 가장 가까운 표면을 포함할 수 있다. 제1 전면 접합층(4)은 소자(2)의 전면(9)에 제공될 수 있다. 접합층(4)이 소자(2)의 전면(9)에 도시되어 있지만, 접합층은 접합을 위해 배면(10)에도 제공될 수 있거나 또는 배면(10)에만 제공될 수 있다. 접합층(4)은 비전도성 필드 영역(5) 내에 배치되거나 또는 그에 의해 둘러싸인 하나 또는 복수의 접촉 패드(6)를 포함할 수 있다. 일부 실시예에서, 접촉 패드는 구리를 포함할 수 있지만, 다른 전도성 재료도 적합하다. 일부 실시예에서, 비전도성 필드 영역은 실리콘 산화물, 실리콘 질화물 등과 같은 유전체를 포함할 수 있다. 배면(10)은 능동 회로 또는 장치를 포함하거나 포함하지 않을 수 있다. 다양한 실시예들에서, 소자(2)는 측면(8)을 갖는 싱귤레이팅된 소자(예를 들어, 싱귤레이팅된 디바이스 다이)를 포함할 수 있다. 측면(8)은 싱귤레이션 프로세스를 지시하는 마킹, 예를 들어 톱니 마킹, 에칭 패턴 등을 포함할 수 있다.
전술한 바와 같이, 그리고 도 1의 (A)에 도시된 바와 같이, 소자(2)(예를 들어, 다이)는 접합 계면(12)을 따라 개재되는 접착제 없이 캐리어(3)에 직접 접합될 수 있다. 전술한 바와 같이, 전면 접합층(4)은 접합을 위해 준비될 수 있다. 예를 들어, 전면 접합층(4)은 유전체-대-유전체 직접 접합을 향상시킬 수 있도록, 매우 낮은 표면 거칠기로 연마될 수 있고 처리될 수 있다. 일부 실시예에서, 접합될 표면은 적합한 화학종(species)으로 마무리되고 접합 전에 활성화될 수 있다. 예를 들어, 일부 실시예에서, 접합될 표면은 활성화를 위해 매우 가볍게 에칭될 수 있고 질소 함유 용액에 노출되고 질소 함유 화학종으로 종결될 수 있다. 일례로서, 접합될 표면은 매우 가볍게 에칭 후 암모니아 딥 및/또는(별도 에칭 유무에 관계없이) 질소 함유 플라즈마에 노출될 수 있다.
표면이 준비되면, 소자(2)의 비전도성 필드 영역(5)은 캐리어(3)의 대응하는 비전도성 영역과 접촉하게 될 수 있다. 활성화된 표면의 상호 작용은, 개재되는 접착제 없이, 외부 압력의 적용없이, 전압의 적용없이, 실온에서, 소자(2)의 비전도성 영역(5)이 캐리어(3)의 대응하는 비전도성 영역과 직접 접합하게 할 수 있다. 다양한 실시예들에서, 비전도성 영역의 접합력은 반데르발스 결합보다 더 큰 공유 결합일 수 있다. 일부 실시예에서, 소자(2)의 비전도성 필드 영역만이 캐리어(3)의 대응하는 비전도성 영역에 직접 접합된다. 그러나, 다른 실시예에서, 소자(2)의 접촉 패드(6)는 캐리어(3)의 대응하는 전도성 접촉에 직접 접합될 수 있고, 비전도성 영역(5)은 또한 캐리어(3)의 비전도성 영역에 직접 접합될 수 있다. 이러한 실시예에서, 접촉부의 직접 접합은 캐리어(3)에 대한 소자(2)의 정렬을 개선할 수 있다. 본 명세서에 개시된 실시예에서, 직접 접합의 사용은 개재되는 접착제를 사용하는 구현 방식에 비해 조립 중의 이동을 감소시킬 수 있다.
도 1의 (B)에 도시된 바와 같이, 보호 재료(7)는 소자(2)의 적어도 주변 또는 측면(8)을 포함하는 소자(2)의 적어도 일부 상에 도포될(applied) 수 있다. 일부 실시예에서, 보호 재료(7)는 측면(8)을 따라 캐리어(3)의 상면 상에 증착될 수 있다. 보호 재료(7)는 실리콘 산화물, 실리콘 질화물, 폴리실리콘, 비정질 실리콘, 금속 등과 같은 하나 이상의 무기층을 포함하는 하나 이상의 보호층을 포함할 수 있다.
도 1의 (C)에 도시된 바와 같이, 캐리어(3)는 임의의 적절한 방식으로 소자(2) 및 보호 재료(7)로부터 제거될 수 있다. 예시된 실시예에서, 캐리어(3)는 나노 산화물층(11)을 갖는 실리콘 기판 또는 소자를 포함할 수 있으며, 여기에서 사용되는 바와 같이 네이티브 실리콘 산화물층 및 열 실리콘 산화물층 중 적어도 하나를 포함할 수 있다. 본 명세서에 개시된 각각의 실시예는 나노 산화물층(11)을 갖는 캐리어를 이용할 수 있다. 일부 실시예에서, 캐리어 제거 공정에서 캐리어(3)는 실리콘 나노 산화물층(11)을 에칭 스톱(etch stop)으로서 사용하여 선택적으로 에칭될 수 있다. 일부 실시예에서, 나노 산화물(11) 층의 적어도 일부는 캐리어(3)의 실리콘 기재를 제거한 후에 남아있을 수 있다. 다른 실시예에서, 캐리어(3)(예를 들어, 실리콘 기재 및 나노 산화물층(11))의 전체가 제거될 수 있다. 나노 산화물층(11)을 이용하는 실시예에서, 소자(2)는 접합을 위해 평탄화될 수 있지만, 캐리어(3)는 직접 접합 전에 평탄화되지 않을 수 있다. 다른 실시예에서, 소자(2) 및 캐리어(3) 모두는 직접 접합을 위해 평탄화될 수 있다. 본 명세서에 기술된 바와 같이 캐리어(3)의 직접 본딩 및 후속 제거는 유리하게는 추가적인 직접 본딩 프로세스를 포함하여 원하는 대로 추가 처리를 위해 재구성된 웨이퍼를 위한 평면형 표면을 남길 수 있다. 대조적으로, 희생 또는 임시 접합층(예를 들어, 테이프 또는 필름)에 형성된 재구성된 웨이퍼는 평면형 표면을 안정적으로 제공하지 않으므로, 예를 들어 적층을 위한 다이의 후속 직접 접합과 같은 후속 정렬 문제로 이어질 수 있다. 직접 본딩을 통한 이러한 적층은 제1 재구성된 웨이퍼 상에 개별적인 제2 다이를 직접 접합하거나, 또는 제2 재구성된 웨이퍼에서 다수의 제2 다이를 동시에 접합할 수 있다.
유익하게도, 도 1의 (A) 내지 (C)의 실시예는 개선된 정렬 정확도로 직접 접합을 위해 웨이퍼의 재구성을 가능하게 할 수 있다. 예를 들어, 하나의 소자(2) 또는 다이가 도 1의 (A) 내지 (C)에 도시되어 있지만, 아래에 도시된 바와 같이, 다수의 다이의 어레이가 제공될 수 있음을 이해해야 한다. 소자(2)(예를 들어, 다이)를 캐리어(3)에 접합하기 위해 접착제가 사용되는 다른 응용에서, 소자(2) 또는 다이는 예를 들어, 가열 중 또는 후 또는 접착을 위해 배치하는 중에 접착제의 이동 또는 마이그레이션으로 인해 캐리어(3)에 대해 오정렬될 수 있다. 이러한 오정렬은 이후에 접합 구조체에 대한 오정렬을 야기할 수 있고, 접합 구조체의 성능에 부정적인 영향을 미칠 수 있다. 본 명세서에 개시된 실시예는 후속 처리, 예를 들어, 소자(2) 상에 보호 재료(7)(무기 또는 유기)를 제공하는 것, 또는 다른 임의의 적절한 처리를 위해, 소자(2) 또는 다이를 캐리어(3)에 대해 효과적으로 고정하는 역할을 할 수 있는 캐리어(3)와의 직접 접합 인터커넥션을 제공하여 오정렬을 유리하게 감소시킬 수 있다.
도 2는 웨이퍼와 같은 캐리어(3)에 직접 접합된 복수의 소자(2)를 도시한다. 달리 언급되지 않는 한, 도 2의 참조 번호는 도 1의 (A) 내지 (C)의 동일한 번호의 구성 소자와 동일하거나 일반적으로 유사한 구성 소자를 나타낼 수 있다. 도 2에서, 각 소자(2)는 대응하는 접촉 패드(6)의 배면(들)에 연결되어 있는 하나 이상의 전도성 비아(13)를 포함할 수 있다. 도 2에 도시된 바와 같이, 전도성 비아는 처음에 접촉 패드에서 위쪽으로 연장되어 소자(2)의 본체 내에서 종료될 수 있다. 직접 접합 후, 다이 또는 소자(2)는 복수의 다이싱된 또는 싱귤레이팅된 소자(2)로 다이싱되거나 또는 단일화될 수 있다. 본 명세서에 설명된 바와 같이, 나노 산화물층(11)을 사용하는 실리콘 기판의 제거는 후속 직접 접합을 위해 실질적으로 매끄러운 표면을 남길 수 있다.
도 3의 (A) 내지 (C)는 개재되는 접착제 없이 소자(2)(예를 들어, 집적된 디바이스 다이)가 캐리어(3)(예를 들어, 나노 산화물층(11)을 갖는 실리콘 기판)에 직접 접합되어 있는 다양한 예를 보여준다. 도 3의 (A)는 소자(2) 사이의 상대적으로 넓은 틈(separation) 또는 갭(G)을 도시한 반면, 도 3의 (B)는 소자(2) 사이의 상대적으로 좁은 틈 또는 갭(G)을 도시한다. 도 3의 (C)는 상대적으로 좁은 갭(G)을 사이에 두고 활성 소자(2) 또는 다이 사이에 배치된 추가 더미 소자(2') 또는 다이를 도시한다. 도 3의 (B) 및 (C)에서 좁은 갭(G)을 제공하는 것은 후속 단계에서 갭(G)을 채우는 데 사용되는 보호 재료(7)의 양을 유리하게 감소시킬 수 있고 갭(G)의 컨포멀 충진을 가능하게 할 수 있다. 또한, 도 3의 (A) 및 (B)에 도시된 바와 같이, 하나 이상의 정렬 형상부(들)(14)이 캐리어(3)의 상면에 제공될 수 있다. 정렬 형상부(14)는 소자(2)의 정확한 배치를 돕기 위해 캐리어(3) 상에 선택적으로 위치될 수 있다.
도 4의 (A)는 캐리어(3)에 직접 접합되어 있고 보호 재료(7)가 소자(2) 상에 그리고 소자(2) 사이의 갭(G) 내에 도포된 복수의 소자(2)의 개략적인 측면도이다. 도 4의 (A)에서, 소자(2)는 모두 활성 집적 디바이스 다이인 것으로 도시되어 있다. 도 4의 (B)에서, 일부 소자는 반도체 재료의 비활성 블록(예를 들어, 실리콘)과 같은 더미 소자(2')를 포함한다. 도 4의 (A) 및 (B)에서, 보호층(7)(무기 보호층과 같은)은 갭(G) 내의 주변 부분 주위(예를 들어, 측면(8)) 및 상면(도 4의 (A) 및 (B)에서의 뒷면(10))을 포함하는 소자(2)의 부분들 상에 제공될 수 있다. 공극(voids) 또는 갈라진 금(discontinuities)과 같은 이음새(15)가 보호 재료(7)에 존재할 수 있다.
보호층(7)은 예를 들어 무기 또는 유기 보호층(들)을 포함하는 하나 또는 복수의 보호층을 포함할 수 있다. 예시된 실시예에서, 예를 들어, 보호층(7)은 실리콘 산화물, 실리콘 질화물, 폴리 실리콘, 비정질 실리콘, 또는 금속과 같은 무기층(들)을 포함할 수 있다. 다른 실시예에서, 보호 재료(7)의 적어도 일부는 몰딩 화합물 또는 에폭시와 같은 유기 재료를 포함할 수 있다. 일부 실시예에서, 보호 재료(7)는 컨포멀층 및 갭-충진 층 모두를 포함한다. 유리하게는, 보호 재료(7)는 소자(2)가 후속 직접 접합 공정 동안 이동하지 않도록 소자(2)를 캐리어(3)에 부착하는 것을 도울 수 있다. 보호 재료(7)는 또한 다이에 대한 손상(예를 들어, 깨짐(chipping))을 방지하기 위해 연마 및 기타 처리 기술 중에 소자(2)를 보호하는 데 도움을 줄 수 있다. 접합 후 박형화 및/또는 싱귤레이션 공정과 함께 사용하기 위해, 캐리어 상의 인접한 직접 접합된 다이 상에 그리고 그 사이에 보호 재료(7)를 제공하기 위한 구조 및 공정의 예는, 미국 특허 제10,204,893호에 개시되어 있으며, 그 전체 내용은 그 전체가 모든 목적을 위해 본원에 참고로 포함된다.
도 5의 (A) 내지 (C)는 재구성된 웨이퍼(20)를 형성하기 위한 일련의 처리 단계를 도시한다. 재구성된 웨이퍼(20)는 후속 단계에서 다른 재구성된 웨이퍼(20) 또는 다른 기판에 접합(예를 들어, 직접 접합)될 수 있다. 도 5의 (A)에서, 컨포멀 보호 재료(7)의 상면은 예를 들어 에칭, 래핑, 연삭, 연마 등에 의해 제거될 수 있다. 일부 실시예에서, 보호 재료(7)의 제거는 소자(2)의 배면(10)의 일부를 제거할 수도 있다. 다른 실시예에서, 제거 단계는 소자(2)의 배면(10)에서 종료될 수 있다.
도 5의 (B)를 참조하면, 배면(10)으로부터의 소자(2)의 일부는 에칭, 래핑, 화학적 기계적 연마(CMP: chemical mechanical polishing), 또는 임의의 다른 적절한 방법에 의해 제거되어 소자(2)의 얇아진 뒷면(10')을 형성할 수 있다. 도 5의 (A)에 도시된 바와 같이, 이 제거 단계는 기판 비아(TSV)(13) 또는 소자 내에 형성된 다른 전기적 상호 연결을 통해 전도성을 노출시킬 수 있다. 제거 단계는 또한 소자(2)의 얇은 배면(10') 및 보호 재료(7)의 측벽에 의해 적어도 부분적으로 정의된 공동(16)을 형성할 수 있다. 도 5의 (C)에서, 비전도성층(18)(예를 들어, 제2 산화물층)이 소자(2)의 얇은 배면(10') 위에 그리고 노출된 비아(13) 주위에 제공(예를 들어, 증착)될 수 있다. 일부 실시예에서, 제공된 비전도성층(18)(예를 들어, 실리콘 산화물)은 평탄면을 생성하고 비전도성층(18)이 일반적으로 비아(13) 및 보호 재료의 노출된 단부에 대해 평탄하다는 것을 보장하기 위해 랩핑되거나 연마될 수 있다.
도 5의 (C)에서, 재구성된 웨이퍼(20)는 다른 재구성된 웨이퍼 또는 다른 유형의 기판에 접합(예를 들어, 직접 접합)되도록 구성된 전면(22)을 포함할 수 있다. 재구성된 웨이퍼(20)는 또한 배면(23)을 포함할 수 있다. 도 5의 (C)의 재구성된 웨이퍼(20)에서, 보호 재료(7)는 인접한 소자(2) 사이에 배치될 수 있고 재구성된 웨이퍼(20)의 전면(22)으로부터 캐리어(3)의 상면으로 연장될 수 있다. 소자(2) 위의 비전도성층(18)과 보호 재료(7) 사이에 수직 계면(19)이 정의될 수 있다. 유사하게, 접합층(4)과 보호 재료(7) 사이에 수직 계면(21)이 정의될 수 있다.
도 6은 다른 재구성된 웨이퍼 또는 기판에 직접 접합하도록 구성된 제2 접합층(4b)을 갖는 재구성된 웨이퍼의 개략적인 측면도이다. 도 1의 (A) 내지 도 5의 제1 접합층(4), 접촉부(6), 및 비전도성 필드 영역(5)은 도 6에서 각각 도면 부호 4a, 6a, 및 5a로 다시 번호가 매겨졌다. 도 6에 도시된 바와 같이, 일부 실시예에서, DBI 정렬에 도움이 되는 접합 패드를 제공하기 위해, 필요한 경우, 제 2 접합층(4b), 예를 들어, 교대하는 전도성 접촉부(6b) 및 비전도성 접합 부분(예를 들어, 필드 영역(5b))을 갖는 DBI 층은 추가 접합 연결을 용이하게 하기 위해 비전도성층(18)(예를 들어, 제2 산화물층) 위에 제공될 수 있다. 따라서, 도 6에서, 제2 접합층(4b)은 재구성된 웨이퍼(20)의 다수(예를 들어, 모두)의 소자(2)를 가로질러 연장될 수 있다. 수평 계면(19)은 제2 접합층(4b)과 비전도성층(18) 사이 및 제2 접합층(4b)과 하부 보호 재료(7) 사이에 형성될 수 있다.
도 7의 (A) 및 (B)에서, 2개의 대향하는 재구성된 웨이퍼(20a, 20b)가 제공될 수 있고 직접 접합되어 한 쌍의 접합된 재구성된 웨이퍼(1')를 형성할 수 있다. 도면 부호에는 재구성된 웨이퍼(20a 또는 20b)와의 각각의 연관성을 나타내기 위해 "a" 또는 "b"가 첨부된다. 도 7의 (A)는 직접 본딩 이전의 2개의 대향하는 재구성된 웨이퍼(20a, 20b)를 도시한다. 도 7의 (B)는 서로 직접 접합된 후의 2개의 대향하는 재구성된 웨이퍼(20a, 20b)를 도시한다. 캐리어(3a, 3b)에 대한 직접 접합의 사용은 전도성 및 비전도성 표면의 다이-대-다이 직접 접합을 위해 다이 접합 표면에서 원하는 평면성을 제공한다. 그러나, 다른 실시예에서, 캐리어가 사용되지 않을 수 있고 대신에 재구성된 웨이퍼는 캐리어를 사용하지 않는 몰딩 화합물 또는 봉지재에 적어도 부분적으로 탑재된 소자(예를 들어, 다이)를 포함할 수 있다. 도 7의 (B)에서, 비전도성 보호층은 접합 계면(12)을 따라 접착제 없이 서로 직접 접착될 수 있다. 재구성된 웨이퍼(20a, 20b)의 다른 비전도성 필드 영역(접합층(4a, 4b)의 비전도성 필드 영역(5a, 5b), 비전도층(들)(8) 등)도 접착제에 의해 서로 접합될 수 있다. 또한, 전도성 접촉부(6a, 6b)는 접착제 없이 직접 접합될 수 있다. 일부 실시예에서, 전도성 접촉부(6a, 6b)의 일부 또는 전부는 접합 표면에 대해 초기에 리세스될 수 있다. 접촉부(6a, 6b)가 팽창하여 전기적 접점을 형성하도록 하기 위해, 접합된 웨이퍼(20a, 20b)가 가열될 수 있다. 가열 후, 접촉부(6a 및 6b) 사이의 계면은 접합 계면(12)과 동일한 평면에 있지 않을 수 있다.
추가적인 재구성된 웨이퍼(20a, 20b)는 임의의 개수의 적층된 재구성된 웨이퍼(1')를 제공하도록 도 8의 (A) 및 (B)에 도시된 바와 같이 제공될 수 있다. 적층된 재구성된 웨이퍼(1')는 싱귤레이션 스트리트(streets)(S)를 따라 싱귤레이팅되어 복수의 접합 구조체(1)를 제공할 수 있다. 임의의 적절한 개수의 재구성된 웨이퍼(20a, 20b)가 적층된 재구성된 웨이퍼(1')를 형성하도록 제공될 수 있으며, 이는 또한 임의의 적절한 개수의 접합 구조체(1)를 형성하도록 싱귤레이팅될 수 있다. 싱귤레이션은 도시된 바와 같이 캐리어(3)의 제거 전(희생층인 경우) 또는 싱귤레이션 후일 수 있다. 일부 실시예에서, 도 8의 (A)에 도시된 바와 같이, 두 캐리어(3a, 3b)는 싱귤레이션 전에 제거되지 않을 수 있다. 일부 실시예에서, 도 8의 (B)에 도시된 바와 같이, 하나의 캐리어(3a)는 싱귤레이션 전에 제거될 수 있다. 다른 실시예에서, 두 캐리어(3a, 3b)는 싱귤레이션 전에 제거될 수 있다. 본 명세서에 설명된 바와 같이, 예를 들어 에칭 공정을 사용하여 캐리어(3a 및/또는 3b)의 제거는 추가적인 직접 접합을 용이하게 하기 위해 나노 산화물층(11)을 남길 수 있다.
도 9의 (A) 내지 (F) 및 도 10의 (A) 내지 (E)는 본 명세서에 설명된 방법으로부터 생성될 수 있는 다양한 페이스 업 또는 페이스 다운 접합 구조체(1)를 도시한다. 도 9의 (A) 내지 (F) 및 도 10의 (A) 내지 (E)에 도시된 접합 구조체(1)는 싱귤레이팅된 재구성된 집적 디바이스 다이와 같은 싱귤레이팅된 재구성된 소자(24)를 포함할 수 있다. 개별화된 재구성된 소자(24)는 다양한 실시예에 따라, 싱귤레이팅된 재구성된 웨이퍼(20)로부터 어떤 구조가 생성될 수 있는지를 보여주기 위해 예시적인 목적으로 도 9의 (A), (E), 및 (F)에 도시된다. 도 9의 (A) 내지 (F) 및 도 10의 (A) 내지 (E)에 도시된 바와 같이, 활성 회로 또는 장치에 가장 가까운 표면은 접합 구조체(1)의 전면(22)일 수 있는 반면, 전면(22) 반대편의 표면은 배면(23)일 수 있다. 개별적으로 선택되고 배치된 다이 또는 소자와 달리, 예시된 실시예의 직접 접합된 재구성된 소자(24)는 개재되는 접착제 없이 재구성된 소자(24)의 전도성(예를 들어, 금속) 및 비전도성(예를 들어, 직접 결합을 돕는 질소 및/또는 불소 함량을 포함한 산화물과 같은 무기 유전체) 표면 사이의 직접 접합 계면(12)뿐만 아니라 동일 평면의 측면을 가질 수 있다.
도 9의 (A) 내지 (F)는 페이스 다운 접합 구조의 예를 설명한다. 도 9의 (A)를 참조하면, 싱귤레이팅된 재구성된 소자(24)는 소자(2), 소자(2)의 얇아진 배면(10')에 배치된 비전도성층(18), 및 전면 및 배면(22, 23) 각각의 접합층(4a, 4b)을 포함할 수 있다. 도 9의 (A)에 도시된 바와 같이, 보호 재료(7)는 재구성된 소자(24)의 배면(23)으로부터 전면(22)으로 연장될 수 있다. 따라서, 도 9의 (A)의 실시예에서, 싱귤레이팅된 재구성된 소자(24)는 보호 재료의 외부 노출 표면에 의해 정의된 측벽(25)을 가질 수 있다. 보호 재료(7)와 소자(2), 비전도성층(8), 및 제1 및 제2 접합층(4a, 4b) 사이에 수직 계면(26)이 정의될 수 있다. 따라서 도 9의 (A)의 배치에서, 보호 재료(7)는 보호 재료(7)가 제공되기 전에 적용될 수 있는 접합층(4a, 4b)에 접한다. 다른 실시예에서, 도 6과 관련하여 설명된 바와 같이, 하나 이상의 접합층(4a, 4b)은 측벽(25)이 보호 재료(7) 및 접합층(4a 및/또는 4b)의 측면 에지를 포함할 수 있도록 보호 재료(7) 위로 연장될 수 있다.
도 9의 (B)는 재구성된 소자(24a)의 전면(22a)이 개재되는 접착제 없이 재구성된 소자(24b)의 배면(23b)에 직접 접합되어 접합 구조체(1)를 형성하는 전면-배면 대향(front-to-back) 접합 배치를 도시한다. 도 9의 (B)에서, 보호 재료의 제1 부분(7a)은 재구성된 소자(24a)의 배면(23a)으로부터 접합 계면(12)까지 연장될 수 있다. 보호 재료의 제2 부분(7b)은 접합 계면(12)로부터 재구성된 소자(24b)의 전면(22b)까지 연장될 수 있다.
도 9의 (C)는 재구성된 소자(24a)의 전면(22a)이 개재되는 접착제 없이 재구성된 소자(24b)의 전면(23a)에 직접 접합되어 접합 구조체(1)를 형성하는 전면-전면 대향(front-to-front) 접합 배치를 도시한다. 도 9의 (C)에서, 보호 재료의 제1 부분(7a)은 재구성된 소자(24a)의 배면(23a)으로부터 접합 계면(12)까지 연장될 수 있다. 보호 재료의 제2 부분(7b)은 접합 계면(12)로부터 재구성된 소자(24b)의 배면(23b)까지 연장될 수 있다.
도 9의 (D)는 재구성된 소자(24a)의 배면(23a)이 개재되는 접착제 없이 재구성된 소자(24b)의 배면(23b)에 직접 접합되어 접합 구조체(1)를 형성하는 배면-배면 대향(back-to-back) 접합 배치를 도시한다. 도 9의 (D)에서, 보호 재료의 제1 부분(7a)은 재구성된 소자(24a)의 전면(22a)으로부터 접합 계면(12)까지 연장될 수 있다. 보호 재료의 제2 부분(7b)은 접합 계면(12)으로부터 재구성된 소자(24b)의 전면(22b)까지 연장될 수 있다.
도 9의 (E) 및 (F)는 제2 보호층(40)을 이용하는 싱귤레이팅된 재구성된 소자(24)의 추가 예를 도시한다. 도 9의 (E) 및 (F)의 재구성된 소자(24)를 형성하는 방법에 관한 추가 세부 사항은 이하에서, 예를 들어, 도 11 내지 12의 (C)에서 찾을 수 있다. 예를 들어, 도 9의 (E)의 실시예에서, 제2 보호 재료(40)는 보호 재료(7) 상에 도포될 수 있다. 도 9의 (E)에서, 제2 보호 재료(40)는 접합층(4b)에 인접한 재구성된 소자(24)의 배면(23)에서 노출될 수 있다. 또한, 보호 재료(7)는 접합층(4a)에 인접하고 제2 보호층(40) 아래에 있는 전면(22)에서 노출될 수 있다. 따라서, 도 9의 (E)에서, 측벽(25)은 제1 및 제2 보호 재료(7, 25) 사이의 수평 계면(42)를 포함할 수 있다. 더욱이, 배면(23)에서, 수직 계면(41)이 제1 및 제2 보호 재료(7, 25) 사이에 제공될 수 있다.
도 9의 (F)의 실시예에서, 제2 보호 재료(40)는 또한 보호 재료(7) 상에 도포될 수 있다. 그러나, 도 9의 (E)의 실시예와 달리, 도 9의 (F)에서, 제3 보호층(43)은 제2 보호 재료(40) 상에 제공될 수 있다. 제3 보호층(43)은 재구성된 소자(24)의 배면(23)에서 노출될 수 있다. 따라서, 도 9의 (F)에서, 수직 계면(45)은 보호 재료(7)와 제3 보호 재료(43) 사이에 제공될 수 있다. 수평 계면(46)은 제2 보호 재료(40)와 제3 보호 재료(43) 사이에 제공될 수 있다.
도 10의 (A) 내지 (E)는 전면 접합 구조체(1)의 예를 도시한다. 달리 언급되지 않는 한, 도 10의 (A) 내지 (E)의 도면 부호는 도 9의 (A) 내지 (F)의 도면 부호와 동일하거나 일반적으로 유사한 구성 소자를 지칭할 수 있다. 도 10의 (A)로 돌아가면, 싱귤레이팅된 재구성된 소자(24)가 페이스 업 방향으로 도시된다. 도 10의 (B) 내지 (D)에서, 각각의 재구성된 소자(24a, 24b)는 서로 직접 접합되어 접합 구조체를 형성한다.
도 10의 (B)는 재구성된 소자(24a)의 전면(22a)이 개재되는 접착제 없이 재구성된 소자(24b)의 배면(23b)에 직접 접합되어 접합된 구조(1)를 형성하는 전면-배면 대향 접합 배치를 도시한다. 도 10의 (B)에서, 보호 재료의 제1 부분(7a)은 재구성된 소자(24a)의 배면(23a)으로부터 접합 계면(12)까지 연장될 수 있다. 보호 재료의 제2 부분(7b)은 접합 계면(12)로부터 재구성된 소자(24b)의 전면(22b)까지 연장될 수 있다.
도 10의 (C)는 재구성된 소자(24a)의 배면(23a)이 개재된 접착제 없이 재구성된 소자(24b)의 배면(23b)에 직접 접합되어 접합 구조체(1)를 형성하는 배면-배면 대향 접합 배치를 도시한다. 도 10의 (C)에서, 보호 재료의 제1 부분(7a)은 재구성된 소자(24a)의 전면(22a)으로부터 접합 계면(12)까지 연장될 수 있다. 보호 재료의 제2 부분(7b)은 접합 계면(12)로부터 재구성된 소자(24b)의 전면(22b)까지 연장될 수 있다.
도 10의 (D)는 재구성된 소자(24a)의 전면(22a)이 접합 구조체(1)를 형성하기 위해 개재되는 접착제 없이 재구성된 소자(24b)의 전면(22b)에 직접 접합되어 있는 전면-전면 접합 배치를 도시한다. 도 10의 (D)에서, 보호 재료의 제1 부분(7a)은 재구성된 소자(24a)의 배면(23a)으로부터 접합 계면(12)까지 연장될 수 있다. 보호 재료의 제2 부분(7b)은 접합 계면(12)로부터 재구성된 소자(24b)의 배면(23b)까지 연장될 수 있다. 도 10의 (D)에서, 접합층(4a)은 보호 재료(7a, 7b) 위로 연장될 수 있고 측벽(25) 상에 노출될 수 있다. 도 6과 관련하여 위에서 설명된 바와 같이, 일부 실시예에서, 재구성된 웨이퍼가 싱귤레이팅될 때, 접합층(4a)은 측벽에서 노출되고 측벽(25)에서 보호 재료(7)와 같은 높이를 가질 수 있도록, 접합층(4a)은 보호 재료(7) 상에서 웨이퍼를 가로질러 제공될 수 있다.
도 10의 (E)는 보호 재료(7)의 측면 및 상면 위에 배치된 제2 보호 재료(40)를 갖는 싱귤레이팅된 재구성된 소자(24)를 도시한다. 도 9의 (E) 및 (F)의 실시예와 달리, 도 10의 (E)에서, 제1 전면 접합층(4a)은 제2 보호 재료(40)와 동일 평면이거나 또는 같은 높이일 수 있다. 제2 배면 접합층(4b)은 보호 재료(7)와 동일 평면이거나 또는 같은 높이일 수 있다.
도 11은 추가 충진재가 제2 보호 재료(40)로서 작용할 수 있고 인접한 소자(2)들 사이의 갭(G)에서 컨포멀 보호 재료(7) 상에 제공될 수 있다는 점을 제외하고는 전술한 바과 유사한 다른 실시예를 도시한다. 보호 재료(7)는 소자(2)의 배면(10) 및 측면(8) 상에 그리고 캐리어(3)의 상면 상에 컨포멀하게 증착될 수 있다. 컨포멀 보호 재료(7)는 소자(2)의 측면(8) 상에 배치된 보호 재료(7)의 부분들 사이에 갭(G)을 가질 수 있다. 제2 보호 재료(40)는 갭(G)을 채우는 역할을 할 수 있다. 제2 충진 보호 재료(40)는 유기 또는 무기 재료를 포함하는 임의의 적합한 재료를 포함할 수 있다.
도 12의 (A) 내지 (C)는 다양한 실시예에 따라 재구성된 웨이퍼(20)를 형성하는 방법을 도시한다. 도 12의 (A)는 외부 소자(2)의 단부 상에 제2 보호 재료(40)의 추가 부분이 제공된다는 점을 제외하고는 일반적으로 도 11과 유사하다. 도 12의 (B)에서, 보호 재료(7)의 일부 및 제2 충진 보호 재료(40)의 일부는 일반적으로 평평한 표면을 제공하도록 제거될 수 있다. 다양한 실시예들에서, 예를 들어, 충진 및 컨포멀 보호 재료(40, 7)의 각각의 부분은 에칭, 랩핑, 그라인딩, 화학적 기계적 연마(CMP) 등에 의해 제거될 수 있다. 도 12의 (C)에서, 소자(2) 또는 다이(예를 들어, 실리콘)의 벌크 반도체 재료의 일부는 전도성 비아(13)를 노출시키기 위해 예를 들어 에칭, 래핑, CMP 등에 의해 공동(16)을 형성하도록 제거될 수 있다. 컨포멀 및/또는 갭-충진 보호 재료는 소자(2)(예를 들어, 집적 디바이스 다이)의 열팽창 계수의 5ppm/℃ 이내인 열팽창 계수(들)를 가질 수 있다.
도 13의 (A)에서, 제2 충진 보호 재료(40)는 도 12의 (C)에 도시된 구조로부터 제거될 수 있고, 추가 보호 재료(48)가 소자(2) 및 노출된 비아(13) 상에 제공될 수 있다. 도 13의 (B)에서, 제공된 추가 보호 재료(48) 및 보호 재료(7)의 일부는 제거되거나 평탄화되어 비아가 상면에 노출된 접합 표면(49)을 형성할 수 있다.
도 14의 (A) 내지 (C)는 접착제 없이 캐리어(3)에 직접 접합된 인접한 소자(2) 사이에 몰드 화합물(50)이 제공될 수 있는 또 다른 실시예를 도시한다. 도 14의 (A)에서, 비아(13)는 배면에 노출된 것으로 도시되어 있지만, 다른 실시예에서, 비아(13)는 상기에서 예시된 바와 같이 매립될 수 있다. 도 14의 (B)에 도시된 바와 같이, 금속(51)(예를 들어, 구리)이 도 14의 (B)에 도시된 바와 같이 몰드 화합물(51) 상에 제공될 수 있다. 예를 들어, 다양한 실시예들에서, 금속(51)은 무전해 도금 공정, 스퍼터링 공정, 또는 임의의 다른 적절한 방법을 사용하여 제공될 수 있다. 도 14의 (C)에 도시된 바와 같이, 금속(51)은 예를 들어 화학적 기계적 연마(CMP) 또는 임의의 다른 적절한 방법에 의해 평탄화될 수 있다. 일부 실시예에서, 몰드 화합물에 유기 재료를 사용하는 구조는 CMP를 사용하여 충분한 평활도(예를 들어, 5nm 미만 등)로 평탄화하기 어려울 수 있다. 몰드 화합물 위에 금속(51)(예를 들어, 구리)을 제공함으로써, 직접 접합을 위한 충분한 평활도로 평탄화하는 데 CMP 또는 기타 평탄화 공정이 사용될 수 있다.
도 15의 (A) 내지 (C)는 도 15의 (A) 내지 (C)에서 캐리어(3)와 몰드 화합물(50) 사이에서 캐리어(3) 상에 제2 금속(52)이 제공될 수 있다는 점을 제외하고는 일반적으로 도 14의 (A) 내지 (C)와 유사하다. 도 16의 (A) 내지 (C)는 몰드 화합물(50)과 캐리어(3) 사이에 보호 코팅(53) 또는 층(예를 들어, 실리콘 산화물)이 제공될 수 있는 또 다른 실시예를 도시한다. 보호 코팅은 또한 다양한 실시예들에서 다이 배치 후 및 금속 증착 전에 제공될 수 있다. 도 16의 (A)에서, 보호 코팅(53)은 캐리어(3)의 상면과 소자(3)의 상부 및 측면을 컨포멀하게 코팅할 수 있다. 몰드 화합물(50)는 보호 코팅(53) 상에 그리고 소자(2) 사이에 제공될 수 있다. 도 16의 (B)에서, 금속(51)은 전술한 바와 같이 몰드 화합물(50) 상에 제공될 수 있다. 도 16의 (C)에서, 소자(2) 상에 놓인 보호 코팅(53)의 부분은 비아(13)를 노출시키기 위해 연마, 연삭, 또는 래핑 공정을 사용하여 제거될 수 있다. 금속(51) 및 소자(2)는 접합을 위한 매끄러운 표면을 형성하도록 평탄화될 수 있다.
도 17의 (A) 내지 (D)는 본 명세서에 개시된 방법이 제공될 수 있는 추가 접합 구조체(1)를 도시한다. 도 17의 (A)에서, 접합 구조체(1)는 집적된 디바이스 다이 및 인터포저의 조합을 포함할 수 있는 복수의 소자(2)를 포함할 수 있다. 따라서, 여기에 개시된 방법은 활성 및/또는 비활성 장치에 사용될 수 있다. 또한, 도 17의 (A)에 도시된 바와 같이, 상부 재구성된 소자에서 인접한 소자(2)들을 분리하기 위해 절연 컬럼(55)이 제공될 수 있다. 도 17의 (B)에서, 접합 구조체(1)는 신호를 측면 내부 또는 외부로 전달하기 위해 측면 전도성 라우팅 트레이스를 포함할 수 있는 하나 이상의 재분배층(RDL: redistribution layers`)(57)을 포함할 수 있다. RDL(57)은 외부 패키지 기판에 연결하기 위한 팬-인(fan-in) 또는 팬-아웃(fan-out) 배치를 가능하게 할 수 있다. 도 17의 (C)에서, 전도성 비아(56)가 절연 컬럼(56)에 제공되어 하부 소자(2)로부터 접합 구조체(1)의 상면으로 신호를 전달할 수 있다. 도 17의 (D)에서, 접합 구조체(1)는 절연 컬럼(56)의 비아(56) 및 RDL(들)(57) 모두를 포함할 수 있다. 당업자는 추가적인 조합이 적합할 수 있음을 이해할 것이다.
도 18은 다양한 실시예에 따른 하나 이상의 접합 구조체(1)를 포함하는 시스템(80)의 개략도이다.
시스템(80)은 모바일 전자 장치(예를 들어, 스마트 폰, 태블릿 컴퓨팅 장치, 노트북 컴퓨터 등), 데스크탑 컴퓨터, 자동차 또는 그 부품, 스테레오 시스템, 의료 장치, 카메라, 또는 임의의 다른 적합한 유형의 시스템과 같은 임의의 적합한 유형의 전자 장치를 포함할 수 있다. 일부 실시예에서, 전자 장치는 마이크로 프로세서, 그래픽 프로세서, 전자 기록 장치, 또는 디지털 메모리를 포함할 수 있다. 시스템(80)은 예를 들어 하나 이상의 마더 보드를 통해, 시스템(80)에 기계적으로 및 전기적으로 연결된 하나 이상의 장치 패키지(82)를 포함할 수 있다. 각 패키지(82)는 하나 이상의 접합 구조체(1)를 포함할 수 있다. 도 18에 도시된 접합 구조체(1)는 도 1의 (A) 내지 도 17과 관련하여 도시되고 상기에서 설명된 접합 구조체(1) 중 임의의 것을 포함할 수 있다. 접합 구조체(1)는 시스템(80)에 대한 다양한 기능을 수행하는 하나 이상의 집적된 디바이스 다이를 포함할 수 있다.
일 실시예에서, 접합 구조체가 개시된다. 접합 구조체는 제1 소자를 포함하고, 제1 접합면을 포함하는 제1면 및 제1면의 반대편의 제2면을 갖는 제1 재구성된 소자를 포함할 수 있다. 제1 재구성된 소자는 제1 소자의 제1 측벽면 주위에 배치된 제1 보호 재료를 포함할 수 있다. 접합 구조체는 제2 소자를 포함하고 제2 접합면을 포함하는 제1면 및 제1면의 반대편의 제2면을 갖는 제2 재구성된 소자를 포함할 수 있다. 제1 재구성된 소자는 제2 소자의 제2 측벽면 주위에 배치된 제2 보호 재료를 포함할 수 있다. 제2 재구성된 소자의 제1면의 제2 접합면은 접합 계면을 따라 개재된 접착제 없이 제1 재구성된 소자의 제1면의 제1 접합면에 직접 접합되어 있을 수 있다. 제1 보호 재료는 제1 접합면과 같은 높이에 있고, 제2 보호 재료는 제2 접합면과 같은 높이에 있을 수 있다.
다른 실시예에서, 접합 구조체가 개시된다. 접합 구조체는 제1 소자를 포함하고 제1면 및 제1면의 반대편의 제2면을 갖는 제1 재구성된 소자를 포함할 수 있다. 접합 구조체는 제2 소자를 포함하고 제1면과 제1면의 반대편의 제2면을 갖는 제2 재구성된 소자 - 제2 재구성된 소자의 제1면이 접합 계면을 따라 개재된 접착제 없이 제1 재구성된 소자의 제1면에 직접 접합되어 있음 - 를 포함할 수 있다. 접합 구조체는 제1 및 제2 소자의 각각의 제1면 및 제2면 주위에 배치된 보호 재료를 포함할 수 있다. 접합 구조체는 제1 및 제2 소자 사이에 배치되어 있는 비전도성층으로서, 보호 재료와 비전도성층 사이에 계면이 제공될 수 있도록 제1 및 제2 소자의 제1면 및 제2면 중 적어도 하나와 같은 높이에 있는 비전도성층을 포함할 수 있다.
다른 실시예에서, 접합 구조체가 개시된다. 접합 구조체는 복수의 제1 소자를 포함하는 제1 재구성된 웨이퍼를 포함할 수 있다. 접합 구조체는 복수의 제2 소자를 포함하는 제2 재구성된 웨이퍼를 포함할 수 있다. 제1 및 제2 재구성된 웨이퍼는 접착제 없이 서로 직접 접합되어 있을 수 있다.
다른 실시예에서, 접합 방법이 개시된다. 접합 방법은 복수의 제1 소자 위에 제1 보호 재료를 도포하여 제1 재구성된 웨이퍼를 형성하는 단계를 포함할 수 있다. 접합 방법은 복수의 제2 소자 위에 제2 보호 재료를 도포하여 제2 재구성된 웨이퍼를 형성하는 단계를 포함할 수 있다. 접합 방법은 접착제 없이 제1 재구성된 웨이퍼를 제2 재구성된 웨이퍼에 직접 접합하는 단계를 포함할 수 있다.
다른 실시예에서, 접합 방법이 개시된다. 접합 방법은 접착제 없이 제1 소자를 캐리어에 직접 접합하는 단계를 포함할 수 있다. 캐리어는 실리콘 캐리어의 표면 상에 직접 배치되어 있는 실리콘 산화물층을 갖는 실리콘 캐리어를 포함할 수 있다. 실리콘 산화물층은 제1 소자에 직접 접합되어 있고, 네이티브 산화물층 또는 열 산화물층을 포함할 수 있다.
이러한 모든 실시예는 본 개시의 범위 내에 있는 것으로 의도된다. 이들 및 다른 실시예는 첨부된 도면을 참조하는 실시예의 다음의 상세한 설명으로부터 당업자에게 쉽게 명백해질 것이며, 청구 범위는 개시된 임의의 특정 실시예(들)로 제한되지 않는다. 이 특정 실시예 및 예가 본 명세서에 개시되었지만, 개시된 구현이 구체적으로 개시된 실시예를 넘어 다른 대안적인 실시예 및/또는 사용 및 명백한 수정 및 등가물로 확장된다는 것을 당업자는 이해할 것이다. 추가로, 여러 변형이 상세히 도시되고 설명되었지만, 본 개시에 기초하여 다른 변형이 당업자에게 쉽게 명백할 것이다. 또한, 구체 예의 특정 특징 및 양태의 다양한 조합 또는 하위 조합이 만들어질 수 있고 여전히 범위 내에 속한다는 것이 고려된다. 개시된 구현의 다양한 모드를 형성하기 위해 개시된 실시예의 다양한 특징 및 양태가 서로 결합되거나 대체될 수 있음을 이해해야 한다. 따라서, 본 명세서에 개시된 주제의 범위는 위에서 설명된 특정 개시된 실시예들에 의해 제한되어서는 안되며, 후속 청구 범위를 공정하게 판독함으로써 결정되어야 한다는 것이 의도된다.

Claims (39)

  1. 제1 소자를 포함하고, 제1 접합면을 포함하는 제1면 및 상기 제1면의 반대편의 제2면을 갖는 제1 재구성된 소자 - 상기 제1 재구성된 소자는 상기 제1 소자의 제1 측벽면 주위에 배치된 제1 보호 재료를 포함함 - , 그리고
    제2 소자를 포함하고, 제2 접합면을 포함하는 제1면 및 상기 제1면의 반대편의 제2면을 갖는 제2 재구성된 소자 - 상기 제1 재구성된 소자는 상기 제2 소자의 제2 측벽면 주위에 배치된 제2 보호 재료를 포함하고, 상기 제2 재구성된 소자의 제1면의 제2 접합면은 접합 계면을 따라 개재된 접착제 없이 상기 제1 재구성된 소자의 제1면의 제1 접합면에 직접 접합되어 있음 -
    를 포함하고,
    상기 제1 보호 재료는 상기 제1 접합면과 같은 높이에 있고, 상기 제2 보호 재료는 상기 제2 접합면과 같은 높이에 있는,
    접합 구조체.
  2. 제1항에 있어서,
    상기 제1 및 제2 보호 재료는 개재된 접착제 없이 서로 직접 접합되어 있는,
    접합 구조체.
  3. 제1항 또는 제2항에 있어서,
    상기 제1 보호 재료는 상기 제1 소자의 제2면으로부터 상기 접합 계면까지 연장되어 있고, 상기 제2 보호 재료는 상기 접합 계면으로부터 상기 제2 소자의 제2면으로 연장되어 있는,
    접합 구조체.
  4. 제1항 내지 제3항 중 어느 한 항에 있어서,
    상기 제1 보호 재료는 상기 제1 소자의 제2면으로부터 상기 제1 접합층까지 연장되어 있고, 상기 제2 보호 재료는 상기 제2 소자의 제2면으로부터 상기 제2 접합층까지 연장되어 있는,
    접합 구조체.
  5. 제1항 내지 제4항 중 어느 한 항에 있어서,
    상기 제1 및 제2 소자 사이에 배치된 비전도성층을 더 포함하고,
    상기 제1 및 제2 보호 재료와 상기 비전도성층 사이에 계면이 제공될 수 있도록, 상기 비전도성층은 상기 제1 및 제2 소자의 제1 및 제2 측벽 면 중 적어도 하나와 같은 높이에 있는,
    접합 구조체.
  6. 제1항 내지 제5항 중 어느 한 항에 있어서,
    상기 제1 및 제2 소자 중 적어도 하나는 집적된 디바이스 다이를 포함하는 접합 구조체.
  7. 제1항 내지 제6항 중 어느 한 항에 있어서,
    상기 제1 및 제2 보호 재료 중 적어도 하나는 복수의 층을 포함하는 접합 구조체.
  8. 제1항 내지 제7항 중 어느 한 항에 있어서,
    상기 제1 및 제2 재구성된 소자의 각각의 제2면의 표면 거칠기가 2nm 미만인 접합 구조체.
  9. 제1항 내지 제8항 중 어느 한 항에 있어서,
    상기 제1 보호 재료는 실리콘 질화물을 포함하는 접합 구조체.
  10. 제1항 내지 제8항 중 어느 한 항에 있어서,
    상기 보호 재료에 공극을 추가로 포함하는 접합 구조체.
  11. 제1 소자를 포함하고, 제1면 및 상기 제1면의 반대편의 제2면을 갖는 제1 재구성된 소자,
    제2 소자를 포함하고, 제1면과 상기 제1면의 반대편의 제2면을 갖는 제2 재구성된 소자 - 상기 제2 재구성된 소자의 제1면이 접합 계면을 따라 개재된 접착제 없이 상기 제1 재구성된 소자의 제1면에 직접 접합되어 있음 - ,
    상기 제1 및 제2 소자의 각각의 제1면 및 제2면 주위에 배치된 보호 재료, 그리고
    상기 제1 및 제2 소자 사이에 배치되어 있는 비전도성층으로서, 상기 보호 재료와 상기 비전도성층 사이에 계면이 제공될 수 있도록 상기 제1 및 제2 소자의 상기 제1면 및 상기 제2면 중 적어도 하나와 같은 높이에 있는 비전도성층
    을 포함하는 접합 구조체.
  12. 제11항에 있어서,
    상기 보호 재료는 상기 제1 재구성된 소자의 제2면으로부터 상기 제2 재구성된 소자의 제2면으로 연장되어 있는 접합 구조체.
  13. 제11항 또는 제12항에 있어서,
    상기 제1 및 제2 소자 중 적어도 하나는 집적된 디바이스 다이를 포함하는 접합 구조체.
  14. 제11항 내지 제13항 중 어느 한 항에 있어서,
    상기 보호 재료는 상기 제1 재구성된 소자의 제2면에서 상기 접합 계면까지 연장되어 있는 제1 부분 및 상기 제2 재구성된 소자의 제2면에서 상기 접합 계면까지 연장되어 있는 제2 부분을 포함하는 접합 구조체.
  15. 제14항에 있어서,
    상기 제1 부분은 제1 보호층 및 제2 보호층을 포함하고,
    상기 제1 보호층은 상기 제1 집적 디바이스 다이의 제2면으로부터 상기 제2 보호층으로 연장되어 있는 접합 구조체.
  16. 제14항 또는 제15항에 있어서,
    상기 제1 및 제2 부분은 일반적으로 동일 평면(co-planar)인 접합 구조체.
  17. 제11항 내지 제16항 중 어느 한 항에 있어서,
    상기 제1 및 제2 재구성된 소자 각각의 제2면의 표면 거칠기가 2nm 미만인 접합 구조체.
  18. 복수의 제1 소자를 포함하는 제1 재구성된 웨이퍼, 그리고
    복수의 제2 소자를 포함하는 제2 재구성된 웨이퍼,
    를 포함하고,
    상기 제1 및 제2 재구성된 웨이퍼는 접착제 없이 서로 직접 접합되어 있는,
    접합 구조체.
  19. 제18항에 있어서,
    상기 제1 재구성된 웨이퍼를 지지하는 제1 캐리어 및 상기 제2 재구성된 웨이퍼를 지지하는 제2 캐리어를 더 포함하고,
    상기 복수의 제1 소자는 접착제 없이 상기 제1 캐리어에 직접 접합되어 있고, 상기 복수의 제2 소자는 접착제 업이 상기 제2 캐리어에 직접 접합되어 있는,
    접합 구조체.
  20. 제18항 또는 제19항에 있어서,
    상기 제1 재구성된 웨이퍼의 인접한 제1 소자들 사이에 배치된 제1 보호 재료 및 상기 제2 재구성된 웨이퍼의 인접한 제2 소자들 사이에 배치된 제2 보호 재료를 더 포함하고,
    상기 제1 및 제2 보호 재료는 접착제 없이 서로 직접 접합되어 있는 접합 구조체.
  21. 제18항 내지 제20항 중 어느 한 항에 있어서,
    상기 제1 재구성된 웨이퍼 상의 제1 접합층 및 상기 제2 재구성된 웨이퍼 위의 제2 접합층을 더 포함하고, 상기 제1 및 제2 접합층은 접착제 없이 서로 직접 접합되어 있는 접합 구조체.
  22. 복수의 제1 소자 위에 제1 보호 재료를 도포하여 제1 재구성된 웨이퍼를 형성하는 단계,
    복수의 제2 소자 위에 제2 보호 재료를 도포하여 제2 재구성된 웨이퍼를 형성하는 단계, 그리고
    접착제 없이 상기 제1 재구성된 웨이퍼를 상기 제2 재구성된 웨이퍼에 직접 접합하는 단계
    를 포함하는 접합 방법.
  23. 제22항에 있어서,
    상기 복수의 제1 소자를 제1 캐리어에 직접 접합하고 상기 복수의 제2 소자를 제2 캐리어에 직접 접합하는 단계를 더 포함하는 접합 방법.
  24. 제22항 또는 제23항에 있어서,
    상기 직접 접합된 제1 및 제2 재구성된 웨이퍼를 단일화하여 복수의 접합 구조체를 형성하는 단계를 더 포함하는 접합 방법.
  25. 제22항 또는 제23항에 있어서,
    상기 보호 재료는 하나 이상의 무기 보호층을 포함하는 접합 방법.
  26. 제23항에 있어서,
    상기 하나 이상의 무기 보호층은 컨포멀 무기 보호층을 포함하는 접합 방법.
  27. 제26항에 있어서,
    상기 하나 이상의 무기 보호층은 상기 컨포멀 무기 보호층의 부분들 사이에 배치되어 있는 충진재를 포함하는 접합 방법.
  28. 제22항 내지 제27항 중 어느 한 항에 있어서,
    상기 제1 재구성된 웨이퍼를 상기 제2 재구성된 웨이퍼에 직접 접합한 후 상기 제1 캐리어를 제거하는 단계를 더 포함하는 접합 방법.
  29. 제23항 내지 제28항 중 어느 한 항에 있어서,
    상기 제1 캐리어는 웨이퍼를 포함하고, 직접 접합은 산화물 표면에 직접 접합하는 것을 포함하는 접합 방법.
  30. 제29항에 있어서,
    상기 산화물 표면은 실리콘 웨이퍼 상의 네이티브 산화물을 포함하는 접합 방법.
  31. 접착제 없이 제1 소자를 캐리어에 직접 접합하는 단계를 포함하고,
    상기 캐리어는 실리콘 캐리어의 표면 상에 직접 배치되어 있는 실리콘 산화물층을 갖는 실리콘 캐리어를 포함하고,
    상기 실리콘 산화물층은 상기 제1 소자에 직접 접합되어 있고, 네이티브 산화물층 또는 열 산화물층을 포함하는,
    접합 방법.
  32. 제31항에 있어서,
    상기 제1 소자의 주변부의 적어도 일부의 주위를 포함하는 상기 제1 소자의 적어도 일부 상에 보호 재료를 제공하는 단계를 더 포함하는 접합 방법.
  33. 제32항에 있어서,
    복수의 제1 소자를 캐리어에 직접 접합하고 상기 복수의 제1 소자 상에 상기 보호 재료를 도포하는 단계를 더 포함하는 접합 방법.
  34. 제33항에 있어서,
    상기 복수의 제1 소자 중 인접한 제1 소자들 사이의 복수의 갭에 상기 보호 재료의 일부를 제공하는 단계를 더 포함하는 접합 방법.
  35. 제33항 또는 제34항에 있어서,
    상기 복수의 제1 소자를 복수의 싱귤레이팅된 제1 소자로 단일화하는 단계를 더 포함하는 접합 방법.
  36. 제35항에 있어서,
    상기 단일화 전에 상기 캐리어를 제거하는 단계를 더 포함하는 접합 방법.
  37. 제36항에 있어서,
    상기 캐리어를 제거하는 단계는 실리콘 산화물층을 에칭 스톱으로서 사용하여 상기 캐리어를 선택적으로 에칭하는 단계를 포함하는 접합 방법.
  38. 제37항에 있어서,
    상기 캐리어를 제거하는 단계는 선택적으로 에칭하기 전에 그라인딩, 래핑, 또는 폴리싱 중 적어도 하나를 포함하는 접합 방법.
  39. 제33항 내지 제38항 중 어느 한 항에 있어서,
    상기 실리콘 산화물층의 두께는 2 마이크론 미만인 접합 방법.
KR1020217019781A 2019-01-14 2020-01-13 접합 구조체 KR20210104742A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962792360P 2019-01-14 2019-01-14
US62/792,360 2019-01-14
PCT/US2020/013377 WO2020150159A1 (en) 2019-01-14 2020-01-13 Bonded structures

Publications (1)

Publication Number Publication Date
KR20210104742A true KR20210104742A (ko) 2021-08-25

Family

ID=71516846

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217019781A KR20210104742A (ko) 2019-01-14 2020-01-13 접합 구조체

Country Status (4)

Country Link
US (3) US11476213B2 (ko)
KR (1) KR20210104742A (ko)
CN (1) CN113330557A (ko)
WO (1) WO2020150159A1 (ko)

Families Citing this family (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US11069734B2 (en) 2014-12-11 2021-07-20 Invensas Corporation Image sensor device
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US11176450B2 (en) 2017-08-03 2021-11-16 Xcelsis Corporation Three dimensional circuit implementing machine trained network
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
TWI782939B (zh) 2016-12-29 2022-11-11 美商英帆薩斯邦德科技有限公司 具有整合式被動構件的接合結構
WO2018147940A1 (en) 2017-02-09 2018-08-16 Invensas Bonding Technologies, Inc. Bonded structures
US10629577B2 (en) 2017-03-16 2020-04-21 Invensas Corporation Direct-bonded LED arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10217720B2 (en) 2017-06-15 2019-02-26 Invensas Corporation Multi-chip modules formed using wafer-level processing of a reconstitute wafer
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
US11749645B2 (en) 2018-06-13 2023-09-05 Adeia Semiconductor Bonding Technologies Inc. TSV as pad
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
WO2020010056A1 (en) 2018-07-03 2020-01-09 Invensas Bonding Technologies, Inc. Techniques for joining dissimilar materials in microelectronics
US11462419B2 (en) 2018-07-06 2022-10-04 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US11158606B2 (en) 2018-07-06 2021-10-26 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
CN113330557A (zh) 2019-01-14 2021-08-31 伊文萨思粘合技术公司 键合结构
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US20210098412A1 (en) * 2019-09-26 2021-04-01 Invensas Bonding Technologies, Inc. Direct gang bonding methods and structures
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US20210183803A1 (en) * 2019-12-17 2021-06-17 Micron Technology, Inc. Reconstructed wafer to wafer bonding using a permanent bond with laser release
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
WO2021133741A1 (en) 2019-12-23 2021-07-01 Invensas Bonding Technologies, Inc. Electrical redundancy for bonded structures
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
WO2021236361A1 (en) 2020-05-19 2021-11-25 Invensas Bonding Technologies, Inc. Laterally unconfined structure
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
US11764177B2 (en) * 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die

Family Cites Families (334)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0272642A (ja) 1988-09-07 1990-03-12 Nec Corp 基板の接続構造および接続方法
JPH0344067A (ja) 1989-07-11 1991-02-25 Nec Corp 半導体基板の積層方法
US5019673A (en) 1990-08-22 1991-05-28 Motorola, Inc. Flip-chip package for integrated circuits
JPH04337694A (ja) 1991-05-15 1992-11-25 Nec Yamagata Ltd 電子部品保護用樹脂膜
CA2083072C (en) 1991-11-21 1998-02-03 Shinichi Hasegawa Method for manufacturing polyimide multilayer wiring substrate
US6008126A (en) 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
DE69429848T2 (de) 1993-11-01 2002-09-26 Matsushita Electric Ind Co Ltd Elektronische Anordnung und Verfahren zur Herstellung
KR960009074A (ko) 1994-08-29 1996-03-22 모리시다 요이치 반도체 장치 및 그 제조방법
DE4433330C2 (de) 1994-09-19 1997-01-30 Fraunhofer Ges Forschung Verfahren zur Herstellung von Halbleiterstrukturen mit vorteilhaften Hochfrequenzeigenschaften sowie eine Halbleiterwaferstruktur
JP3979687B2 (ja) 1995-10-26 2007-09-19 アプライド マテリアルズ インコーポレイテッド ハロゲンをドープした酸化珪素膜の膜安定性を改良する方法
KR100274333B1 (ko) 1996-01-19 2001-01-15 모기 쥰이찌 도체층부착 이방성 도전시트 및 이를 사용한 배선기판
US5956605A (en) 1996-09-20 1999-09-21 Micron Technology, Inc. Use of nitrides for flip-chip encapsulation
US6221753B1 (en) 1997-01-24 2001-04-24 Micron Technology, Inc. Flip chip technique for chip assembly
JP4032454B2 (ja) 1997-06-27 2008-01-16 ソニー株式会社 三次元回路素子の製造方法
US6097096A (en) 1997-07-11 2000-08-01 Advanced Micro Devices Metal attachment method and structure for attaching substrates at low temperatures
JP2000100679A (ja) 1998-09-22 2000-04-07 Canon Inc 薄片化による基板間微小領域固相接合法及び素子構造
JP3532788B2 (ja) 1999-04-13 2004-05-31 唯知 須賀 半導体装置及びその製造方法
JP2001102479A (ja) 1999-09-27 2001-04-13 Toshiba Corp 半導体集積回路装置およびその製造方法
US6984571B1 (en) 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
JP2001313350A (ja) 2000-04-28 2001-11-09 Sony Corp チップ状電子部品及びその製造方法、並びにその製造に用いる疑似ウエーハ及びその製造方法
JP4322402B2 (ja) 2000-06-22 2009-09-02 大日本印刷株式会社 プリント配線基板及びその製造方法
JP3440057B2 (ja) 2000-07-05 2003-08-25 唯知 須賀 半導体装置およびその製造方法
US6423640B1 (en) 2000-08-09 2002-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Headless CMP process for oxide planarization
JP3420748B2 (ja) 2000-12-14 2003-06-30 松下電器産業株式会社 半導体装置及びその製造方法
JP2002353416A (ja) 2001-05-25 2002-12-06 Sony Corp 半導体記憶装置およびその製造方法
US6887769B2 (en) 2002-02-06 2005-05-03 Intel Corporation Dielectric recess for wafer-to-wafer and die-to-die metal bonding and method of fabricating the same
TWI309074B (en) 2002-02-07 2009-04-21 Advanced Epitaxy Technology Method of forming semiconductor device
US6762076B2 (en) 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US7105980B2 (en) 2002-07-03 2006-09-12 Sawtek, Inc. Saw filter device and method employing normal temperature bonding for producing desirable filter production and performance characteristics
JP4083502B2 (ja) 2002-08-19 2008-04-30 株式会社フジミインコーポレーテッド 研磨方法及びそれに用いられる研磨用組成物
JP4579489B2 (ja) 2002-09-02 2010-11-10 新光電気工業株式会社 半導体チップ製造方法及び半導体チップ
US7023093B2 (en) 2002-10-24 2006-04-04 International Business Machines Corporation Very low effective dielectric constant interconnect Structures and methods for fabricating the same
JP2004193493A (ja) 2002-12-13 2004-07-08 Nec Machinery Corp ダイピックアップ方法および装置
US7354798B2 (en) 2002-12-20 2008-04-08 International Business Machines Corporation Three-dimensional device fabrication method
TW586677U (en) 2003-01-22 2004-05-01 Via Tech Inc Stack structure of chip package
US6962835B2 (en) 2003-02-07 2005-11-08 Ziptronix, Inc. Method for room temperature metal direct bonding
TWI239629B (en) 2003-03-17 2005-09-11 Seiko Epson Corp Method of manufacturing semiconductor device, semiconductor device, circuit substrate and electronic apparatus
US6908027B2 (en) 2003-03-31 2005-06-21 Intel Corporation Complete device layer transfer without edge exclusion via direct wafer bonding and constrained bond-strengthening process
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
TWI275168B (en) 2003-06-06 2007-03-01 Sanyo Electric Co Semiconductor device and method for making the same
US6873049B2 (en) 2003-07-31 2005-03-29 The Boeing Company Near hermetic power chip on board device and manufacturing method therefor
US6867073B1 (en) 2003-10-21 2005-03-15 Ziptronix, Inc. Single mask via method and device
KR100538158B1 (ko) 2004-01-09 2005-12-22 삼성전자주식회사 웨이퍼 레벨 적층 칩 접착 방법
US20050161808A1 (en) 2004-01-22 2005-07-28 Anderson Douglas G. Wafer, intermediate wafer assembly and associated method for fabricating a silicon on insulator wafer having an improved edge profile
CN102290425B (zh) 2004-08-20 2014-04-02 Kamiyacho知识产权控股公司 具有三维层叠结构的半导体器件的制造方法
US20060057945A1 (en) 2004-09-16 2006-03-16 Chia-Lin Hsu Chemical mechanical polishing process
US20060076634A1 (en) 2004-09-27 2006-04-13 Lauren Palmateer Method and system for packaging MEMS devices with incorporated getter
US7262492B2 (en) 2004-09-28 2007-08-28 Intel Corporation Semiconducting device that includes wirebonds
FR2880184B1 (fr) 2004-12-28 2007-03-30 Commissariat Energie Atomique Procede de detourage d'une structure obtenue par assemblage de deux plaques
GB0505680D0 (en) 2005-03-22 2005-04-27 Cambridge Display Tech Ltd Apparatus and method for increased device lifetime in an organic electro-luminescent device
JP4275096B2 (ja) 2005-04-14 2009-06-10 パナソニック株式会社 半導体チップの製造方法
US7354862B2 (en) 2005-04-18 2008-04-08 Intel Corporation Thin passivation layer on 3D devices
JP4983049B2 (ja) 2005-06-24 2012-07-25 セイコーエプソン株式会社 半導体装置および電子機器
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US7682937B2 (en) 2005-11-25 2010-03-23 Advanced Laser Separation International B.V. Method of treating a substrate, method of processing a substrate using a laser beam, and arrangement
US7193423B1 (en) 2005-12-12 2007-03-20 International Business Machines Corporation Wafer-to-wafer alignments
US7781309B2 (en) 2005-12-22 2010-08-24 Sumco Corporation Method for manufacturing direct bonded SOI wafer and direct bonded SOI wafer manufactured by the method
US20070158024A1 (en) 2006-01-11 2007-07-12 Symbol Technologies, Inc. Methods and systems for removing multiple die(s) from a surface
TWI299552B (en) 2006-03-24 2008-08-01 Advanced Semiconductor Eng Package structure
US7972683B2 (en) 2006-03-28 2011-07-05 Innovative Micro Technology Wafer bonding material with embedded conductive particles
US7750488B2 (en) 2006-07-10 2010-07-06 Tezzaron Semiconductor, Inc. Method for bonding wafers to produce stacked integrated circuits
KR100809696B1 (ko) 2006-08-08 2008-03-06 삼성전자주식회사 사이즈가 상이한 복수의 반도체 칩이 적층된 멀티 칩패키지 및 그 제조방법
JP5011981B2 (ja) 2006-11-30 2012-08-29 富士通株式会社 デバイス素子製造方法およびダイシング方法
US8178963B2 (en) 2007-01-03 2012-05-15 Advanced Chip Engineering Technology Inc. Wafer level package with die receiving through-hole and method of the same
US8178964B2 (en) 2007-03-30 2012-05-15 Advanced Chip Engineering Technology, Inc. Semiconductor device package with die receiving through-hole and dual build-up layers over both side-surfaces for WLP and method of the same
US20080165521A1 (en) 2007-01-09 2008-07-10 Kerry Bernstein Three-dimensional architecture for self-checking and self-repairing integrated circuits
US7803693B2 (en) 2007-02-15 2010-09-28 John Trezza Bowed wafer hybridization compensation
JP2008258383A (ja) 2007-04-04 2008-10-23 Spansion Llc 半導体装置及びその製造方法
DE102007020656B4 (de) 2007-04-30 2009-05-07 Infineon Technologies Ag Werkstück mit Halbleiterchips, Halbleiterbauteil und Verfahren zur Herstellung eines Werkstücks mit Halbleiterchips
US20090001599A1 (en) 2007-06-28 2009-01-01 Spansion Llc Die attachment, die stacking, and wire embedding using film
US20090029274A1 (en) 2007-07-25 2009-01-29 3M Innovative Properties Company Method for removing contamination with fluorinated compositions
JP2009135348A (ja) 2007-12-03 2009-06-18 Panasonic Corp 半導体チップと半導体装置およびそれらの製造方法
US7871902B2 (en) 2008-02-13 2011-01-18 Infineon Technologies Ag Crack stop trenches
EP2963675A1 (en) 2008-03-05 2016-01-06 The Board of Trustees of The University of Illinois Stretchable and foldable electronic devices
JP2011513995A (ja) 2008-03-07 2011-04-28 スリーエム イノベイティブ プロパティズ カンパニー 模様付き裏材を備えるダイシングテープ及びダイアタッチ接着剤
KR20090106822A (ko) 2008-04-07 2009-10-12 삼성전자주식회사 웨이퍼 본딩 방법 및 그 방법에 의해 본딩된 웨이퍼 구조체
US8253230B2 (en) 2008-05-15 2012-08-28 Micron Technology, Inc. Disabling electrical connections using pass-through 3D interconnects and associated systems and methods
US8349635B1 (en) 2008-05-20 2013-01-08 Silicon Laboratories Inc. Encapsulated MEMS device and method to form the same
WO2010024678A1 (en) 2008-09-01 2010-03-04 Nederlandse Organisatie Voor Toegepast-Natuurwetenschappelijk Onderzoek Tno Chip die clamping device and transfer method
US9893004B2 (en) 2011-07-27 2018-02-13 Broadpak Corporation Semiconductor interposer integration
JP2010073964A (ja) 2008-09-19 2010-04-02 Fujitsu Microelectronics Ltd 半導体装置の製造方法
US7843052B1 (en) 2008-11-13 2010-11-30 Amkor Technology, Inc. Semiconductor devices and fabrication methods thereof
KR101736722B1 (ko) 2008-11-19 2017-05-17 셈프리어스 아이엔씨. 전단-보조 탄성 스탬프 전사에 의한 프린팅 반도체 소자
KR100945800B1 (ko) 2008-12-09 2010-03-05 김영혜 이종 접합 웨이퍼 제조방법
US8476165B2 (en) 2009-04-01 2013-07-02 Tokyo Electron Limited Method for thinning a bonding wafer
JP2010245383A (ja) 2009-04-08 2010-10-28 Elpida Memory Inc 半導体装置および半導体装置の製造方法
JP5304536B2 (ja) * 2009-08-24 2013-10-02 ソニー株式会社 半導体装置
US8482132B2 (en) 2009-10-08 2013-07-09 International Business Machines Corporation Pad bonding employing a self-aligned plated liner for adhesion enhancement
US9202769B2 (en) 2009-11-25 2015-12-01 Stats Chippac, Ltd. Semiconductor device and method of forming thermal lid for balancing warpage and thermal management
FR2954585B1 (fr) 2009-12-23 2012-03-02 Soitec Silicon Insulator Technologies Procede de realisation d'une heterostructure avec minimisation de contrainte
US8138014B2 (en) 2010-01-29 2012-03-20 Stats Chippac, Ltd. Method of forming thin profile WLCSP with vertical interconnect over package footprint
JP2011171614A (ja) 2010-02-22 2011-09-01 Casio Computer Co Ltd 半導体装置及び半導体装置の製造方法
JP5517800B2 (ja) 2010-07-09 2014-06-11 キヤノン株式会社 固体撮像装置用の部材および固体撮像装置の製造方法
US8481406B2 (en) 2010-07-15 2013-07-09 Soitec Methods of forming bonded semiconductor structures
US8415808B2 (en) 2010-07-28 2013-04-09 Sandisk Technologies Inc. Semiconductor device with die stack arrangement including staggered die and efficient wire bonding
US8361842B2 (en) 2010-07-30 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded wafer-level bonding approaches
US8288201B2 (en) 2010-08-25 2012-10-16 Stats Chippac, Ltd. Semiconductor device and method of forming FO-WLCSP with discrete semiconductor components mounted under and over semiconductor die
KR20120032254A (ko) 2010-09-28 2012-04-05 삼성전자주식회사 반도체 적층 패키지 및 이의 제조 방법
FR2966283B1 (fr) 2010-10-14 2012-11-30 Soi Tec Silicon On Insulator Tech Sa Procede pour realiser une structure de collage
US8377798B2 (en) 2010-11-10 2013-02-19 Taiwan Semiconductor Manufacturing Co., Ltd Method and structure for wafer to wafer bonding in semiconductor packaging
US8476146B2 (en) 2010-12-03 2013-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing wafer distortion through a low CTE layer
US8620164B2 (en) 2011-01-20 2013-12-31 Intel Corporation Hybrid III-V silicon laser formed by direct bonding
JP5659033B2 (ja) 2011-02-04 2015-01-28 株式会社東芝 半導体装置の製造方法
US8988299B2 (en) 2011-02-17 2015-03-24 International Business Machines Corporation Integrated antenna for RFIC package applications
US8623702B2 (en) 2011-02-24 2014-01-07 Stats Chippac, Ltd. Semiconductor device and method of forming conductive THV and RDL on opposite sides of semiconductor die for RDL-to-RDL bonding
US20120235283A1 (en) 2011-03-16 2012-09-20 Memc Electronic Materials, Inc. Silicon on insulator structures having high resistivity regions in the handle wafer
US8501537B2 (en) 2011-03-31 2013-08-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures formed using such methods
US8716105B2 (en) 2011-03-31 2014-05-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures and intermediate structures formed using such methods
KR20120123919A (ko) 2011-05-02 2012-11-12 삼성전자주식회사 칩 적층 반도체 패키지 제조 방법 및 이에 의해 제조된 칩 적층 반도체 패키지
CN103503122B (zh) 2011-05-24 2016-05-18 索尼公司 半导体装置
JP5982748B2 (ja) 2011-08-01 2016-08-31 ソニー株式会社 半導体装置、半導体装置の製造方法、および電子機器
US8697493B2 (en) 2011-07-18 2014-04-15 Soitec Bonding surfaces for direct bonding of semiconductor structures
US8710648B2 (en) 2011-08-09 2014-04-29 Alpha & Omega Semiconductor, Inc. Wafer level packaging structure with large contact area and preparation method thereof
US8441131B2 (en) 2011-09-12 2013-05-14 Globalfoundries Inc. Strain-compensating fill patterns for controlling semiconductor chip package interactions
KR101906408B1 (ko) 2011-10-04 2018-10-11 삼성전자주식회사 반도체 패키지 및 그 제조 방법
WO2013069798A1 (ja) 2011-11-11 2013-05-16 住友ベークライト株式会社 半導体装置の製造方法
TWI467736B (zh) 2012-01-04 2015-01-01 Univ Nat Chiao Tung 立體積體電路裝置
US8698308B2 (en) 2012-01-31 2014-04-15 Taiwan Semiconductor Manufacturing Company, Ltd. Bump structural designs to minimize package defects
JP5994274B2 (ja) 2012-02-14 2016-09-21 ソニー株式会社 半導体装置、半導体装置の製造方法、及び、電子機器
CN103377911B (zh) 2012-04-16 2016-09-21 中国科学院微电子研究所 提高化学机械平坦化工艺均匀性的方法
US8809123B2 (en) 2012-06-05 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Three dimensional integrated circuit structures and hybrid bonding methods for semiconductor wafers
US9142517B2 (en) 2012-06-05 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding mechanisms for semiconductor wafers
US8723309B2 (en) 2012-06-14 2014-05-13 Stats Chippac Ltd. Integrated circuit packaging system with through silicon via and method of manufacture thereof
US8759961B2 (en) 2012-07-16 2014-06-24 International Business Machines Corporation Underfill material dispensing for stacked semiconductor chips
US8963336B2 (en) 2012-08-03 2015-02-24 Samsung Electronics Co., Ltd. Semiconductor packages, methods of manufacturing the same, and semiconductor package structures including the same
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US9099475B2 (en) 2012-09-12 2015-08-04 Freescale Semiconductor, Inc. Techniques for reducing inductance in through-die vias of an electronic assembly
US20140070405A1 (en) 2012-09-13 2014-03-13 Globalfoundries Inc. Stacked semiconductor devices with a glass window wafer having an engineered coefficient of thermal expansion and methods of making same
US9368404B2 (en) 2012-09-28 2016-06-14 Plasma-Therm Llc Method for dicing a substrate with back metal
US9252491B2 (en) 2012-11-30 2016-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Embedding low-k materials in antennas
DE102012224310A1 (de) 2012-12-21 2014-06-26 Tesa Se Gettermaterial enthaltendes Klebeband
US20140175655A1 (en) 2012-12-22 2014-06-26 Industrial Technology Research Institute Chip bonding structure and manufacturing method thereof
TWI518991B (zh) 2013-02-08 2016-01-21 Sj Antenna Design Integrated antenna and integrated circuit components of the shielding module
US8946784B2 (en) 2013-02-18 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for image sensor packaging
US8802538B1 (en) 2013-03-15 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for hybrid wafer bonding
US9443796B2 (en) 2013-03-15 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Air trench in packages incorporating hybrid bonding
US9054063B2 (en) 2013-04-05 2015-06-09 Infineon Technologies Ag High power single-die semiconductor package
US9064937B2 (en) 2013-05-30 2015-06-23 International Business Machines Corporation Substrate bonding with diffusion barrier structures
FR3007403B1 (fr) 2013-06-20 2016-08-05 Commissariat Energie Atomique Procede de realisation d'un dispositif microelectronique mecaniquement autonome
KR102077153B1 (ko) 2013-06-21 2020-02-14 삼성전자주식회사 관통전극을 갖는 반도체 패키지 및 그 제조방법
JP2015012244A (ja) 2013-07-01 2015-01-19 株式会社東芝 半導体発光素子
US9929050B2 (en) 2013-07-16 2018-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming three-dimensional integrated circuit (3DIC) stacking structure
US9324698B2 (en) 2013-08-13 2016-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-chip structure and method of forming same
JP6212720B2 (ja) * 2013-09-20 2017-10-18 パナソニックIpマネジメント株式会社 半導体装置及びその製造方法
US9723716B2 (en) 2013-09-27 2017-08-01 Infineon Technologies Ag Contact pad structure, an electronic component, and a method for manufacturing a contact pad structure
US9093337B2 (en) 2013-09-27 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for controlling warpage in packaging
KR102143518B1 (ko) 2013-10-16 2020-08-11 삼성전자 주식회사 칩 적층 반도체 패키지 및 그 제조 방법
US9257399B2 (en) 2013-10-17 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. 3D integrated circuit and methods of forming the same
KR102149150B1 (ko) 2013-10-21 2020-08-28 삼성전자주식회사 전자 장치
US9530730B2 (en) 2013-11-08 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Configurable routing for packaging applications
JP6441025B2 (ja) 2013-11-13 2018-12-19 株式会社東芝 半導体チップの製造方法
US9570421B2 (en) 2013-11-14 2017-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Stacking of multiple dies for forming three dimensional integrated circuit (3DIC) structure
JP2015115446A (ja) 2013-12-11 2015-06-22 株式会社東芝 半導体装置の製造方法
US9437572B2 (en) 2013-12-18 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive pad structure for hybrid bonding and methods of forming same
US9768038B2 (en) 2013-12-23 2017-09-19 STATS ChipPAC, Pte. Ltd. Semiconductor device and method of making embedded wafer level chip scale packages
US9653442B2 (en) 2014-01-17 2017-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and methods of forming same
US9343433B2 (en) 2014-01-28 2016-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with stacked dies and methods of forming the same
US9293437B2 (en) 2014-02-20 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Functional block stacked 3DIC and method of making same
US20150255349A1 (en) 2014-03-07 2015-09-10 JAMES Matthew HOLDEN Approaches for cleaning a wafer during hybrid laser scribing and plasma etching wafer dicing processes
US9355997B2 (en) 2014-03-12 2016-05-31 Invensas Corporation Integrated circuit assemblies with reinforcement frames, and methods of manufacture
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US9418924B2 (en) 2014-03-20 2016-08-16 Invensas Corporation Stacked die integrated circuit
US9299736B2 (en) 2014-03-28 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding with uniform pattern density
US9230941B2 (en) 2014-03-28 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structure for stacked semiconductor devices
US9076860B1 (en) 2014-04-04 2015-07-07 Applied Materials, Inc. Residue removal from singulated die sidewall
US8975163B1 (en) 2014-04-10 2015-03-10 Applied Materials, Inc. Laser-dominated laser scribing and plasma etch hybrid wafer dicing
US9601463B2 (en) 2014-04-17 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out stacked system in package (SIP) and the methods of making the same
US9472458B2 (en) 2014-06-04 2016-10-18 Semiconductor Components Industries, Llc Method of reducing residual contamination in singulated semiconductor die
KR102275705B1 (ko) 2014-07-11 2021-07-09 삼성전자주식회사 웨이퍼 대 웨이퍼 접합 구조
US9601437B2 (en) 2014-09-09 2017-03-21 Nxp B.V. Plasma etching and stealth dicing laser process
US9536848B2 (en) 2014-10-16 2017-01-03 Globalfoundries Inc. Bond pad structure for low temperature flip chip bonding
US9673096B2 (en) 2014-11-14 2017-06-06 Infineon Technologies Ag Method for processing a semiconductor substrate and a method for processing a semiconductor wafer
US9394161B2 (en) 2014-11-14 2016-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. MEMS and CMOS integration with low-temperature bonding
US9899442B2 (en) 2014-12-11 2018-02-20 Invensas Corporation Image sensor device
US9508660B2 (en) 2015-02-10 2016-11-29 Intel Corporation Microelectronic die having chamfered corners
DE102015103274A1 (de) 2015-03-06 2016-09-08 HARTING Electronics GmbH Kabelabdichtung
JP6738591B2 (ja) 2015-03-13 2020-08-12 古河電気工業株式会社 半導体ウェハの処理方法、半導体チップおよび表面保護テープ
US10068862B2 (en) 2015-04-09 2018-09-04 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming a package in-fan out package
US9613931B2 (en) 2015-04-30 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out stacked system in package (SIP) having dummy dies and methods of making the same
KR101664411B1 (ko) 2015-06-04 2016-10-14 주식회사 에스에프에이반도체 웨이퍼 레벨의 팬 아웃 패키지 제조방법
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US9656852B2 (en) 2015-07-06 2017-05-23 Taiwan Semiconductor Manufacturing Company Ltd. CMOS-MEMS device structure, bonding mesa structure and associated method
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US10352991B2 (en) 2015-07-21 2019-07-16 Fermi Research Alliance, Llc Edgeless large area ASIC
US10075657B2 (en) 2015-07-21 2018-09-11 Fermi Research Alliance, Llc Edgeless large area camera system
US9728521B2 (en) 2015-07-23 2017-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bond using a copper alloy for yield improvement
US9559081B1 (en) 2015-08-21 2017-01-31 Apple Inc. Independent 3D stacking
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US9754891B2 (en) 2015-09-23 2017-09-05 International Business Machines Corporation Low-temperature diffusion doping of copper interconnects independent of seed layer composition
WO2017052652A1 (en) 2015-09-25 2017-03-30 Intel Corporation Combination of semiconductor die with another die by hybrid bonding
US10032751B2 (en) 2015-09-28 2018-07-24 Invensas Corporation Ultrathin layer for forming a capacitive interface between joined integrated circuit components
US9666560B1 (en) 2015-11-25 2017-05-30 Invensas Corporation Multi-chip microelectronic assembly with built-up fine-patterned circuit structure
US9496239B1 (en) 2015-12-11 2016-11-15 International Business Machines Corporation Nitride-enriched oxide-to-oxide 3D wafer bonding
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US9881882B2 (en) 2016-01-06 2018-01-30 Mediatek Inc. Semiconductor package with three-dimensional antenna
US20170200659A1 (en) 2016-01-08 2017-07-13 International Business Machines Corporation Porous underfill enabling rework
US9923011B2 (en) 2016-01-12 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with stacked semiconductor dies
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US10050018B2 (en) * 2016-02-26 2018-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC structure and methods of forming
US10636767B2 (en) 2016-02-29 2020-04-28 Invensas Corporation Correction die for wafer/die stack
US10026716B2 (en) * 2016-04-15 2018-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC formation with dies bonded to formed RDLs
US20170330855A1 (en) * 2016-05-13 2017-11-16 Taiwan Semiconductor Manufacturing Company, Ltd. System and Method for Immersion Bonding
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
KR102505856B1 (ko) * 2016-06-09 2023-03-03 삼성전자 주식회사 웨이퍼 대 웨이퍼 접합 구조체
US9865566B1 (en) 2016-06-15 2018-01-09 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
KR102521881B1 (ko) 2016-06-15 2023-04-18 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US9818729B1 (en) 2016-06-16 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Package-on-package structure and method
US9859254B1 (en) 2016-06-30 2018-01-02 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and a manufacturing method thereof
US9941241B2 (en) 2016-06-30 2018-04-10 International Business Machines Corporation Method for wafer-wafer bonding
KR102570582B1 (ko) 2016-06-30 2023-08-24 삼성전자 주식회사 반도체 패키지 및 그 제조 방법
US9966360B2 (en) 2016-07-05 2018-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and manufacturing method thereof
US9892961B1 (en) 2016-08-09 2018-02-13 International Business Machines Corporation Air gap spacer formation for nano-scale semiconductor devices
US10672741B2 (en) 2016-08-18 2020-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages with thermal-electrical-mechanical chips and methods of forming the same
CN109643742A (zh) * 2016-08-26 2019-04-16 英特尔公司 集成电路器件结构和双侧制造技术
KR102649471B1 (ko) 2016-09-05 2024-03-21 삼성전자주식회사 반도체 패키지 및 그의 제조 방법
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
US11176450B2 (en) 2017-08-03 2021-11-16 Xcelsis Corporation Three dimensional circuit implementing machine trained network
US10672663B2 (en) 2016-10-07 2020-06-02 Xcelsis Corporation 3D chip sharing power circuit
US9722098B1 (en) 2016-10-18 2017-08-01 Ase Electronics (M) Sdn Bhd Semiconductor device package and method of manufacturing the same
US10304801B2 (en) 2016-10-31 2019-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Redistribution layers in semiconductor packages and methods of forming same
US20180130768A1 (en) 2016-11-09 2018-05-10 Unisem (M) Berhad Substrate Based Fan-Out Wafer Level Packaging
US10153222B2 (en) 2016-11-14 2018-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Package structures and methods of forming the same
US10163750B2 (en) 2016-12-05 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure for heat dissipation
US10453832B2 (en) 2016-12-15 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Seal ring structures and methods of forming same
US20180182665A1 (en) 2016-12-28 2018-06-28 Invensas Bonding Technologies, Inc. Processed Substrate
KR102320673B1 (ko) 2016-12-28 2021-11-01 인벤사스 본딩 테크놀로지스 인코포레이티드 적층된 기판의 처리
TWI782939B (zh) 2016-12-29 2022-11-11 美商英帆薩斯邦德科技有限公司 具有整合式被動構件的接合結構
US20180190583A1 (en) 2016-12-29 2018-07-05 Invensas Bonding Technologies, Inc. Bonded structures with integrated passive component
US10276909B2 (en) 2016-12-30 2019-04-30 Invensas Bonding Technologies, Inc. Structure comprising at least a first element bonded to a carrier having a closed metallic channel waveguide formed therein
US10431614B2 (en) 2017-02-01 2019-10-01 Semiconductor Components Industries, Llc Edge seals for semiconductor packages
US9865567B1 (en) 2017-02-02 2018-01-09 Xilinx, Inc. Heterogeneous integration of integrated circuit device and companion device
WO2018147940A1 (en) 2017-02-09 2018-08-16 Invensas Bonding Technologies, Inc. Bonded structures
US10629577B2 (en) 2017-03-16 2020-04-21 Invensas Corporation Direct-bonded LED arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
JP6640780B2 (ja) 2017-03-22 2020-02-05 キオクシア株式会社 半導体装置の製造方法および半導体装置
WO2018183739A1 (en) 2017-03-31 2018-10-04 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10580823B2 (en) 2017-05-03 2020-03-03 United Microelectronics Corp. Wafer level packaging method
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10217720B2 (en) 2017-06-15 2019-02-26 Invensas Corporation Multi-chip modules formed using wafer-level processing of a reconstitute wafer
US10658335B2 (en) 2017-06-16 2020-05-19 Futurewei Technologies, Inc. Heterogenous 3D chip stack for a mobile processor
US10707145B2 (en) 2017-09-08 2020-07-07 Kemet Electronics Corporation High density multi-component packages
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US10332899B2 (en) 2017-09-29 2019-06-25 Intel Corporation 3D package having edge-aligned die stack with direct inter-die wire connections
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US10818624B2 (en) * 2017-10-24 2020-10-27 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for manufacturing the same
US11251157B2 (en) 2017-11-01 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure with hybrid bonding structure and method of fabricating the same and package
US10672820B2 (en) 2017-11-23 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bonded structure
US11011503B2 (en) 2017-12-15 2021-05-18 Invensas Bonding Technologies, Inc. Direct-bonded optoelectronic interconnect for high-density integrated photonics
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US11127738B2 (en) 2018-02-09 2021-09-21 Xcelsis Corporation Back biasing of FD-SOI circuit blocks
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11256004B2 (en) 2018-03-20 2022-02-22 Invensas Bonding Technologies, Inc. Direct-bonded lamination for improved image clarity in optical devices
US10991804B2 (en) 2018-03-29 2021-04-27 Xcelsis Corporation Transistor level interconnection methodologies utilizing 3D interconnects
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US11398258B2 (en) 2018-04-30 2022-07-26 Invensas Llc Multi-die module with low power operation
US10937743B2 (en) 2018-04-30 2021-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Mixing organic materials into hybrid packages
US10403577B1 (en) 2018-05-03 2019-09-03 Invensas Corporation Dielets on flexible and stretchable packaging for microelectronics
US11469138B2 (en) 2018-05-04 2022-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Via for coupling attached component upper electrode to substrate
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
US10510629B2 (en) 2018-05-18 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method of forming same
US10727204B2 (en) 2018-05-29 2020-07-28 Advances Micro Devices, Inc. Die stacking for multi-tier 3D integration
US10923413B2 (en) 2018-05-30 2021-02-16 Xcelsis Corporation Hard IP blocks with physically bidirectional passageways
CN112514059A (zh) 2018-06-12 2021-03-16 伊文萨思粘合技术公司 堆叠微电子部件的层间连接
US11749645B2 (en) 2018-06-13 2023-09-05 Adeia Semiconductor Bonding Technologies Inc. TSV as pad
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
US10685937B2 (en) 2018-06-15 2020-06-16 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package having dummy structures and method of forming same
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
US10333623B1 (en) 2018-06-25 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Optical transceiver
WO2020010056A1 (en) 2018-07-03 2020-01-09 Invensas Bonding Technologies, Inc. Techniques for joining dissimilar materials in microelectronics
US11462419B2 (en) 2018-07-06 2022-10-04 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US11158606B2 (en) 2018-07-06 2021-10-26 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
US20200035641A1 (en) 2018-07-26 2020-01-30 Invensas Bonding Technologies, Inc. Post cmp processing for hybrid bonding
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US11296044B2 (en) 2018-08-29 2022-04-05 Invensas Bonding Technologies, Inc. Bond enhancement structure in microelectronics for trapping contaminants during direct-bonding processes
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US10868353B2 (en) 2018-09-27 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Electronic device and manufacturing method thereof
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
KR102596758B1 (ko) 2018-10-24 2023-11-03 삼성전자주식회사 반도체 패키지
US11158607B2 (en) 2018-11-29 2021-10-26 Apple Inc. Wafer reconstitution and die-stitching
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
CN113330557A (zh) 2019-01-14 2021-08-31 伊文萨思粘合技术公司 键合结构
US11387202B2 (en) 2019-03-01 2022-07-12 Invensas Llc Nanowire bonding interconnect for fine-pitch microelectronics
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10770430B1 (en) 2019-03-22 2020-09-08 Xilinx, Inc. Package integration for memory devices
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US20200395321A1 (en) 2019-06-12 2020-12-17 Invensas Bonding Technologies, Inc. Sealed bonded structures and methods for forming the same
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US11094613B2 (en) 2019-08-22 2021-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US20210098412A1 (en) 2019-09-26 2021-04-01 Invensas Bonding Technologies, Inc. Direct gang bonding methods and structures
US20210118864A1 (en) 2019-10-21 2021-04-22 Invensas Corporation Non-Volatile Dynamic Random Access Memory
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
WO2021133741A1 (en) 2019-12-23 2021-07-01 Invensas Bonding Technologies, Inc. Electrical redundancy for bonded structures
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
US20210242152A1 (en) 2020-02-05 2021-08-05 Invensas Bonding Technologies, Inc. Selective alteration of interconnect pads for direct bonding
KR20230003471A (ko) 2020-03-19 2023-01-06 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 직접 결합된 구조체들을 위한 치수 보상 제어
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
WO2021236361A1 (en) 2020-05-19 2021-11-25 Invensas Bonding Technologies, Inc. Laterally unconfined structure
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
US20220139867A1 (en) 2020-10-29 2022-05-05 Invensas Bonding Technologies, Inc. Direct bonding methods and structures
US20220139869A1 (en) 2020-10-29 2022-05-05 Invensas Bonding Technologies, Inc. Direct bonding methods and structures
WO2022147430A1 (en) 2020-12-28 2022-07-07 Invensas Bonding Technologies, Inc. Structures with through-substrate vias and methods for forming the same
JP2024501016A (ja) 2020-12-28 2024-01-10 アデイア セミコンダクター ボンディング テクノロジーズ インコーポレイテッド 基板貫通ビアを有する構造体及びそれを形成する方法
US20220208723A1 (en) 2020-12-30 2022-06-30 Invensas Bonding Technologies, Inc. Directly bonded structures
JP2024501559A (ja) 2020-12-30 2024-01-12 アデイア セミコンダクター ボンディング テクノロジーズ インコーポレイテッド 導電特徴部を備えた構造体及びその形成方法
WO2022187402A1 (en) 2021-03-03 2022-09-09 Invensas Bonding Technologies, Inc. Contact structures for direct bonding
US20220320036A1 (en) 2021-03-31 2022-10-06 Invensas Bonding Technologies, Inc. Direct bonding and debonding of carrier
WO2022212596A1 (en) 2021-03-31 2022-10-06 Invensas Bonding Technologies, Inc. Direct bonding methods and structures
US20220319901A1 (en) 2021-03-31 2022-10-06 Invensas Bonding Technologies, Inc. Direct bonding and debonding of carrier
WO2023278605A1 (en) 2021-06-30 2023-01-05 Invensas Bonding Technologies, Inc. Element with routing structure in bonding layer
CN117859202A (zh) 2021-07-16 2024-04-09 美商艾德亚半导体接合科技有限公司 用于接合结构的光学阻塞保护元件
WO2023014616A1 (en) 2021-08-02 2023-02-09 Invensas Bonding Technologies, Inc. Protective semiconductor elements for bonded structures
WO2023034738A1 (en) 2021-09-01 2023-03-09 Adeia Semiconductor Technologies Llc Stacked structure with interposer
US20230067677A1 (en) 2021-09-01 2023-03-02 Invensas Bonding Technologies, Inc. Sequences and equipment for direct bonding

Also Published As

Publication number Publication date
US20200227367A1 (en) 2020-07-16
CN113330557A (zh) 2021-08-31
US20230420399A1 (en) 2023-12-28
US11476213B2 (en) 2022-10-18
WO2020150159A1 (en) 2020-07-23
US20220199560A1 (en) 2022-06-23
US11817409B2 (en) 2023-11-14

Similar Documents

Publication Publication Date Title
US11817409B2 (en) Directly bonded structures without intervening adhesive and methods for forming the same
US11631647B2 (en) Integrated device packages with integrated device die and dummy element
US11837596B2 (en) Stacked dies and methods for forming bonded structures
US20230215739A1 (en) Microelectronic assemblies
CN107851615B (zh) 独立3d堆叠
US11735568B2 (en) Semiconductor die stacks and associated systems and methods
KR20200092423A (ko) 반도체 디바이스를 형성하기 위한 필라-라스트 방법
CN113838822A (zh) 具有多个半导体器件的无衬底半导体器件组件及其制造方法
JP2024055908A (ja) 集積デバイスパッケージ
KR20130068485A (ko) 관통 전극을 구비하는 반도체 디바이스, 그것의 제조방법, 반도체 디바이스를 구비한 적층형 패키지 및 적층형 패키지의 제조방법