TWI744443B - 堆疊基板的處理 - Google Patents

堆疊基板的處理 Download PDF

Info

Publication number
TWI744443B
TWI744443B TW106145083A TW106145083A TWI744443B TW I744443 B TWI744443 B TW I744443B TW 106145083 A TW106145083 A TW 106145083A TW 106145083 A TW106145083 A TW 106145083A TW I744443 B TWI744443 B TW I744443B
Authority
TW
Taiwan
Prior art keywords
substrate
layer
protective
wiring layer
protective sacrificial
Prior art date
Application number
TW106145083A
Other languages
English (en)
Other versions
TW201826335A (zh
Inventor
賽普里恩 艾米卡 烏佐
桂蓮 高
Original Assignee
美商英帆薩斯邦德科技有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商英帆薩斯邦德科技有限公司 filed Critical 美商英帆薩斯邦德科技有限公司
Publication of TW201826335A publication Critical patent/TW201826335A/zh
Application granted granted Critical
Publication of TWI744443B publication Critical patent/TWI744443B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L21/6836Wafer tapes, e.g. grinding or dicing support tapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/6834Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to protect an active side of a device or wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68381Details of chemical or physical process used for separating the auxiliary support from a device or wafer

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Abstract

代表性實施方案提供用於處理積體電路(IC)晶粒及相關裝置以為堆疊及接合該些裝置作準備的技術。該些所揭示技術提供在保護底層的同時將處理殘餘物自裝置表面移除。一或多個犧牲層可在處理期間塗覆至該裝置之一表面以保護該些底層。處理殘餘物附著至該些犧牲層而非該裝置,且可與該些犧牲層一起被移除。

Description

堆疊基板的處理 〔相關申請案〕
本申請案依照35 U.S.C.§119(e)(1)主張2016年12月28日申請的美國臨時申請案第62/439,771號之權益,該案以全文引用的方式併入本文中。
以下描述係關於積體電路(「IC」)之處理。更明確而言,以下描述係關於將處理殘餘物自晶粒、晶圓及其他基板之表面移除。
對於諸如整合式晶片及晶粒之微電子元件的更緊湊實體配置的需要隨著攜帶型電子裝置之快速發展、物聯網之擴展、奈米級整合、次波長光學整合等等已變得愈發強烈。僅藉助於實例,裝置通常被稱作整合具有大功率資料處理器之蜂巢式電話、記憶體及諸如全球定位系統接收器之輔助裝置、電子攝影機及區域網路連接件以及高解析度顯示器及相關聯之影像處理晶片之功能的「智慧型電話」。此等裝置可提供諸如完全網際網路連接、娛樂(包括全解析度視訊、導航、電子銀行等)之性能,所有均在口袋大小之裝置中。複雜的攜帶型裝置需要將眾多晶片及晶粒封裝至較小空間中。
微電子元件常常包含諸如砷化矽或砷化鎵之半導體材料的薄平板。晶片及晶粒通常經設置為個別預封裝單元。在一些單元設計中,將晶粒安裝至基板或晶片載體,隨後將該基板或晶片載體安裝於電路面板(諸如,印刷電路板(PCB))上。晶粒可經設置於便於在製造期間及在將晶粒安裝於外部基板上期間對晶粒之處置的封裝中。舉例而言,許多晶粒經設置於適合於表面 安裝的封裝中。此通用類型之眾多封裝經提議用於各種應用。最常見地,此等封裝包括介電質元件,其通常被稱作具有形成為介電質上之經鍍覆或經蝕刻金屬結構的端子之「晶片載體」。通常藉由諸如沿晶粒載體延伸之薄跡線之導電特徵及藉由在晶粒之接觸件與端子或跡線之接觸件之間延伸的精細引線或電線將端子連接至晶粒之接觸件(例如,接合墊)。在表面安裝操作中,可將封裝置放至電路板上,以使得封裝上之每一端子與電路板上之對應接觸墊對準。將焊料或其他接合材料設置於端子與接觸墊之間。可藉由加熱總成以便熔化或「回焊」焊料或以其他方式活化接合材料來將封裝永久地接合在適當的位置。
通常被稱作「晶片級封裝」之某些封裝佔據等於或僅略大於併入於封裝中之裝置之面積的電路板之面積。此等級係有利的,此係因為其降低總成之整體大小且准許在基板上之各種裝置之間使用短互連件,此隨後限制裝置之間的信號傳播時間且因此便於以高速操作總成。
半導體晶粒亦可經設置於「堆疊」配置中,舉例而言,其中一個晶粒設置於載體上,且另一晶粒安裝在第一晶粒之上方。此等配置可允許將多個不同晶粒安裝於電路板上之單個覆蓋面積內,且可進一步藉由在晶粒之間設置短互連件來便於高速操作。通常,此互連距離可僅略大於晶粒自身之厚度。對於將在晶粒封裝之堆疊內達成的互連,用於機械及電氣連接之互連結構可設置於每一晶粒封裝(除了最頂封裝)以外之兩側(例如,面)上。此已(例如)藉由在安裝有晶粒之基板的兩側上設置接觸墊或焊盤來得以實現,該些襯墊藉由導電通孔或類似者經過基板連接。堆疊晶片配置及互連結構之實例提供於美國專利申請公開案第2010/0232129號中,該公開案之揭示內容以引用的方式併入本文中。
然而,晶粒或裝置之表面彼此緊密接觸或鄰近的一些堆疊配置對於堆疊晶片之一個或兩個表面上粒子或污染物(例如,大於0.5奈米)之存在 係敏感的。舉例而言,自處理步驟剩餘之粒子可產生堆疊晶片之間的不良接合區域。對於處理或處置而言,晶粒及基板之暫時接合可能尤其係有問題的,此係因為暫時載體及基板之移除可留下接合層殘餘物。
來自暫時接合層之殘餘物(其可由高溫聚合物組成)可具有不同厚度(例如,厚度可介於50奈米至30微米之範圍內)在基板表面上不連續。電漿灰化可用以移除薄殘餘物,但即使較長的氧電漿灰化步驟(例如,歷時40分鐘)仍可能不會移除最厚殘餘物,且在許多情況下,可氧化導電互連層(例如,銅互連層)。在此等狀況下,高溫(例如,50℃以上)濕式製程有時用以移除厚殘餘物;然而,該製程可並不與其他晶粒層或材料相容。舉例而言,高溫濕式製程可劣化經拋光金屬層之平滑度,從而降低裝置良率。
代表性實施方案提供用於處理積體電路(integrated circuit;IC)晶粒及相關裝置以為堆疊及接合該些裝置作準備的技術。經處理裝置可留下表面殘餘物,從而不利地影響接合。所揭示技術在保護底層的同時改良自裝置表面之殘餘物移除。一或多個犧牲層可在處理期間塗覆至該裝置之一表面以保護該些底層。處理殘餘物附著至該些犧牲層而非該裝置,且可與該些犧牲層一起被移除。
在各種實施中,實例製程包括濕式蝕刻裝置之表面以移除犧牲層及殘餘物。在一些具體實例中,多個犧牲層中之一或多者在不同處理階段被移除以在處理階段期間保護底層。在一些實例中,選擇性蝕刻劑(濕式蝕刻劑)可用於移除一或多個犧牲層及殘餘物而不損害裝置之表面或損害裝置之表面上的金屬互連結構。
參考電氣及電子組件及變化之載體論述各種實施及配置。雖然提及特定組件(亦即,晶圓、積體電路(IC)晶片晶粒等),但此並非意欲為 限制性,且係為了易於論述及說明方便。參考晶圓、晶粒或其類似者論述之技術及裝置適用於任一類型或數目之電氣組件、電路(例如,積體電路(IC)、混合電路、ASIC、記憶體裝置、處理器等)、組件之群組、封裝之組件、結構(例如,晶圓、面板、板、PCB等)及類似者,其可經耦接以彼此介接,與外部電路、系統、載體及類似者介接。此等不同組件、電路、群組、封裝、結構及類似者中之每一者可通常被稱作「微電子元件」。為簡單起見,此等組件另外將在本文中被稱作「晶粒」或「基板」。
使用圖形流程圖說明所揭示製程。描述所揭示製程所按之次序並非意欲解釋為限制性,且任何數目個所描述製程區塊可按任何次序組合以實施製程或替代製程。另外,可在不脫離本文中所描述之主題之精神及範疇的情況下自製程刪除個別區塊。另外,在不脫離本文中所描述之主題的範疇之情況下,所揭示製程可在任何合適之製造或處理裝置或系統,以及任一硬體、軟體、韌體或其一組合中實施。
在下文使用複數個實例來更詳細地解釋實施方案。儘管在此處且在下文論述各種實施方案及實例,但其他實施方案及實例可藉由組合個別實施方案及實例之特徵及元件而來成為可能。
100‧‧‧實例晶粒處理序列
102‧‧‧基板
104‧‧‧處置基板
106‧‧‧暫時接合層
108‧‧‧配線層
110‧‧‧切割薄片
112‧‧‧殘餘物
114‧‧‧晶粒
200‧‧‧實例晶粒處理序列
202‧‧‧無機保護(犧牲)層
302‧‧‧濕式稀釋蝕刻劑
304‧‧‧額外無機或有機保護層
400‧‧‧另一實例晶粒處理序列
402‧‧‧有機保護層
參考附圖闡述實施方式。在圖式中,參考數字之最左側數位識別首次出現該參考數字之圖。在不同圖中使用同一參考數字指示類似或相同物件。
對此論述,在圖式中所說明之裝置及系統展示為具有大量組件。如本文中所描述,裝置及/或系統之各種實施方案可包括更少組件且保持在本發明之範疇內。替代地,裝置及/或系統之其他實施方案可包括額外組件,或所描述組件之各種組合,且保持在本發明之範疇內。
圖1為說明實例晶粒處理序列之經示意性說明之流程圖。
圖2及圖3展示根據第一具體實例之說明實例晶粒處理序列之經示意性說明之流程圖。
圖4及圖5展示根據第二具體實例之說明實例晶粒處理序列之經示意性說明之流程圖。
概述
揭示了用於處理積體電路(IC)晶粒及相關裝置以為堆疊及接合該些裝置作準備的技術之各種具體實例。經歷處理之裝置可自製程步驟留下表面殘餘物,從而不利地影響接合。所揭示技術在保護底層的同時改良自裝置表面之殘餘物移除。
在各種具體實例中,使用所揭示技術可簡化用於最小容限堆疊及接合技術之堆疊製程,減少晶粒製造及處理成本並改良利潤率,減少暫時接合操作之缺陷,允許較高堆疊裝置良率,消除關鍵製程缺陷,且可減少晶粒之處置以最小化粒子產生。使用不需黏著劑之表面至表面直接接合技術(諸如「Zibond®」)及/或混合式接合(諸如「直接接合互連」(DBI®))(兩者可自Ziptronix公司、Xperi技術公司獲得(例如參看美國專利第6,864,585號及第7,485,968號,該些專利全文併入本文中))來堆疊及接合晶粒可尤其有益,其可歸因於對於極平坦介面之需要而對粒子及污染物敏感。相對絕緣體、半導體及/或導體層之間的粒子之移除改良表面之平坦度,及相應地改良接合兩個表面之能力。
舉例而言,在圖1處展示以圖形方式說明之流程圖,其說明實例晶粒處理序列100。在區塊(A)處,製程開始於藉由使用暫時接合層106將一處置基板104接合至包括一或多個裝置(裝置未圖示)之基板102而製備基板總 成。基板102之配線層108由金屬(諸如銅等)組成,且藉由接合層106接觸。在各種實例中,接合層106由高溫聚合物、環氧樹脂、聚醯亞胺、丙烯酸或其類似者組成以確保處置基板104在處理期間保持接合至裝置102。
在區塊(B)處,基板102之背側的一部分係使用一或多種技術(例如,研磨、化學機械拋光/平坦化(chemical mechanical polishing/planarizing;CMP)、反應性離子蝕刻(reactive-ion etching;RIE)等)而移除至所要尺寸。變薄基板102之背側可經進一步處理以(例如)形成互連配線層、被動組件層或所關注的其他結構或特徵。在區塊(C)處,具有一或多個裝置之基板102附著至切割薄片110以用於單一化。處置基板104現在在「頂側」,以準備將其移除。
在區塊(D)處,處置基板104可藉由研磨、蝕刻、拋光、滑落或藉由暫時接合黏著層106之光學劣化等而移除。在區塊(E)處,移除暫時接合層106。如在區塊(E)處所示,移除製程通常留下某一殘餘物112。殘餘物112可具有不同厚度(例如,厚度可介於5奈米至30微米或甚至更高之範圍內)。電漿灰化可用以移除薄殘餘物112,但即使較長的氧電漿灰化步驟(例如,歷時40分鐘)仍可能不會移除最厚殘餘物112,且在許多情況下,可氧化配線層108(例如,銅互連層108)。較長灰化時間亦可使外露配線層108的表面變粗糙,此可降低接合裝置之良率。在一些狀況下,高溫(例如,50℃以上)濕式蝕刻製程用以移除厚殘餘物112;然而,該製程可並不與其他晶粒層或材料相容。舉例而言,高溫濕式製程可溶解配線層108之導電金屬表面之部分,因此使金屬配線層108劣化,移除比所需要更多之金屬且留下粗糙表面構形(topography)。在一些低容限接合方法(諸如「Zibond®」及「直接接合互連(DBI®)」)中,需要(例如,配線層108之)金屬構形具有小於10奈米方差以用於成功接合。
在區塊(F)處,基板102經單一化成晶粒114。如所示,殘餘物112可保持於晶粒114上,從而潛在地導致不良接合,及減少之產品良率。
實例實施方案
在各種實施方案中,一或多個保護層可在接合載體或處置基板至敏感層之前塗覆至敏感裝置層。保護(犧牲)層之移除亦移除在移除接合層時留下的任何殘餘物。在各種具體實例中,可使用不損害基礎敏感絕緣及導電層之室溫或接近室溫製程移除保護層。
舉例而言,圖2及圖3展示根據第一具體實例之說明實例晶粒處理序列200之以圖形方式說明之流程圖。如圖2中在區塊(A)處所示,在施加暫時黏著劑106及處置基板104之前,薄無機保護層202形成(例如,旋塗)於基板102之配線層108上。在各種具體實例中,保護層202可包含以下各者中之一或多者:二氧化矽(SiO2)、摻雜硼之二氧化矽(亦即,B-SiO2)、摻雜磷之二氧化矽(亦即,P-SiO2)或其類似者。在其他具體實例中,保護層202可包含藉由較低溫度電漿增強式化學氣相沈積(plasma enhanced chemical vapor deposition;PECVD)、原子層沈積(atomic layer deposition;ALD)、電漿增強原子層沈積(plasma enhanced atomic layer deposition;PEALD)或類似方法塗佈的非化學計量介電質材料(非裝置品質介電質材料)。保護層202可在一些具體實例中小於50奈米厚(在其他具體實例中,更厚或更薄)。作為製程之部分,取決於塗佈製程之性質,保護層202可在低於100℃之溫度下在惰性氣體或真空中歷時大致30分鐘固化。在各種其他實施方案中,固化溫度及時間以及周圍環境可變化。在一些狀況下,保護層202可隨後在添加黏著層106之前用電漿輻射處理。
在區塊(B)處,包括一或多個裝置(裝置未圖示)之基板102係使用暫時黏著劑106接合至處置基板104,如上文所描述。在實例製程200 中,結合層106接觸保護(犧牲)層202而非接觸金屬配線層108。以此方式,敏感金屬配線層108受到保護而免於黏著劑106及其殘餘物112之影響。在區塊(C)處,基板102根據所意欲之應用的需要而減少且根據需要被進一步處理。在區塊(D)處,減少之基板102附著至切割薄片110,其中處置基板104在頂側。
在區塊(E)處,處置基板104被移除,且在區塊(F)處,暫時結合層106被移除,從而留下殘餘物112。在此實例製程200中,殘餘物112留在保護層202而非金屬配線層108上。在一些其他具體實例中,不合需要的殘餘物112可為來自切割薄片或研磨薄片黏著劑之殘餘物。不管不合需要之殘餘物112的來源,利用基板102之裝置以不合需要之殘餘物112與保護犧性層202接觸的此序列而形成。
參考圖3,製程200繼續。為了連續性及易於論述,再次在圖3中說明區塊(F)。作為可選製程步驟,在區塊(F)處殘餘物112可持續小於例如10分鐘暴露在氧電漿中以移除較薄殘餘物112。在具體實例中,電漿暴露亦可增加親水性且使所塗佈無機保護層202中之接合變弱,且使得保護層202及殘餘物112更易於自基板102除去。在區塊(G)處,基板102經單一化成晶粒114。如在區塊(G)處所示,殘餘物112可在單一化之後保持(或另外累積)於晶粒114上、保護層202上。
在區塊(H)處,舉例而言,具有小於2%及較佳地小於0.2%之氟化物離子濃度的濕式稀釋蝕刻劑302(例如,緩衝氧化物蝕刻劑(buffered oxide etchant;BHF)、氫氟酸(hydrofluoric acid;HF)、糖化稀釋(glycated dilute)BFH或HF或其類似者)經噴射至晶粒114上以分解並移除無機保護層202。在一些具體實例中,較佳地蝕刻劑302包括錯合劑(complexing agent)以抑制在保護層202下方的配線層108中之金屬的蝕刻。錯合劑可包含例如具有三唑 部分之錯合劑或其類似者,其中導電金屬為銅。濕式蝕刻劑302可藉由自旋製程(如所說明)、另一分批製程或其類似者而視需要歷時預選持續時間來塗覆。錯合劑可在後續清除操作中用合適之溶劑(例如含有醇之溶劑)移除。
在區塊(I)處,經單一化晶粒114經展示不含殘餘物112。保護層202之移除亦自晶粒114之表面移除殘餘物112而不使晶粒114之配線層108劣化。在具體實例中,如在區塊(J)及區塊(K)處所示,一或多個額外無機(或有機,在替代具體實例中)保護層304展示為先前已被添加至基板102之第二(相對)表面。舉例而言,在各種實施中,額外保護層304可視情況被添加至基板102之第二表面以在各種製程期間保護基板102。保護層304可在將基板102定位至切割薄片上之前被添加,例如(參看區塊(D))。在此具體實例中,保護層304可保護基板102之第二表面以免受與切割薄片相關聯的殘餘物或黏著劑影響,或可便於自基板102之第二表面清除此類殘餘物。在區塊(J)處,基板102經展示為經單一化成晶粒114且在區塊(K)處基板102展示為完整。
根據各種具體實例,在圖4及圖5處展示另一實例晶粒處理序列400。在具體實例中,兩個或大於兩個保護層202及402係在黏著劑106之前經塗覆至金屬配線層108。在具體實例中,配線層108受有機保護層402(諸如有機光阻或其類似者)保護,且有機保護層402在將處置基板104接合至基板102之前受無機保護(犧牲)層202保護,如上文所論述。在具體實例中,額外保護層(諸如保護層402)之使用允許在外露層被處理的同時底層(諸如配線層108)受到保護。舉例而言,額外有機保護層402允許使用化學品及/或可對配線層108有害(例如,腐蝕、粗糙化、乾涸)的技術來移除保護層202。
參考圖4,在區塊(A)處,包括一或多個裝置(裝置未圖示)之基板102最初在配線層108上塗佈有薄(例如,旋塗)有機保護層402,繼之 以較薄無機保護層202(例如,SiO2、B-SiO2、p-SiO2及類似者)塗佈,如上文所描述。
在區塊(B)處,基板102係使用暫時接合106而接合至處置基板104,如上文所描述。另外,在此實例中,結合層106接觸保護(犧牲)層202而非接觸金屬配線層108或有機層402。在區塊(C)處,基板102視需要而減少,且在區塊(D)處,減少之基板102附著至切割薄片110,其中處置基板104在頂側。
在區塊(E)處,處置基板104被移除,且在區塊(F)處,暫時結合層106被移除,從而大體留下殘餘物112。另外,在此實例中,殘餘物112留在保護層202而非金屬配線層108或有機層402上。
參考圖5,製程400繼續。為了連續性及易於論述在圖5中再現區塊(F)。視情況,在區塊(F)處,殘餘物112可例如持續小於10分鐘暴露在氧電漿中以移除較薄殘餘物112層及亦增加親水性並使塗佈無機保護層202中之接合變弱。此可使得保護層202及殘餘物112更易於自基板102除去。在區塊(G)處,基板102視情況經單一化成晶粒114。如所示,殘餘物112可保持於晶粒114上,保持於保護層202上。在區塊(H)處,濕式稀釋蝕刻劑302(例如,緩衝氧化物蝕刻劑(BHF)、氫氟酸(HF)或其類似者)噴射至晶粒114上以分解及移除無機保護層202。濕式蝕刻劑302可藉由自旋製程或其類似者而視需要歷時預選持續時間來塗覆。有機保護層402保持在晶粒114上。
在區塊(I)處,單一化晶粒114經展示實質上不含殘餘物112。保護層202之移除亦自晶粒114之表面移除殘餘物112而至少部分歸因於配線層108上之有機保護層402不使配線層108劣化。在具體實例中,如在區塊(J)及區塊(K)處所示,一或多個額外無機或有機保護層304經展示為先前已被添加至基板102之第二(相對)表面。舉例而言,在各種實施中,額外保護層304可 視情況被添加至基板102之第二表面以在各種製程期間保護基板102。保護層304可在將基板102定位至切割薄片上之前被添加,例如(參看區塊(D))。在此具體實例中,保護層304可保護基板102之第二表面免受與切割薄片相關聯的殘餘物或黏著劑影響,或可便於自基板102之第二表面清除此類殘餘物。在區塊(J)處,基板102經展示為經單一化成晶粒114且在區塊(K)處基板102展示為完整。
在一個具體實例中,在例如如圖1中在區塊(E)處、圖3中在區塊(F)處及圖5中在區塊(F)處所描繪移除暫時接合層106之後,不合需要的殘餘物112可在單一化步驟之前藉由移除層202而移除。換言之,基板102可在具有或不具有保護層202之情況下經單一化。舉例而言,基板102可在單一化步驟之前用保護層(諸如層202)塗佈,以在單一化期間防止來自機械切割(例如,鋸割)之切割碎片黏著至配線層108,並允許切割碎片連同保護層202一起被移除。
在各種具體實例中,其他保護層組合(及任何數目個保護層)可用於保護底層免受製程步驟之影響。舉例而言,每一保護層可以化學方式工程化以被選擇性地移除,同時在被移除之保護層下方的層保護底層(諸如配線層108)。有機層可為疏水性或親水性以充當所使用溶劑之親和性。舉例而言,兩層組合可包括兩個光阻層、一個疏水層及一個無機層,或其類似者。三個或三個以上保護層之組合亦可以類似於每一層用以保護下部層以免於處理之負面影響的方式而使用。一般而言,確保配線層108不會因金屬移除或構形之粗糙而劣化係一或多個保護層之目標。在各種具體實例中,在濕洗步驟之後,經處理基板或晶粒可在接合至另一清潔介電質表面之前經進一步處理。
結論
儘管已以特定針對於結構特徵及/或方法行動之語言描述本發明 之實施方案,但應理解,實施方案不一定限於所描述特定特徵或行動。確切而言,將特定特徵及行動揭示為實施實例裝置及技術之代表性形式。
本文之每項申請專利範圍構成單獨具體實例,且組合不同申請專利範圍之具體實例及/或不同具體實例在本發明之範疇內,且一般熟習此項技術者在查閱本發明之後將顯而易見。
100‧‧‧實例晶粒處理序列
102‧‧‧基板
104‧‧‧處置基板
106‧‧‧暫時接合層
108‧‧‧配線層
110‧‧‧切割薄片
112‧‧‧殘餘物
114‧‧‧晶粒

Claims (21)

  1. 一種形成一微電子總成之方法,其包含:提供具有外露的導電配線層之基板;用兩個或更多個保護犧牲層塗佈該導電配線層,其中所述兩個或更多個保護犧牲層包括在該導電配線層上之有機保護層及在該有機保護層上之無機保護層;使用暫時接合層將處置基板接合至所述兩個或更多個保護犧牲層;在該處置基板接合至該基板的同時處理該基板;移除該處置基板;移除該暫時接合層;將該基板、所述兩個或更多個保護犧牲層及該暫時接合層之殘餘物暴露在濕式蝕刻劑中維持一預選的持續時間,該濕式蝕刻劑分解至少一個保護犧牲層,其中該濕式蝕刻劑包含適用於抑制該導電配線層之溶解的錯合劑;及自該導電配線層中清洗掉所述至少一個保護犧牲層及該處理之該殘餘物。
  2. 如申請專利範圍第1項之方法,其進一步包含在將該基板、所述兩個或更多個保護犧牲層及該殘餘物暴露在該濕式蝕刻劑中之前,將該基板、所述兩個或更多個保護犧牲層及該殘餘物暴露在一氧電漿輻射中維持一預選的持續時間以修改所述兩個或更多個保護犧牲層之濕氣吸收特性。
  3. 如申請專利範圍第1項之方法,其進一步包含用有機或無機保護層塗佈該基板之與該導電配線層相對的表面。
  4. 如申請專利範圍第1項之方法,其中該處理包括在該處置基板接合至該基板的同時自該基板之與該導電配線層相對的表面移除該基板的一部分。
  5. 如申請專利範圍第1項之方法,其中所述保護犧牲層中之一或多者包含一無機二氧化矽(SiO2)、摻雜硼之二氧化矽(B-SiO2),或摻雜磷之 二氧化矽(P-SiO2)材料。
  6. 如申請專利範圍第1項之方法,其中該有機保護層適用於保護該導電配線層以免因該無機保護層及該殘餘物的移除而劣化。
  7. 如申請專利範圍第1項之方法,其中該濕式蝕刻劑包含緩衝氧化物蝕刻劑(BHF)或氫氟酸(HF)。
  8. 如申請專利範圍第1項之方法,其中所述保護犧牲層中之一或多者係使用旋塗、電漿物理氣相沈積(PVD)或使用電泳製程來塗覆。
  9. 如申請專利範圍第1項之方法,其中該基板係在移除該保護犧牲層之前經單一化。
  10. 如申請專利範圍第1項之方法,其中該基板係在移除該保護犧牲層之後經單一化。
  11. 如申請專利範圍第1項之方法,其中該導電配線層不會因暴露在該濕式蝕刻劑中而劣化、粗糙化或腐蝕。
  12. 一種形成一微電子總成之方法,其包含:提供基板,在該基板的接合表面的層級處或下方,該基板具有外露配線層;用一或多個保護犧牲層塗佈該配線層;處理該基板;將該基板、該一或多個保護犧牲層及該處理之殘餘物暴露在濕式蝕刻劑中維持一預選的持續時間,該濕式蝕刻劑分解至少一個保護犧牲層;自該配線層中清洗掉該至少一個保護犧牲層及該處理之該殘餘物,其中該濕式蝕刻劑包含適用於抑制該導電配線層之溶解的錯合劑;及藉由將該基板的該配線層與另一基板的表面的直接接合而將該基板堆疊到該另一基板上。
  13. 如申請專利範圍第12項之方法,其中處理該基板進一步包含:使用暫時接合層將暫時基板接合至該一或多個保護犧牲層;在該暫時基板接合至該一或多個保護犧牲層的同時處理該基板;及移除該暫時基板及該暫時接合層。
  14. 如申請專利範圍第12項之方法,其進一步包含藉由移除該一或多個保護犧牲層而移除該處理之殘餘物。
  15. 如申請專利範圍第12項之方法,其進一步包含用該濕式蝕刻劑移除該處理之殘餘物而不劣化、粗糙化或腐蝕該配線層。
  16. 如申請專利範圍第12項之方法,其進一步包含移除該處理之殘餘物而不將該配線層暴露在該濕式蝕刻劑中。
  17. 如申請專利範圍第12項之方法,其進一步包含在移除所述保護犧牲層中之一或多者之前單一化該基板。
  18. 一種形成一微電子總成之方法,其包含:提供基板,在該基板的接合表面的層級處或下方,該基板具有外露的導電配線層;用一或多個保護犧牲層塗佈該導電配線層;使用暫時接合層將處置基板接合至該一或多個保護犧牲層;在該處置基板接合至該基板的同時處理該基板;移除該處置基板;移除該暫時接合層;將該基板、該一或多個保護犧牲層及該暫時接合層之一殘餘物暴露在一氧電漿輻射中維持一預選的持續時間以修改該一或多個保護犧牲層中之至少一個保護犧牲層的濕氣吸收特性;將該基板、該一或多個保護犧牲層及該暫時接合層的該殘餘物暴露在一濕 式蝕刻劑中維持一預選的持續時間,該濕式蝕刻劑分解該至少一個保護犧牲層,其中該一或多個保護犧牲層包含在該導電配線層上方的有機保護層以及在該有機保護層上方的無機保護層,該有機保護層適用於保護該導電配線層以免因該無機保護層及該殘餘物的移除而劣化;及自該導電配線層中清洗掉該至少一個保護犧牲層及該暫時接合層的該殘餘物。
  19. 如申請專利範圍第18項之方法,其進一步包含在保護下方之底層的同時選擇性地移除該保護犧牲層。
  20. 一種形成一微電子總成之方法,其包含:提供基板,在該基板的接合表面的層級處或下方,該基板具有外露的導電配線層;用一或多個保護犧牲層塗佈該導電配線層;處理該基板;將該基板、該一或多個保護犧牲層及該處理之殘餘物暴露在濕式蝕刻劑中維持一預選的持續時間,該濕式蝕刻劑分解至少一個保護犧牲層,其中該濕式蝕刻劑包含適用於抑制該導電配線層之溶解的錯合劑;以及將所述基板的所述導電配線層直接接合至另一個基板的表面。
  21. 一種形成一微電子總成之方法,其包含:提供基板,在該基板的接合表面的層級處或下方,該基板具有外露的導電配線層;用在該導電配線層上方的有機保護犧牲層以及在該有機保護犧牲層上方的無機保護犧牲層塗佈該導電配線層,該有機保護犧牲層適用於保護該導電配線層以免因該無機保護犧牲層及該殘餘物的移除而劣化;處理該基板;以及 將該基板、該無機保護犧牲層及該處理之殘餘物暴露在濕式蝕刻劑中維持一預選的持續時間,該濕式蝕刻劑分解至少該無機保護犧牲層而不劣化、粗糙化或腐蝕該導電配線層。
TW106145083A 2016-12-28 2017-12-21 堆疊基板的處理 TWI744443B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662439771P 2016-12-28 2016-12-28
US62/439,771 2016-12-28
US15/846,731 2017-12-19
US15/846,731 US10707087B2 (en) 2016-12-28 2017-12-19 Processing stacked substrates

Publications (2)

Publication Number Publication Date
TW201826335A TW201826335A (zh) 2018-07-16
TWI744443B true TWI744443B (zh) 2021-11-01

Family

ID=62625058

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106145083A TWI744443B (zh) 2016-12-28 2017-12-21 堆疊基板的處理

Country Status (6)

Country Link
US (3) US10707087B2 (zh)
EP (1) EP3563411B1 (zh)
KR (1) KR102320673B1 (zh)
CN (2) CN110178212B (zh)
TW (1) TWI744443B (zh)
WO (1) WO2018125673A2 (zh)

Families Citing this family (81)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US11069734B2 (en) 2014-12-11 2021-07-20 Invensas Corporation Image sensor device
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
US10607136B2 (en) 2017-08-03 2020-03-31 Xcelsis Corporation Time borrowing between layers of a three dimensional chip stack
TWI822659B (zh) 2016-10-27 2023-11-21 美商艾德亞半導體科技有限責任公司 用於低溫接合的結構和方法
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
US10796936B2 (en) 2016-12-22 2020-10-06 Invensas Bonding Technologies, Inc. Die tray with channels
WO2018125673A2 (en) * 2016-12-28 2018-07-05 Invensas Bonding Technologies, Inc Processing stacked substrates
US20180182665A1 (en) 2016-12-28 2018-06-28 Invensas Bonding Technologies, Inc. Processed Substrate
KR20190092584A (ko) 2016-12-29 2019-08-07 인벤사스 본딩 테크놀로지스 인코포레이티드 집적된 수동 컴포넌트를 구비한 접합된 구조체
WO2018147940A1 (en) 2017-02-09 2018-08-16 Invensas Bonding Technologies, Inc. Bonded structures
US10629577B2 (en) 2017-03-16 2020-04-21 Invensas Corporation Direct-bonded LED arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
WO2018183739A1 (en) 2017-03-31 2018-10-04 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10529634B2 (en) 2017-05-11 2020-01-07 Invensas Bonding Technologies, Inc. Probe methodology for ultrafine pitch interconnects
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10217720B2 (en) 2017-06-15 2019-02-26 Invensas Corporation Multi-chip modules formed using wafer-level processing of a reconstitute wafer
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US10658313B2 (en) 2017-12-11 2020-05-19 Invensas Bonding Technologies, Inc. Selective recess
US11011503B2 (en) 2017-12-15 2021-05-18 Invensas Bonding Technologies, Inc. Direct-bonded optoelectronic interconnect for high-density integrated photonics
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11256004B2 (en) 2018-03-20 2022-02-22 Invensas Bonding Technologies, Inc. Direct-bonded lamination for improved image clarity in optical devices
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US11244916B2 (en) 2018-04-11 2022-02-08 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) * 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
WO2019241367A1 (en) 2018-06-12 2019-12-19 Invensas Bonding Technologies, Inc. Interlayer connection of stacked microelectronic components
EP3807927A4 (en) 2018-06-13 2022-02-23 Invensas Bonding Technologies, Inc. TSV AS A HIDEPAD
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
US11664357B2 (en) 2018-07-03 2023-05-30 Adeia Semiconductor Bonding Technologies Inc. Techniques for joining dissimilar materials in microelectronics
US11462419B2 (en) 2018-07-06 2022-10-04 Invensas Bonding Technologies, Inc. Microelectronic assemblies
WO2020010136A1 (en) 2018-07-06 2020-01-09 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US11296044B2 (en) 2018-08-29 2022-04-05 Invensas Bonding Technologies, Inc. Bond enhancement structure in microelectronics for trapping contaminants during direct-bonding processes
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
WO2020150159A1 (en) 2019-01-14 2020-07-23 Invensas Bonding Technologies, Inc. Bonded structures
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
KR20220120631A (ko) 2019-12-23 2022-08-30 인벤사스 본딩 테크놀로지스 인코포레이티드 결합형 구조체를 위한 전기적 리던던시
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
US11735523B2 (en) 2020-05-19 2023-08-22 Adeia Semiconductor Bonding Technologies Inc. Laterally unconfined structure
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
US20220139867A1 (en) * 2020-10-29 2022-05-05 Invensas Bonding Technologies, Inc. Direct bonding methods and structures
WO2022094579A1 (en) * 2020-10-29 2022-05-05 Invensas Bonding Technologies, Inc. Direct bonding methods and structures
US11574817B2 (en) * 2021-05-05 2023-02-07 International Business Machines Corporation Fabricating an interconnection using a sacrificial layer

Family Cites Families (108)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69429848T2 (de) 1993-11-01 2002-09-26 Matsushita Electric Ind Co Ltd Elektronische Anordnung und Verfahren zur Herstellung
KR960009074A (ko) 1994-08-29 1996-03-22 모리시다 요이치 반도체 장치 및 그 제조방법
US6097096A (en) 1997-07-11 2000-08-01 Advanced Micro Devices Metal attachment method and structure for attaching substrates at low temperatures
JP3532788B2 (ja) 1999-04-13 2004-05-31 唯知 須賀 半導体装置及びその製造方法
JP2001094005A (ja) * 1999-09-22 2001-04-06 Oki Electric Ind Co Ltd 半導体装置及び半導体装置の製造方法
JP3440057B2 (ja) 2000-07-05 2003-08-25 唯知 須賀 半導体装置およびその製造方法
US6423640B1 (en) 2000-08-09 2002-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Headless CMP process for oxide planarization
TW522531B (en) * 2000-10-20 2003-03-01 Matsushita Electric Ind Co Ltd Semiconductor device, method of manufacturing the device and mehtod of mounting the device
JP2002353416A (ja) 2001-05-25 2002-12-06 Sony Corp 半導体記憶装置およびその製造方法
US6887769B2 (en) 2002-02-06 2005-05-03 Intel Corporation Dielectric recess for wafer-to-wafer and die-to-die metal bonding and method of fabricating the same
US6762076B2 (en) 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US7105980B2 (en) 2002-07-03 2006-09-12 Sawtek, Inc. Saw filter device and method employing normal temperature bonding for producing desirable filter production and performance characteristics
JP4083502B2 (ja) 2002-08-19 2008-04-30 株式会社フジミインコーポレーテッド 研磨方法及びそれに用いられる研磨用組成物
US7023093B2 (en) 2002-10-24 2006-04-04 International Business Machines Corporation Very low effective dielectric constant interconnect Structures and methods for fabricating the same
US6962835B2 (en) 2003-02-07 2005-11-08 Ziptronix, Inc. Method for room temperature metal direct bonding
US6908027B2 (en) 2003-03-31 2005-06-21 Intel Corporation Complete device layer transfer without edge exclusion via direct wafer bonding and constrained bond-strengthening process
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
FR2857953B1 (fr) * 2003-07-21 2006-01-13 Commissariat Energie Atomique Structure empilee, et procede pour la fabriquer
US6867073B1 (en) 2003-10-21 2005-03-15 Ziptronix, Inc. Single mask via method and device
US7226812B2 (en) * 2004-03-31 2007-06-05 Intel Corporation Wafer support and release in wafer processing
US7326629B2 (en) * 2004-09-10 2008-02-05 Agency For Science, Technology And Research Method of stacking thin substrates by transfer bonding
US20060057945A1 (en) 2004-09-16 2006-03-16 Chia-Lin Hsu Chemical mechanical polishing process
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US7193423B1 (en) 2005-12-12 2007-03-20 International Business Machines Corporation Wafer-to-wafer alignments
US8058101B2 (en) 2005-12-23 2011-11-15 Tessera, Inc. Microelectronic packages and methods therefor
GB2443756B (en) * 2006-02-24 2010-03-17 Wolfson Microelectronics Plc MEMS device
US7968379B2 (en) * 2006-03-09 2011-06-28 SemiLEDs Optoelectronics Co., Ltd. Method of separating semiconductor dies
US7750488B2 (en) 2006-07-10 2010-07-06 Tezzaron Semiconductor, Inc. Method for bonding wafers to produce stacked integrated circuits
JP2010500764A (ja) * 2006-08-07 2010-01-07 セミ−フォトニクス カンパニー リミテッド 複数の半導体ダイを分離する方法
US7795113B2 (en) * 2006-12-21 2010-09-14 Imec Method for bonding a die or substrate to a carrier
TWI449784B (zh) * 2006-12-21 2014-08-21 Advanced Tech Materials 用以移除蝕刻後殘餘物之液體清洗劑
US7803693B2 (en) 2007-02-15 2010-09-28 John Trezza Bowed wafer hybridization compensation
US8349635B1 (en) 2008-05-20 2013-01-08 Silicon Laboratories Inc. Encapsulated MEMS device and method to form the same
US9893004B2 (en) 2011-07-27 2018-02-13 Broadpak Corporation Semiconductor interposer integration
US7867876B2 (en) * 2008-12-23 2011-01-11 International Business Machines Corporation Method of thinning a semiconductor substrate
US8476165B2 (en) 2009-04-01 2013-07-02 Tokyo Electron Limited Method for thinning a bonding wafer
US8482132B2 (en) 2009-10-08 2013-07-09 International Business Machines Corporation Pad bonding employing a self-aligned plated liner for adhesion enhancement
US8252682B2 (en) * 2010-02-12 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for thinning a wafer
US7883991B1 (en) * 2010-02-18 2011-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Temporary carrier bonding and detaching processes
JP5517800B2 (ja) 2010-07-09 2014-06-11 キヤノン株式会社 固体撮像装置用の部材および固体撮像装置の製造方法
JP2013533631A (ja) * 2010-07-16 2013-08-22 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド エッチング後残渣を除去するための水性洗浄剤
FR2966283B1 (fr) 2010-10-14 2012-11-30 Soi Tec Silicon On Insulator Tech Sa Procede pour realiser une structure de collage
US8377798B2 (en) 2010-11-10 2013-02-19 Taiwan Semiconductor Manufacturing Co., Ltd Method and structure for wafer to wafer bonding in semiconductor packaging
US8552536B2 (en) * 2010-12-16 2013-10-08 Qualcomm Mems Technologies, Inc. Flexible integrated circuit device layers and processes
US8620164B2 (en) 2011-01-20 2013-12-31 Intel Corporation Hybrid III-V silicon laser formed by direct bonding
US8796116B2 (en) * 2011-01-31 2014-08-05 Sunedison Semiconductor Limited Methods for reducing the metal content in the device layer of SOI structures and SOI structures produced by such methods
US8716105B2 (en) 2011-03-31 2014-05-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures and intermediate structures formed using such methods
US8501537B2 (en) 2011-03-31 2013-08-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures formed using such methods
EP3534399A1 (en) 2011-05-24 2019-09-04 Sony Corporation Semiconductor device
JP5982748B2 (ja) 2011-08-01 2016-08-31 ソニー株式会社 半導体装置、半導体装置の製造方法、および電子機器
WO2013006865A2 (en) * 2011-07-07 2013-01-10 Brewer Science Inc. Methods of transferring device wafers or layers between carrier substrates and other surfaces
US8697493B2 (en) 2011-07-18 2014-04-15 Soitec Bonding surfaces for direct bonding of semiconductor structures
US8441131B2 (en) 2011-09-12 2013-05-14 Globalfoundries Inc. Strain-compensating fill patterns for controlling semiconductor chip package interactions
CN103377911B (zh) 2012-04-16 2016-09-21 中国科学院微电子研究所 提高化学机械平坦化工艺均匀性的方法
US9142517B2 (en) 2012-06-05 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding mechanisms for semiconductor wafers
US8809123B2 (en) 2012-06-05 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Three dimensional integrated circuit structures and hybrid bonding methods for semiconductor wafers
US8987057B2 (en) * 2012-10-01 2015-03-24 Nxp B.V. Encapsulated wafer-level chip scale (WLSCP) pedestal packaging
US20140175655A1 (en) 2012-12-22 2014-06-26 Industrial Technology Research Institute Chip bonding structure and manufacturing method thereof
KR102075635B1 (ko) * 2013-01-03 2020-03-02 삼성전자주식회사 웨이퍼 지지 구조물, 웨이퍼 지지 구조물을 포함하는 반도체 패키지의 중간 구조물, 및 중간 구조물을 이용한 반도체 패키지의 제조 방법
KR102077248B1 (ko) * 2013-01-25 2020-02-13 삼성전자주식회사 기판 가공 방법
US8946784B2 (en) 2013-02-18 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for image sensor packaging
US9450115B2 (en) * 2013-03-15 2016-09-20 First Solar, Inc. Method of manufacturing a photovoltaic device
US9443796B2 (en) 2013-03-15 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Air trench in packages incorporating hybrid bonding
US8802538B1 (en) 2013-03-15 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for hybrid wafer bonding
US9064937B2 (en) 2013-05-30 2015-06-23 International Business Machines Corporation Substrate bonding with diffusion barrier structures
US9929050B2 (en) 2013-07-16 2018-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming three-dimensional integrated circuit (3DIC) stacking structure
JP6330151B2 (ja) * 2013-09-17 2018-05-30 パナソニックIpマネジメント株式会社 半導体装置及びその製造方法
US9723716B2 (en) 2013-09-27 2017-08-01 Infineon Technologies Ag Contact pad structure, an electronic component, and a method for manufacturing a contact pad structure
US9257399B2 (en) 2013-10-17 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. 3D integrated circuit and methods of forming the same
JP2015115446A (ja) 2013-12-11 2015-06-22 株式会社東芝 半導体装置の製造方法
US9437572B2 (en) 2013-12-18 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive pad structure for hybrid bonding and methods of forming same
CN105849215B (zh) * 2013-12-26 2019-09-03 日立化成株式会社 临时固定用膜、临时固定用膜片材及半导体装置
US10157766B2 (en) * 2014-03-19 2018-12-18 Samsung Electronics Co., Ltd. Method of fabricating a semiconductor device
US9230941B2 (en) 2014-03-28 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structure for stacked semiconductor devices
US9299736B2 (en) 2014-03-28 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding with uniform pattern density
US9472458B2 (en) 2014-06-04 2016-10-18 Semiconductor Components Industries, Llc Method of reducing residual contamination in singulated semiconductor die
US9786643B2 (en) * 2014-07-08 2017-10-10 Micron Technology, Inc. Semiconductor devices comprising protected side surfaces and related methods
KR102275705B1 (ko) 2014-07-11 2021-07-09 삼성전자주식회사 웨이퍼 대 웨이퍼 접합 구조
US9401303B2 (en) * 2014-08-01 2016-07-26 Globalfoundries Inc. Handler wafer removal by use of sacrificial inert layer
US9536848B2 (en) 2014-10-16 2017-01-03 Globalfoundries Inc. Bond pad structure for low temperature flip chip bonding
US9394161B2 (en) 2014-11-14 2016-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. MEMS and CMOS integration with low-temperature bonding
KR102327141B1 (ko) * 2014-11-19 2021-11-16 삼성전자주식회사 프리패키지 및 이를 사용한 반도체 패키지의 제조 방법
JP2016146395A (ja) * 2015-02-06 2016-08-12 株式会社テラプローブ 半導体装置の製造方法及び半導体装置
KR102004195B1 (ko) * 2015-03-23 2019-07-26 후지필름 가부시키가이샤 키트 및 적층체
JP6990922B2 (ja) * 2015-05-11 2022-01-12 マイバイオティクス ファーマ リミテッド 腸における細菌のコロニー形成のために固体粒子上で生菌のバイオフィルムを成長させるためのシステムおよび方法
US9656852B2 (en) 2015-07-06 2017-05-23 Taiwan Semiconductor Manufacturing Company Ltd. CMOS-MEMS device structure, bonding mesa structure and associated method
US9455179B1 (en) * 2015-07-09 2016-09-27 International Business Machines Corporation Methods to reduce debonding forces on flexible semiconductor films disposed on vapor-releasing adhesives
US10075657B2 (en) 2015-07-21 2018-09-11 Fermi Research Alliance, Llc Edgeless large area camera system
US9728521B2 (en) 2015-07-23 2017-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bond using a copper alloy for yield improvement
US9559081B1 (en) 2015-08-21 2017-01-31 Apple Inc. Independent 3D stacking
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
JP2017054861A (ja) * 2015-09-07 2017-03-16 株式会社東芝 半導体装置の製造方法
US9496239B1 (en) 2015-12-11 2016-11-15 International Business Machines Corporation Nitride-enriched oxide-to-oxide 3D wafer bonding
US9923011B2 (en) 2016-01-12 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with stacked semiconductor dies
US10026716B2 (en) 2016-04-15 2018-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC formation with dies bonded to formed RDLs
KR102505856B1 (ko) 2016-06-09 2023-03-03 삼성전자 주식회사 웨이퍼 대 웨이퍼 접합 구조체
US9941241B2 (en) 2016-06-30 2018-04-10 International Business Machines Corporation Method for wafer-wafer bonding
US10163750B2 (en) 2016-12-05 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure for heat dissipation
JP6512454B2 (ja) * 2016-12-06 2019-05-15 パナソニックIpマネジメント株式会社 素子チップの製造方法
US10453832B2 (en) 2016-12-15 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Seal ring structures and methods of forming same
US20180182665A1 (en) 2016-12-28 2018-06-28 Invensas Bonding Technologies, Inc. Processed Substrate
WO2018125673A2 (en) 2016-12-28 2018-07-05 Invensas Bonding Technologies, Inc Processing stacked substrates
US10431614B2 (en) 2017-02-01 2019-10-01 Semiconductor Components Industries, Llc Edge seals for semiconductor packages
JP6640780B2 (ja) 2017-03-22 2020-02-05 キオクシア株式会社 半導体装置の製造方法および半導体装置
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10580823B2 (en) 2017-05-03 2020-03-03 United Microelectronics Corp. Wafer level packaging method
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US11251157B2 (en) 2017-11-01 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure with hybrid bonding structure and method of fabricating the same and package

Also Published As

Publication number Publication date
US10707087B2 (en) 2020-07-07
CN110178212B (zh) 2024-01-09
CN117878055A (zh) 2024-04-12
WO2018125673A2 (en) 2018-07-05
KR20190092574A (ko) 2019-08-07
WO2018125673A3 (en) 2018-08-02
TW201826335A (zh) 2018-07-16
KR102320673B1 (ko) 2021-11-01
EP3563411A4 (en) 2020-11-04
US20230008039A1 (en) 2023-01-12
US20180182639A1 (en) 2018-06-28
US20200388503A1 (en) 2020-12-10
EP3563411A2 (en) 2019-11-06
EP3563411B1 (en) 2021-04-14
CN110178212A (zh) 2019-08-27
US11348801B2 (en) 2022-05-31

Similar Documents

Publication Publication Date Title
TWI744443B (zh) 堆疊基板的處理
US11037919B2 (en) Techniques for processing devices
US11652083B2 (en) Processed stacked dies
KR20230095110A (ko) 직접 접합 방법 및 구조체
KR20230097121A (ko) 직접 접합 방법 및 구조체
US7846776B2 (en) Methods for releasably attaching sacrificial support members to microfeature workpieces and microfeature devices formed using such methods