KR20190092574A - 적층된 기판의 처리 - Google Patents

적층된 기판의 처리 Download PDF

Info

Publication number
KR20190092574A
KR20190092574A KR1020197021078A KR20197021078A KR20190092574A KR 20190092574 A KR20190092574 A KR 20190092574A KR 1020197021078 A KR1020197021078 A KR 1020197021078A KR 20197021078 A KR20197021078 A KR 20197021078A KR 20190092574 A KR20190092574 A KR 20190092574A
Authority
KR
South Korea
Prior art keywords
substrate
sacrificial layers
layer
protective
protective sacrificial
Prior art date
Application number
KR1020197021078A
Other languages
English (en)
Other versions
KR102320673B1 (ko
Inventor
싸이프리안 에메카 유조
귈리언 가오
Original Assignee
인벤사스 본딩 테크놀로지스 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인벤사스 본딩 테크놀로지스 인코포레이티드 filed Critical 인벤사스 본딩 테크놀로지스 인코포레이티드
Publication of KR20190092574A publication Critical patent/KR20190092574A/ko
Application granted granted Critical
Publication of KR102320673B1 publication Critical patent/KR102320673B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L21/6836Wafer tapes, e.g. grinding or dicing support tapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/6834Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to protect an active side of a device or wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68381Details of chemical or physical process used for separating the auxiliary support from a device or wafer

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Abstract

대표적인 구현예는, 디바이스들을 적층 및 접합하기 위한 준비로, 집적 회로(IC) 다이들 및 관련 디바이스들을 처리하기 위한 기법들을 제공한다. 개시된 기법들은 하부 층들을 보호하면서 디바이스 표면들로부터 처리 잔류물을 제거하는 것을 제공한다. 하나 이상의 희생 층들이 하부 층들을 보호하기 위해 처리 동안 디바이스의 표면에 적용될 수 있다. 처리 잔류물은 디바이스 대신에 희생 층들에 부착되고, 희생 층들과 함께 제거될 수 있다.

Description

적층된 기판의 처리
우선권 주장 및 관련 출원에 대한 상호 참조
본 출원은, 전체적으로 본 명세서에 참고로 포함되는, 2017년 12월 19일자로 출원된 미국 정규 출원 제15/846,731호 및 2016년 12월 28일자로 출원된 미국 가출원 제62/439,771호의 35 U.S.C. §119(e)(1) 하의 이익을 주장한다.
기술분야
하기의 설명은 집적 회로(integrated circuit, "IC")의 처리에 관한 것이다. 보다 구체적으로, 하기의 설명은 다이, 웨이퍼, 및 다른 기판의 표면으로부터의 처리 잔류물의 제거에 관한 것이다.
집적 칩들 및 다이들과 같은 마이크로전자 요소(microelectronic element)들의 더 콤팩트한 물리적 배열들에 대한 요구는, 휴대용 전자 디바이스들, 사물 인터넷(Internet of Things)의 확장, 나노스케일 집적, 서브파장 광학 집적, 및 그 이상의 신속한 진전에 의해 훨씬 더 강해졌다. 단지 예로서, 통상 "스마트폰들"로 지칭되는 디바이스들은 휴대 전화의 기능들을, 고해상도 디스플레이들 및 관련 이미지 처리 칩들과 함께, 강력한 데이터 프로세서들, 메모리 및 보조 디바이스들, 예컨대 위성 위치확인 시스템 수신기들, 전자 카메라들 및 근거리 통신망 접속부들과 통합시킨다. 그러한 디바이스들은 완전한 인터넷 접속성, 최대 해상도 비디오를 포함하는 엔터테인먼트, 내비게이션, 전자 뱅킹 등과 같은 능력들을 모두 포켓-사이즈 디바이스에서 제공할 수 있다. 복합 휴대용 디바이스들은 다수의 칩 및 다이를 작은 공간 내에 패킹(packing)하는 것을 필요로 한다.
마이크로전자 요소는 흔히 규소 또는 갈륨 비소와 같은 반도체 재료의 얇은 슬래브(slab)를 포함한다. 칩 및 다이는 통상적으로 개별적인 사전패키징된(prepackaged) 유닛으로서 제공된다. 일부 유닛 설계에서, 다이는 기판 또는 칩 캐리어에 실장되고, 이는 이어서 인쇄 회로 보드(printed circuit board, PCB)와 같은 회로 패널 상에 실장된다. 다이는 제조 중에 그리고 외부 기판 상에의 다이의 실장 중에 다이의 취급을 용이하게 하는 패키지로 제공될 수 있다. 예를 들어, 많은 다이가 표면 실장에 적합한 패키지로 제공된다. 이러한 일반적인 타입의 다수의 패키지가 다양한 응용을 위해 제안되었다. 가장 통상적으로, 그러한 패키지는 통상적으로 "칩 캐리어"로 지칭되는 유전체 요소(dielectric element)를 포함하며, 이때 단자가 유전체 상에 도금된 또는 에칭된 금속 구조물(metallic structure)로서 형성된다. 단자는 전형적으로 다이 캐리어를 따라 연장되는 가는 트레이스(thin trace)와 같은 전도성 특징부에 의해, 그리고 다이의 접점과 단자 또는 트레이스 사이에서 연장되는 미세한 리드(lead) 또는 와이어(wire)에 의해, 다이의 접점(예컨대, 접합 패드)에 접속된다. 표면 실장 작업에서, 패키지는 패키지 상의 각각의 단자가 회로 보드 상의 대응하는 접촉 패드와 정렬되도록 회로 보드 상에 배치될 수 있다. 솔더(solder) 또는 다른 접합 재료가 일반적으로 단자와 접촉 패드 사이에 제공된다. 패키지는 조립체를 가열하여 솔더를 용융 또는 "리플로우(reflow)"시키거나 달리 접합 재료를 활성화시킴으로써 영구적으로 제 위치에 접합될 수 있다.
통상적으로 "칩 스케일 패키지(chip scale package)"로 지칭되는 소정 패키지는 패키지에 통합된 디바이스의 면적과 동일하거나 그보다 단지 약간 더 큰 회로 보드의 면적을 점유한다. 이러한 스케일은 그것이 조립체의 전체 크기를 감소시키고 기판 상의 다양한 디바이스들 사이의 짧은 상호접속부의 사용을 허용하며, 이는 이어서 디바이스들 사이의 신호 전파 시간을 제한하고 이에 따라 고속으로의 조립체의 동작을 용이하게 한다는 점에서 유리하다.
반도체 다이는 또한 "적층된" 배열로 제공될 수 있으며, 여기서 하나의 다이는 예를 들어 캐리어 상에 제공되고, 다른 다이는 제1 다이의 상부에 실장된다. 이들 배열은 다수의 상이한 다이가 회로 보드 상의 단일 풋프린트(footprint) 내에 실장되도록 허용할 수 있고, 다이들 사이의 짧은 상호접속부를 제공함으로써 고속 동작을 추가로 용이하게 할 수 있다. 흔히, 이러한 상호접속 거리는 다이 자체의 두께보다 단지 약간 더 클 수 있다. 다이 패키지의 적층물 내에서 상호접속이 달성되도록 하기 위해, 각각의 다이 패키지(최상부 패키지 제외)의 양측(예컨대, 면) 상에 기계적 및 전기적 접속을 위한 상호접속 구조물이 제공될 수 있다. 이는 예를 들어 다이가 실장되는 기판의 양측 상에 접촉 패드 또는 랜드를 제공함으로써 이루어졌고, 패드는 전도성 비아(via) 등에 의해 기판을 통해 접속된다. 적층된 칩 배열 및 상호접속 구조물의 예가 미국 특허 출원 공개 제2010/0232129호에 제공되며, 이의 개시 내용은 본 명세서에 참고로 포함된다.
그러나, 다이들 또는 디바이스들의 표면들이 서로 긴밀하게 접촉하거나 근접하는 일부 적층된 배열들은 적층된 다이들의 하나 또는 양 표면 상의 (예컨대, 0.5 nm 초과의) 입자들 또는 오염물질의 존재에 민감하다. 예를 들어, 처리 단계들로부터 남아 있는 입자들은 적층된 다이들 사이에 불량하게 접합된 영역들을 생성할 수 있다. 처리 또는 취급을 위한 다이들 및 기판들의 일시적인 접합은 특히 문제가 될 수 있는데, 이는 일시적인 캐리어들 및 기판들의 제거가 접합 층 잔류물을 남길 수 있기 때문이다.
고온 중합체로 구성될 수 있는, 일시적인 접합 층들로부터의 잔류물은 기판 표면 상에서 다양한 두께로 불연속적일 수 있다(예컨대, 두께는 50 nm 내지 30 um의 범위일 수 있다). 플라즈마 애싱(ashing)은 얇은 잔류물을 제거하는 데 사용될 수 있지만, 심지어 긴 (예컨대, 40분에 걸친) 산소 플라즈마 애싱 단계들은 가장 두꺼운 잔류물을 제거하지 못할 수 있고, 많은 경우에 전도성 상호접속 층, 예를 들어 구리 상호접속 층을 산화시킬 수 있다. 그러한 경우에, 고온(예컨대, 50℃ 초과) 습식 공정이 때때로 두꺼운 잔류물을 제거하는 데 사용되지만; 이 공정은 다른 다이 층 또는 재료와 상용성이 아닐 수 있다. 예를 들어, 고온 습식 공정은 연마된 금속 층의 평활도를 저하시켜, 디바이스 수율을 감소시킬 수 있다.
대표적인 구현예는, 디바이스들을 적층 및 접합하기 위한 준비로, 집적 회로(IC) 다이들 및 관련 디바이스들을 처리하기 위한 기법들을 제공한다. 처리된 디바이스에는 접합에 악영향을 주는 표면 잔류물이 남겨질 수 있다. 개시된 기법들은 하부 층들을 보호하면서 디바이스 표면들로부터의 잔류물 제거를 개선한다. 하나 이상의 희생 층들이 하부 층들을 보호하기 위해 처리 동안 디바이스의 표면에 적용될 수 있다. 디바이스 대신에 희생 층(들)에 부착된 처리 잔류물은 희생 층(들)과 함께 제거될 수 있다.
다양한 구현예에서, 예시적인 공정들은 디바이스의 표면을 습식 에칭하여 희생 층들 및 잔류물을 제거하는 단계를 포함한다. 일부 실시예에서, 다수의 희생 층들 중 하나 이상은 상이한 처리 스테이지들에서 제거되어 처리 스테이지들 동안 하부 층들을 보호한다. 일부 예에서, 선택적 에칭제(습식 에칭제)가 디바이스의 표면을 손상시키지 않고서 또는 디바이스의 표면 상의 금속 상호접속 구조물들을 손상시키지 않고서 하나 이상의 희생 층들 및 잔류물을 제거하는 데 사용될 수 있다.
다양한 구현예 및 배열이 전기 및 전자 구성요소 및 다양한 캐리어와 관련하여 논의된다. 특정 구성요소(즉, 웨이퍼, 집적 회로(IC) 칩 다이 등)가 언급되지만, 이는 제한하는 것으로 의도되지 않으며, 논의의 용이함 및 예시의 편의를 위한 것이다. 웨이퍼, 다이 등과 관련하여 논의된 기법들 및 디바이스들은 임의의 유형 또는 수의 전기 구성요소들, 회로들(예컨대, 집적 회로(IC)들, 혼합 회로들, ASIC들, 메모리 디바이스들, 프로세서들 등), 구성요소들의 그룹들, 패키징된 구성요소들, 구조물들(예컨대, 웨이퍼들, 패널들, 보드들, PCB들 등) 등에 적용가능한데, 이들은 외부 회로들, 시스템들, 캐리어들 등과 함께, 서로 인터페이싱하기 위해 커플링될 수 있다. 이들 상이한 구성요소, 회로, 그룹, 패키지, 구조물 등의 각각은 포괄적으로 "마이크로전자 요소"로 지칭될 수 있다. 단순화를 위해, 그러한 구성요소는 또한 본 명세서에서 "다이" 또는 "기판"으로 지칭될 것이다.
개시된 공정들은 그래픽 흐름도들을 사용하여 예시된다. 개시된 공정들이 기술되는 순서는 제한으로 해석되도록 의도되지 않으며, 공정들 또는 대안적인 공정들을 구현하기 위해 임의의 개수의 기술된 공정 블록들이 임의의 순서로 조합될 수 있다. 게다가, 본 명세서에서 기술된 주제의 사상 및 범주로부터 벗어남이 없이 개개의 블록들이 공정들로부터 제거될 수 있다. 또한, 개시된 공정들은 본 명세서에서 기술된 주제의 범주로부터 벗어남이 없이, 임의의 하드웨어, 소프트웨어, 펌웨어, 또는 이들의 조합과 함께, 임의의 적합한 제조 또는 처리 장치 또는 시스템으로 구현될 수 있다.
구현예가 복수의 예를 사용하여 아래에서 더욱 상세히 설명된다. 다양한 구현예 및 예가 여기서 그리고 아래에서 논의되지만, 개개의 구현예들 및 예들의 특징들 및 요소들을 조합함으로써 추가의 구현예 및 예가 가능할 수 있다.
상세한 설명은 첨부 도면을 참조하여 기재된다. 도면에서, 도면 부호의 가장 왼쪽의 숫자(들)는 도면 부호가 처음 나타나는 도면을 식별한다. 상이한 도면들에서의 동일한 도면 부호의 사용은 유사한 또는 동일한 아이템을 지시한다.
이러한 논의를 위해, 도면에 예시된 디바이스 및 시스템은 다수의 구성요소를 갖는 것으로 도시된다. 본 명세서에 설명된 바와 같은, 디바이스 및/또는 시스템의 다양한 구현예는 더 적은 구성요소를 포함할 수 있으며 여전히 본 발명의 범주 내에 있다. 대안적으로, 디바이스 및/또는 시스템의 다른 구현예는 추가 구성요소, 또는 설명된 구성요소들의 다양한 조합을 포함할 수 있으며, 여전히 본 발명의 범주 내에 있다.
도 1은 예시적인 다이 처리 시퀀스를 도시하는 개략적으로 도시된 흐름도이다.
도 2 및 도 3은 제1 실시예에 따른 예시적인 다이 처리 시퀀스를 도시하는 개략적으로 도시된 흐름도를 도시한다.
도 4 및 도 5는 제2 실시예에 따른 예시적인 다이 처리 시퀀스를 도시하는 개략적으로 도시된 흐름도를 도시한다.
개요
디바이스들을 적층 및 접합하기 위한 준비로, 집적 회로(IC) 다이들 및 관련 디바이스들을 처리하기 위한 기법들의 다양한 실시예가 개시된다. 처리를 겪는 디바이스들에는, 접합에 악영향을 주는, 공정 단계들로부터의 표면 잔류물이 남겨질 수 있다. 개시된 기법들은 하부 층들을 보호하면서 디바이스 표면들로부터의 잔류물 제거를 개선한다.
다양한 실시예에서, 개시된 기법들을 사용하는 것은, 최소 허용오차 적층 및 접합 기법들을 위한 적층 공정을 단순화하고, 다이 제조 및 처리 비용을 감소시키고 이윤 마진을 개선하고, 일시적인 접합 동작들에서의 결함을 감소시키고, 더 높은 적층된 디바이스 수율을 허용하고, 주요 공정 결함을 제거할 수 있고, 입자 생성을 최소화하도록 다이의 취급을 감소시킬 수 있다. 접착제를 이용하지 않는 표면 대 표면 직접 접합 기법들, 예컨대 "ZIBOND®", 및/또는 하이브리드 접합, 예컨대 "Direct Bond Interconnect (DBI®)" - 둘 모두는 Ziptronix, Inc., Xperi Technologies company로부터 입수가능함 - 을 사용하여 적층 및 접합되는 다이들(예를 들어, 전체적으로 본 명세서에 포함되는, 미국 특허 제6,864,585호 및 제7,485,968호 참조) - 이는 극도로 평평한 계면에 대한 필요성으로 인해 입자들 및 오염물질들에 민감할 수 있음 - 이 특히 유익할 수 있다. 대향하는 절연체, 반도체, 및/또는 전도체 층들 사이의 입자들의 제거는 표면들의 평탄도 및, 그에 따라서, 접합하기 위한 2개의 표면들의 능력을 개선한다.
예를 들어, 예시적인 다이 처리 시퀀스(100)를 도시하는, 그래픽으로 도시된 흐름도가 도 1에 도시되어 있다. 블록(A)에서, 공정은 일시적인 접합 층(106)을 사용하여 하나 이상의 디바이스들(도시되지 않은 디바이스들)을 포함하는 기판(102)에 기판 핸들(104)을 접합함으로써 기판 조립체를 준비하는 것으로 시작한다. 기판(102)의 배선 층들(108)은 금속(예컨대, 구리 등)으로 구성되고, 접합 층(106)에 의해 접촉된다. 다양한 예에서, 접합 층(106)은 처리 동안 핸들(104)이 디바이스(102)에 접합된 채로 유지되는 것을 보장하기 위해 고온 중합체, 에폭시, 폴리이미드, 아크릴 등으로 구성된다.
블록(B)에서, 하나 이상의 기법들(예컨대, 연삭, 화학적 기계적 연마/평탄화(CMP), 반응성 이온 에칭(RIE) 등)을 사용하여, 기판(102)의 후방 면의 일부분이 원하는 치수로 제거된다. 박형화된 기판(102)의 배면은, 예를 들어 상호접속 라우팅 층, 수동 구성요소 층, 또는 다른 구조물들 또는 관심 특징부들을 형성하기 위해 추가로 처리될 수 있다. 블록(C)에서, 하나 이상의 디바이스들을 갖는 기판(102)은 싱귤레이션(singulation)을 위해 다이싱 시트(110)에 부착된다. 핸들 기판(104)은 이제 그의 제거를 위한 준비로 "위쪽(topside)"에 있다.
블록(D)에서, 핸들(104)은 연삭, 에칭, 연마, 슬라이딩 오프(sliding off)에 의해, 또는 일시적인 접합 접착제 층(106)의 광학 열화 등에 의해 제거될 수 있다). 블록(E)에서, 일시적인 접합 층(106)이 제거된다. 블록(E)에 도시된 바와 같이, 제거 공정은 전형적으로 일부 잔류물(112)을 남긴다. 잔류물(112)은 다양한 두께를 가질 수 있다(예컨대, 두께는 5 nm 내지 30 um의 범위일 수 있거나, 또는 훨씬 더 클 수 있다). 플라즈마 애싱은 얇은 잔류물(112)을 제거하는 데 사용될 수 있지만, 심지어 긴 (예컨대, 40분에 걸친) 산소 플라즈마 애싱 단계들은 가장 두꺼운 잔류물(112)을 제거하지 못할 수 있고, 많은 경우에 배선 층(108), 예를 들어 구리 상호접속 층(108)을 산화시킬 수 있다. 더 긴 애싱 시간은 또한 노출된 배선 층(108)의 표면을 조면화할 수 있으며, 이는 접합된 디바이스들의 수율을 감소시킬 수 있다. 일부 경우에, 고온(예컨대, 50℃ 초과) 습식 에칭 공정이 두꺼운 잔류물(112)을 제거하는 데 사용되지만; 이 공정은 다른 다이 층 또는 재료와 상용성이 아닐 수 있다. 예를 들어, 고온 습식 공정은 배선 층(들)(108)의 전도성 금속들의 표면의 부분들을 용해시켜서, 그에 따라 금속 배선 층(들)(108)을 열화시키고, 바람직한 것보다 더 많은 금속을 제거하고, 거친 표면 토포그래피(topography)를 남길 수 있다. "ZIBOND®" 및 "Direct Bond Interconnect (DBI®)"와 같은 일부 낮은 허용오차 접합 방법들에서, (예컨대, 배선 층(들)(108)의) 금속 토포그래피가 성공적인 접합들을 위해 10 nm 미만의 분산을 갖는 것이 바람직하다.
블록(F)에서, 기판(102)은 다이들(114)로 싱귤레이팅된다. 도시된 바와 같이, 잔류물(112)은 다이들(114) 상에 남아 있어서, 잠재적으로 불량한 접합 및 감소된 제품 수율을 야기할 수 있다.
예시적인 구현예
다양한 구현예에서, 캐리어들 또는 핸들 기판들을 민감성 층들에 접합하기 전에 하나 이상의 보호 층들이 민감성 디바이스 층들에 적용될 수 있다. 보호(희생) 층(들)의 제거는 또한 접합 층을 제거할 때 남겨진 임의의 잔류물을 제거한다. 다양한 실시예에서, 보호 층은 하부 민감성 절연 및 전도성 층들을 손상시키지 않는 실온 또는 거의 실온의 공정을 사용하여 제거될 수 있다.
예를 들어, 도 2 및 도 3은 제1 실시예에 따른 예시적인 다이 처리 시퀀스(200)를 도시하는 그래픽으로 도시된 흐름도를 도시한다. 도 2에 도시된 바와 같이, 블록(A)에서, 일시적인 접착제(106) 및 핸들 기판(104)을 적용하기 전에, 얇은 무기 보호 층(202)이 기판(102)의 배선 층(108) 위에 형성(예컨대, 스핀 온)되어 있다. 다양한 실시예에서, 보호 층(202)은 SiO2(이산화규소), B-SiO2(즉, 붕소 도핑된 이산화규소), P-SiO2(즉, 인 도핑된 이산화규소) 등 중 하나 이상을 포함할 수 있다. 다른 실시예에서, 보호 층(202)은 저온 플라즈마 강화 화학 증착(plasma enhanced chemical vapor deposition, PECVD), 원자 층 침착(ALD), 플라즈마 강화 원자 층 침착(PEALD), 또는 유사 방법들에 의해 코팅된 비-화학량론적 유전체 재료(비-디바이스 품질 유전체 재료)를 포함할 수 있다. 보호 층(202)은 일부 실시예에서 50 nm 미만의 두께일 수 있다(다른 실시예에서는 더 두껍거나 더 얇을 수 있다). 공정의 일부로서, 코팅 공정의 속성에 따라, 보호 층(202)은 불활성 가스 또는 진공에서 대략 30분 동안 100℃ 미만의 온도로 경화될 수 있다. 다양한 다른 구현예에서, 경화 온도와 시간 및 주위 환경은 달라질 수 있다. 일부 경우에, 보호 층(202)은 후속하여, 접착제 층(106)을 추가하기 전에 플라즈마 방사선으로 처리될 수 있다.
블록(B)에서, 하나 이상의 디바이스들(도시되지 않은 디바이스들)을 포함하는 기판(102)은 전술된 바와 같이 일시적인 접착제(106)를 사용하여 핸들 기판(104)에 접합된다. 예시적인 공정(200)에서, 접합 층(106)은 금속 배선 층(108)과 접촉하는 대신에 보호(희생) 층(202)과 접촉한다. 이러한 방식으로, 민감성 금속 배선 층(108)이 접착제(106) 및 그의 잔류물(112)로부터 보호된다. 블록(C)에서, 기판(102)은 의도된 응용에 대해 원하는 대로 감소되고 필요에 따라 추가로 처리된다. 블록(D)에서, 감소된 기판(102)은 다이싱 시트(110)에 부착되며, 이때 핸들(104)이 위쪽에 있다.
블록(E)에서, 핸들(104)이 제거되고, 블록(F)에서, 일시적인 접합 층(106)이 제거되어, 잔류물(112)을 남긴다. 이러한 예시적인 공정(200)에서, 금속 배선 층(108)보다는 오히려 보호 층(202) 상에 잔류물(112)이 남겨진다. 일부 다른 실시예에서, 바람직하지 않은 잔류물(112)은 다이싱 시트 또는 연삭 시트 접착제로부터의 잔류물일 수 있다. 바람직하지 않은 잔류물(112)의 소스와는 무관하게, 기판(102)을 이용하는 디바이스들은, 바람직하지 않은 잔류물(112)이 보호 희생 층(202)과 접촉하는 그러한 시퀀스로 형성된다.
도 3을 참조하면, 공정(200)이 계속된다. 블록(F)은 논의의 연속성 및 용이함을 위해 도 3에 다시 도시되어 있다. 선택적인 공정 단계로서, 블록(F)에서, 잔류물(112)은, 예를 들어 10분 미만 동안, 산소 플라즈마에 노출되어 더 얇은 잔류물(112)을 제거할 수 있다. 일 실시예에서, 플라즈마 노출은 또한 친수성을 증가시킬 수 있고 코팅된 무기 보호 층(202)에서의 접합을 약화시킬 수 있으며, 보호 층(202) 및 잔류물(112)이 기판(102)에서 제거되는 것을 더 용이하게 할 수 있다. 블록(G)에서, 기판(102)은 다이들(114)로 싱귤레이팅된다. 블록(G)에 도시된 바와 같이, 싱귤레이션 후에, 다이들(114) 상에, 보호 층(202) 상에, 잔류물(112)이 남아 있을 수 있다(또는 추가로 축적될 수 있다).
블록(H)에서, 예를 들어 2% 미만, 바람직하게는 0.2% 미만의 플루오르화 이온 농도를 갖는 습식 희석 에칭제(302)(예컨대, 완충된 산화물 에칭제(BHF), 플루오르화수소산(HF), 당화 희석 BFH 또는 HF 등)가 다이들(114) 상으로 분무되어 무기 보호 층(202)을 부수고 제거한다. 일부 실시예에서, 에칭제(302)는 보호 층(202) 아래의 배선 층(108) 내의 금속의 에칭을 억제하기 위해 착화제를 포함하는 것이 바람직하다. 착화제는, 예를 들어 전도성 금속이 구리인 경우, 트라이아졸 모이어티를 갖는 착화제 등을 포함할 수 있다. 습식 에칭제(302)는, 원하는 대로, 미리선택된 지속기간 동안, 스핀 공정(도시된 바와 같음), 다른 배치(batch) 공정 등에 의해 적용될 수 있다. 착화제는 적합한 용매, 예를 들어, 알코올을 함유하는 용매로 후속 세정 동작에서 제거될 수 있다.
블록(I)에서, 싱귤레이팅된 다이들(114)은 잔류물(112)이 없는 것으로 도시되어 있다. 보호 층(202)의 제거는 또한, 다이들(114)의 배선 층(108)을 열화시키지 않고서, 다이들(114)의 표면으로부터 잔류물(112)을 제거한다. 일 실시예에서, 블록(J) 및 블록(K)에 도시된 바와 같이, 하나 이상의 추가적인 무기(또는 대안적인 실시예에서, 유기) 보호 층들(304)이 기판(102)의 제2(반대편) 표면에 이전에 추가된 것으로 도시되어 있다. 예를 들어, 다양한 구현예에서, 추가적인 보호 층(들)(304)은 선택적으로 다양한 공정들 동안 기판(102)을 보호하기 위해 기판(102)의 제2 표면에 추가될 수 있다. 보호 층(들)(304)은, 예를 들어, 다이싱 시트 상으로 기판(102)을 위치시키기 전에 추가될 수 있다(블록(D) 참조). 그러한 실시예에서, 보호 층(들)(304)은 기판(102)의 제2 표면을 다이싱 시트와 연관된 잔류물 또는 접착제로부터 보호할 수 있거나, 또는 기판(102)의 제2 표면으로부터 그러한 잔류물을 세정하는 것을 용이하게 할 수 있다. 블록(J)에서, 기판(102)은 다이들(114)로 싱귤레이팅된 것으로 도시되고, 블록(K)에서, 기판(102)은 온전한 상태로 도시된다.
다양한 실시예에 따른 다른 예시적인 다이 처리 시퀀스(400)가 도 4 및 도 5에 도시되어 있다. 실시예에서, 2개 이상의 보호 층들(202, 402)이 접착제(106) 이전에 금속 배선 층(108)에 적용된다. 일 실시예에서, 배선 층(108)은 유기 보호 층(402)(예컨대, 유기 레지스트 등)으로 보호되고, 유기 보호 층(402)은, 앞서 논의된 바와 같이, 기판(102)에 핸들 기판(104)을 접합하기 전에, 무기 보호(희생) 층(202)에 의해 보호된다. 실시예에서, (보호 층(402)과 같은) 추가적인 보호 층의 사용은 노출된 층들이 처리되는 동안 하부 층들(예컨대, 배선 층(108))이 보호되게 한다. 예를 들어, 추가적인 유기 보호 층(402)은, 배선 층(108)에 유해할(예컨대, 그에 대해 부식성일, 조면화시킬, 고갈시킬) 수 있는 화학물질들 및/또는 기법들을 사용하여 보호 층(202)이 제거되게 한다.
도 4를 참조하면, 블록(A)에서, 하나 이상의 디바이스들(도시되지 않은 디바이스들)을 포함하는 기판(102)은, 전술된 바와 같이, 초기에 배선 층(108) 위에 얇은(예를 들어, 스핀 온된) 유기 보호 층(402)으로 코팅되고, 이어서 더 얇은 무기 보호 층(202)(예컨대, SiO2, B-SiO2, P-SiO2 등)으로 코팅된다.
블록(B)에서, 기판(102)은 전술된 바와 같이 일시적인 접착제(106)를 사용하여 핸들 기판(104)에 접합된다. 또한, 이러한 예에서, 접합 층(106)은 금속 배선 층(108) 또는 유기 층(402)과 접촉하는 대신에 보호(희생) 층(202)과 접촉한다. 블록(C)에서, 기판(102)은 원하는 대로 감소되고, 블록(D)에서, 감소된 기판(102)은 다이싱 시트(110)에 부착되며, 이때 핸들(104)이 위쪽에 있다.
블록(E)에서, 핸들(104)이 제거되고, 블록(F)에서, 일시적인 접합 층(106)이 제거되어, 일반적으로 잔류물(112)을 남긴다. 또한, 이러한 예에서, 잔류물(112)은 금속 배선 층(108) 또는 유기 층(402)보다는 오히려 보호 층(202) 상에 남겨진다.
도 5를 참조하면, 공정(400)이 계속된다. 블록(F)은 논의의 연속성 및 용이함을 위해 도 5에서 재현된다. 선택적으로, 블록(F)에서, 잔류물(112)은, 예를 들어 10분 미만 동안 산소 플라즈마에 노출되어, 더 얇은 잔류물(112) 층을 제거하고, 또한 친수성을 증가시키고 코팅된 무기 보호 층(202)에서의 접합을 약화시킬 수 있다. 이는 보호 층(202) 및 잔류물(112)이 기판(102)에서 제거되는 것을 더 용이하게 할 수 있다. 블록(G)에서, 기판(102)은 선택적으로 다이들(114)로 싱귤레이팅된다. 도시된 바와 같이, 다이들(114) 상에, 보호 층(202) 상에, 잔류물(112)이 남아 있을 수 있다. 블록(H)에서, 습식 희석 에칭제(302)(예컨대, 완충된 산화물 에칭제(BHF), 플루오르화수소산(HF) 등)가 다이들(114) 상으로 분무되어 무기 보호 층(202)을 부수고 제거한다. 습식 에칭제(302)는 원하는 대로 미리선택된 지속기간 동안, 스핀 공정 등에 의해 적용될 수 있다. 보호 유기 층(402)은 다이들(114) 상에 남아 있다.
블록(I)에서, 싱귤레이팅된 다이들(114)은 잔류물(112)이 실질적으로 없는 것으로 도시되어 있다. 보호 층(202)의 제거는 또한, 적어도 부분적으로 배선 층(108) 위의 보호 유기 층(402)으로 인해, 배선 층(108)을 열화시키지 않고서, 다이들(114)의 표면으로부터 잔류물(112)을 제거한다. 일 실시예에서, 블록(J) 및 블록(K)에 도시된 바와 같이, 하나 이상의 추가적인 무기 또는 유기 보호 층(304)이 기판(102)의 제2(반대편) 표면에 이전에 추가된 것으로 도시되어 있다. 예를 들어, 다양한 구현예에서, 추가적인 보호 층(들)(304)은 선택적으로 다양한 공정들 동안 기판(102)을 보호하기 위해 기판(102)의 제2 표면에 추가될 수 있다. 보호 층(들)(304)은, 예를 들어, 다이싱 시트 상으로 기판(102)을 위치시키기 전에 추가될 수 있다(블록(D) 참조). 그러한 실시예에서, 보호 층(들)(304)은 기판(102)의 제2 표면을 다이싱 시트와 연관된 잔류물 또는 접착제로부터 보호할 수 있거나, 또는 기판(102)의 제2 표면으로부터 그러한 잔류물을 세정하는 것을 용이하게 할 수 있다. 블록(J)에서, 기판(102)은 다이들(114)로 싱귤레이팅된 것으로 도시되고, 블록(K)에서, 기판(102)은 온전한 상태로 도시된다.
일 실시예에서, 예를 들어, 도 1의 블록(E)에, 도 3의 블록(F)에, 그리고 도 5의 블록(F)에 도시된 바와 같이 일시적인 접합 층(106)의 제거 후에, 싱귤레이션 단계 전에 층(202)을 제거함으로써 바람직하지 않은 잔류물(112)이 제거될 수 있다. 다시 말하면, 기판(102)은 보호 층(202)과 함께 또는 보호 층 없이 싱귤레이팅될 수 있다. 예를 들어, 기판(102)은 싱귤레이션 단계 전에 (예를 들어, 층(202)과 같은) 보호 층으로 코팅되어, 싱귤레이션 동안 기계적 다이싱(예컨대, 쏘잉(sawing))으로부터의 다이싱 부스러기가 배선 층(108)에 접착되는 것을 방지하고, 다이싱 부스러기가 보호 층(202)과 함께 제거되게 할 수 있다.
다양한 실시예에서, 다른 보호 층 조합들(및 임의의 수의 보호 층들)이 공정 단계들의 영향으로부터 하부 층들을 보호하는 데 사용될 수 있다. 각각의 보호 층은 선택적으로 제거되도록 화학적으로 엔지니어링될 수 있는 반면, 제거되는 보호 층 아래에 있는 층은 예를 들어 배선 층(108)과 같은 하부 층들을 보호한다. 유기 층은 사용되는 용매에 대한 친화성으로서 작용하도록 소수성 또는 친수성일 수 있다. 예를 들어, 2-층 조합은 2개의 포토레지스트 층들, 하나의 소수성 층과 하나의 무기 층 등을 포함할 수 있다. 3개 이상의 보호 층들의 조합이 또한 유사한 방식으로 사용될 수 있는데, 그 이유는 각각의 층이 처리의 부정적인 영향으로부터 하부 층을 보호하는 작용을 하기 때문이다. 일반적으로, 배선 층(108)이 금속 제거 또는 토포그래피의 조면화에 의해 열화되지 않는 것을 보장하는 것은 하나 이상의 보호 층들의 목표이다. 다양한 실시예에서, 습식 세정 단계 후에, 처리된 기판들 또는 다이들은 다른 깨끗한 유전체 표면에 접합되기 전에 추가로 처리될 수 있다.
결론
본 발명의 구현예가 구조적 특징들 및/또는 방법론적 동작들에 특정적인 언어로 설명되었지만, 구현예는 반드시 설명된 특정 특징들 또는 동작들로 제한되지는 않는다는 것이 이해되어야 한다. 오히려, 특정 특징들 및 동작들은 예시적인 디바이스들 및 기술들을 구현하는 대표적인 형태들로서 개시된다.
본 문헌의 각각의 청구항은 별개의 실시예를 구성하며, 상이한 청구항 및/또는 상이한 실시예를 조합하는 실시예가 본 개시의 범위 내에 있고, 본 개시의 검토 시에 당업자에게 명백할 것이다.

Claims (20)

  1. 마이크로전자 조립체(microelectronic assembly)의 형성 방법으로서,
    노출된 전도성 배선 층을 갖는 기판을 제공하는 단계;
    상기 전도성 배선 층을 하나 이상의 보호 희생 층들로 코팅하는 단계;
    일시적인 접합 층을 사용하여 상기 하나 이상의 보호 희생 층들에 핸들 기판을 접합하는 단계;
    상기 핸들 기판이 상기 기판에 접합되는 동안 상기 기판을 처리하는 단계;
    상기 핸들 기판을 제거하는 단계;
    상기 일시적인 접합 층을 제거하는 단계;
    상기 기판, 상기 하나 이상의 보호 희생 층들, 및 상기 일시적인 접합 층의 잔류물을 미리선택된 지속기간 동안 습식 에칭제에 노출시키는 단계 - 상기 습식 에칭제는 상기 보호 희생 층들 중 하나 이상을 분해함 -; 및
    상기 전도성 배선 층으로부터 상기 보호 희생 층들 및 상기 잔류물 중 하나 이상을 세척하는 단계를 포함하는, 방법.
  2. 제1항에 있어서, 상기 기판, 상기 하나 이상의 보호 희생 층들, 및 상기 잔류물을 상기 습식 에칭제에 노출시키기 전에, 상기 하나 이상의 보호 희생 층들의 수분 흡수 특성들을 변경시키기 위해 상기 기판, 상기 하나 이상의 보호 희생 층들, 및 상기 잔류물을 미리선택된 지속기간 동안 산소 플라즈마 방사선에 노출시키는 단계를 추가로 포함하는, 방법.
  3. 제1항에 있어서, 상기 전도성 배선 층 반대편의 상기 기판의 표면을 유기 또는 무기 보호 층으로 코팅하는 단계를 추가로 포함하는, 방법.
  4. 제1항에 있어서, 상기 처리하는 단계는 상기 핸들 기판이 상기 기판에 접합되는 동안 상기 전도성 배선 층 반대편의 상기 기판의 표면으로부터 상기 기판의 일부분을 제거하는 단계를 포함하는, 방법.
  5. 제1항에 있어서, 상기 보호 희생 층들 중 하나 이상은 무기 이산화규소(SiO2), 붕소 도핑된 이산화규소(B-SiO2), 또는 인 도핑된 이산화규소(P-SiO2) 재료를 포함하는, 방법.
  6. 제1항에 있어서, 상기 하나 이상의 보호 희생 층들은 상기 전도성 배선 층 위의 유기 보호 층 및 상기 유기 보호 층 위의 무기 보호 층을 포함하고, 상기 유기 보호 층은 상기 무기 보호 층 및 상기 잔류물의 제거로 인한 열화로부터 상기 전도성 배선 층을 보호하도록 적응되는, 방법.
  7. 제1항에 있어서, 상기 습식 에칭제는 완충된 산화물 에칭제(BHF) 또는 플루오르화수소산(HF)을 포함하는, 방법.
  8. 제1항에 있어서, 상기 습식 에칭제는 상기 전도성 배선 층의 용해를 억제하도록 적응된 착화제를 포함하는, 방법.
  9. 제1항에 있어서, 상기 보호 희생 층들 중 상기 하나 이상은 스핀 코팅, 플라즈마 물리 증착(PVD)을 사용하여, 또는 전기영동 공정을 사용하여 적용되는, 방법.
  10. 제1항에 있어서, 상기 기판은 상기 희생 보호 층의 제거 전에 싱귤레이팅되는, 방법.
  11. 제1항에 있어서, 상기 기판은 상기 희생 보호 층의 제거 후에 싱귤레이팅되는, 방법.
  12. 제1항에 있어서, 상기 전도성 배선 층은 상기 습식 에칭제에 대한 노출에 의해 열화되거나, 조면화되거나, 또는 부식되지 않는, 방법.
  13. 마이크로전자 조립체의 형성 방법으로서,
    노출된 배선 층을 갖는 기판을 제공하는 단계;
    상기 배선 층을 하나 이상의 보호 희생 층들로 코팅하는 단계;
    상기 기판을 처리하는 단계;
    상기 기판, 상기 하나 이상의 보호 희생 층들, 및 상기 처리하는 단계의 잔류물을 미리선택된 지속기간 동안 습식 에칭제에 노출시키는 단계 - 상기 습식 에칭제는 상기 보호 희생 층들 중 하나 이상을 분해함 -; 및
    상기 배선 층으로부터 상기 보호 희생 층들 및 상기 잔류물 중 하나 이상을 세척하는 단계를 포함하는, 방법.
  14. 제13항에 있어서, 상기 기판을 처리하는 단계는,
    일시적인 접합 층을 사용하여 상기 하나 이상의 보호 희생 층들에 일시적인 기판을 접합하는 단계;
    상기 일시적인 기판이 상기 하나 이상의 보호 희생 층들에 접합되는 동안 상기 기판을 처리하는 단계; 및
    상기 일시적인 기판 및 상기 일시적인 접합 층을 제거하는 단계를 추가로 포함하는, 방법.
  15. 제13항에 있어서, 상기 하나 이상의 보호 희생 층들을 제거함으로써 상기 처리하는 단계의 잔류물을 제거하는 단계를 추가로 포함하는, 방법.
  16. 제13항에 있어서, 상기 배선 층을 상기 습식 에칭제로 열화하거나, 조면화하거나, 또는 부식시키지 않고서 상기 처리하는 단계의 잔류물을 제거하는 단계를 추가로 포함하는, 방법.
  17. 제13항에 있어서, 상기 배선 층을 상기 습식 에칭제에 노출시키지 않고서 상기 처리하는 단계의 잔류물을 제거하는 단계를 추가로 포함하는, 방법.
  18. 제13항에 있어서, 상기 보호 희생 층들 중 하나 이상을 제거하기 전에 상기 기판을 싱귤레이팅하는 단계를 추가로 포함하는, 방법.
  19. 마이크로전자 조립체의 형성 방법으로서,
    노출된 전도성 배선 층을 갖는 기판을 제공하는 단계;
    상기 전도성 배선 층을 하나 이상의 보호 희생 층들로 코팅하는 단계;
    일시적인 접합 층을 사용하여 상기 하나 이상의 보호 희생 층들에 핸들 기판을 접합하는 단계;
    상기 핸들 기판이 상기 기판에 접합되는 동안 상기 기판을 처리하는 단계;
    상기 핸들 기판을 제거하는 단계;
    상기 일시적인 접합 층을 제거하는 단계;
    상기 보호 희생 층들 중 하나 이상의 보호 희생 층의 수분 흡수 특성들을 변경시키기 위해 상기 기판, 상기 하나 이상의 보호 희생 층들, 및 상기 일시적인 접합 층의 잔류물을 미리선택된 지속기간 동안 산소 플라즈마 방사선에 노출시키는 단계;
    상기 기판, 상기 하나 이상의 보호 희생 층들, 및 상기 잔류물을 미리선택된 지속기간 동안 습식 에칭제에 노출시키는 단계 - 상기 습식 에칭제는 상기 보호 희생 층들 중 하나 이상을 분해함 -; 및
    상기 전도성 배선 층으로부터 상기 보호 희생 층들 및 상기 잔류물 중 하나 이상을 세척하는 단계를 포함하는, 방법.
  20. 제19항에 있어서, 아래에 있는 하부 층을 보호하면서 상기 보호 희생 층을 선택적으로 제거하는 단계를 추가로 포함하는, 방법.
KR1020197021078A 2016-12-28 2017-12-19 적층된 기판의 처리 KR102320673B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662439771P 2016-12-28 2016-12-28
US62/439,771 2016-12-28
US15/846,731 2017-12-19
PCT/US2017/067304 WO2018125673A2 (en) 2016-12-28 2017-12-19 Processing stacked substrates
US15/846,731 US10707087B2 (en) 2016-12-28 2017-12-19 Processing stacked substrates

Publications (2)

Publication Number Publication Date
KR20190092574A true KR20190092574A (ko) 2019-08-07
KR102320673B1 KR102320673B1 (ko) 2021-11-01

Family

ID=62625058

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197021078A KR102320673B1 (ko) 2016-12-28 2017-12-19 적층된 기판의 처리

Country Status (6)

Country Link
US (3) US10707087B2 (ko)
EP (1) EP3563411B1 (ko)
KR (1) KR102320673B1 (ko)
CN (2) CN110178212B (ko)
TW (1) TWI744443B (ko)
WO (1) WO2018125673A2 (ko)

Families Citing this family (81)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US11069734B2 (en) 2014-12-11 2021-07-20 Invensas Corporation Image sensor device
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
US10607136B2 (en) 2017-08-03 2020-03-31 Xcelsis Corporation Time borrowing between layers of a three dimensional chip stack
TWI822659B (zh) 2016-10-27 2023-11-21 美商艾德亞半導體科技有限責任公司 用於低溫接合的結構和方法
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
US10796936B2 (en) 2016-12-22 2020-10-06 Invensas Bonding Technologies, Inc. Die tray with channels
WO2018125673A2 (en) * 2016-12-28 2018-07-05 Invensas Bonding Technologies, Inc Processing stacked substrates
US20180182665A1 (en) 2016-12-28 2018-06-28 Invensas Bonding Technologies, Inc. Processed Substrate
KR20190092584A (ko) 2016-12-29 2019-08-07 인벤사스 본딩 테크놀로지스 인코포레이티드 집적된 수동 컴포넌트를 구비한 접합된 구조체
WO2018147940A1 (en) 2017-02-09 2018-08-16 Invensas Bonding Technologies, Inc. Bonded structures
US10629577B2 (en) 2017-03-16 2020-04-21 Invensas Corporation Direct-bonded LED arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
WO2018183739A1 (en) 2017-03-31 2018-10-04 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10529634B2 (en) 2017-05-11 2020-01-07 Invensas Bonding Technologies, Inc. Probe methodology for ultrafine pitch interconnects
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10217720B2 (en) 2017-06-15 2019-02-26 Invensas Corporation Multi-chip modules formed using wafer-level processing of a reconstitute wafer
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US10658313B2 (en) 2017-12-11 2020-05-19 Invensas Bonding Technologies, Inc. Selective recess
US11011503B2 (en) 2017-12-15 2021-05-18 Invensas Bonding Technologies, Inc. Direct-bonded optoelectronic interconnect for high-density integrated photonics
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11256004B2 (en) 2018-03-20 2022-02-22 Invensas Bonding Technologies, Inc. Direct-bonded lamination for improved image clarity in optical devices
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US11244916B2 (en) 2018-04-11 2022-02-08 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) * 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
WO2019241367A1 (en) 2018-06-12 2019-12-19 Invensas Bonding Technologies, Inc. Interlayer connection of stacked microelectronic components
EP3807927A4 (en) 2018-06-13 2022-02-23 Invensas Bonding Technologies, Inc. TSV AS A HIDEPAD
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
US11664357B2 (en) 2018-07-03 2023-05-30 Adeia Semiconductor Bonding Technologies Inc. Techniques for joining dissimilar materials in microelectronics
US11462419B2 (en) 2018-07-06 2022-10-04 Invensas Bonding Technologies, Inc. Microelectronic assemblies
WO2020010136A1 (en) 2018-07-06 2020-01-09 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US11296044B2 (en) 2018-08-29 2022-04-05 Invensas Bonding Technologies, Inc. Bond enhancement structure in microelectronics for trapping contaminants during direct-bonding processes
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
WO2020150159A1 (en) 2019-01-14 2020-07-23 Invensas Bonding Technologies, Inc. Bonded structures
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
KR20220120631A (ko) 2019-12-23 2022-08-30 인벤사스 본딩 테크놀로지스 인코포레이티드 결합형 구조체를 위한 전기적 리던던시
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
US11735523B2 (en) 2020-05-19 2023-08-22 Adeia Semiconductor Bonding Technologies Inc. Laterally unconfined structure
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
US20220139867A1 (en) * 2020-10-29 2022-05-05 Invensas Bonding Technologies, Inc. Direct bonding methods and structures
WO2022094579A1 (en) * 2020-10-29 2022-05-05 Invensas Bonding Technologies, Inc. Direct bonding methods and structures
US11574817B2 (en) * 2021-05-05 2023-02-07 International Business Machines Corporation Fabricating an interconnection using a sacrificial layer

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080032488A1 (en) * 2006-08-07 2008-02-07 Jiunn-Yi Chu Method of separating semiconductor dies
US20080166525A1 (en) * 2006-12-21 2008-07-10 Interuniversitair Microelektronica Centrum (Imec) Method for bonding a die or substrate to a carrier
US20090152655A1 (en) * 2006-02-24 2009-06-18 Richard Ian Laming Mems device
KR20090096728A (ko) * 2006-12-21 2009-09-14 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 에칭 후 잔류물의 제거를 위한 액체 세정제
WO2013006865A2 (en) * 2011-07-07 2013-01-10 Brewer Science Inc. Methods of transferring device wafers or layers between carrier substrates and other surfaces
KR20130088847A (ko) * 2010-07-16 2013-08-08 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 에칭 후 잔류물을 제거하기 위한 수성 세정제
JP2014508405A (ja) * 2011-01-31 2014-04-03 エムイーエムシー・エレクトロニック・マテリアルズ・インコーポレイテッド Soi構造体のデバイス層中の金属含有量の減少方法、およびこのような方法により製造されるsoi構造体
US20140213039A1 (en) * 2013-01-25 2014-07-31 Chungsun Lee Methods of processing substrates
US20140273334A1 (en) * 2013-03-15 2014-09-18 First Solar, Inc. Method of manufacturing a photovoltaic device
WO2016181228A2 (en) * 2015-05-11 2016-11-17 Mybiotix Pharma Ltd. Systems and methods for growing a biofilm of probiotic bacteria on solid particles for colonization of bacteria in the gut

Family Cites Families (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69429848T2 (de) 1993-11-01 2002-09-26 Matsushita Electric Ind Co Ltd Elektronische Anordnung und Verfahren zur Herstellung
KR960009074A (ko) 1994-08-29 1996-03-22 모리시다 요이치 반도체 장치 및 그 제조방법
US6097096A (en) 1997-07-11 2000-08-01 Advanced Micro Devices Metal attachment method and structure for attaching substrates at low temperatures
JP3532788B2 (ja) 1999-04-13 2004-05-31 唯知 須賀 半導体装置及びその製造方法
JP2001094005A (ja) * 1999-09-22 2001-04-06 Oki Electric Ind Co Ltd 半導体装置及び半導体装置の製造方法
JP3440057B2 (ja) 2000-07-05 2003-08-25 唯知 須賀 半導体装置およびその製造方法
US6423640B1 (en) 2000-08-09 2002-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Headless CMP process for oxide planarization
TW522531B (en) * 2000-10-20 2003-03-01 Matsushita Electric Ind Co Ltd Semiconductor device, method of manufacturing the device and mehtod of mounting the device
JP2002353416A (ja) 2001-05-25 2002-12-06 Sony Corp 半導体記憶装置およびその製造方法
US6887769B2 (en) 2002-02-06 2005-05-03 Intel Corporation Dielectric recess for wafer-to-wafer and die-to-die metal bonding and method of fabricating the same
US6762076B2 (en) 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US7105980B2 (en) 2002-07-03 2006-09-12 Sawtek, Inc. Saw filter device and method employing normal temperature bonding for producing desirable filter production and performance characteristics
JP4083502B2 (ja) 2002-08-19 2008-04-30 株式会社フジミインコーポレーテッド 研磨方法及びそれに用いられる研磨用組成物
US7023093B2 (en) 2002-10-24 2006-04-04 International Business Machines Corporation Very low effective dielectric constant interconnect Structures and methods for fabricating the same
US6962835B2 (en) 2003-02-07 2005-11-08 Ziptronix, Inc. Method for room temperature metal direct bonding
US6908027B2 (en) 2003-03-31 2005-06-21 Intel Corporation Complete device layer transfer without edge exclusion via direct wafer bonding and constrained bond-strengthening process
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
FR2857953B1 (fr) * 2003-07-21 2006-01-13 Commissariat Energie Atomique Structure empilee, et procede pour la fabriquer
US6867073B1 (en) 2003-10-21 2005-03-15 Ziptronix, Inc. Single mask via method and device
US7226812B2 (en) * 2004-03-31 2007-06-05 Intel Corporation Wafer support and release in wafer processing
US7326629B2 (en) * 2004-09-10 2008-02-05 Agency For Science, Technology And Research Method of stacking thin substrates by transfer bonding
US20060057945A1 (en) 2004-09-16 2006-03-16 Chia-Lin Hsu Chemical mechanical polishing process
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US7193423B1 (en) 2005-12-12 2007-03-20 International Business Machines Corporation Wafer-to-wafer alignments
US8058101B2 (en) 2005-12-23 2011-11-15 Tessera, Inc. Microelectronic packages and methods therefor
US7968379B2 (en) * 2006-03-09 2011-06-28 SemiLEDs Optoelectronics Co., Ltd. Method of separating semiconductor dies
US7750488B2 (en) 2006-07-10 2010-07-06 Tezzaron Semiconductor, Inc. Method for bonding wafers to produce stacked integrated circuits
US7803693B2 (en) 2007-02-15 2010-09-28 John Trezza Bowed wafer hybridization compensation
US8349635B1 (en) 2008-05-20 2013-01-08 Silicon Laboratories Inc. Encapsulated MEMS device and method to form the same
US9893004B2 (en) 2011-07-27 2018-02-13 Broadpak Corporation Semiconductor interposer integration
US7867876B2 (en) * 2008-12-23 2011-01-11 International Business Machines Corporation Method of thinning a semiconductor substrate
US8476165B2 (en) 2009-04-01 2013-07-02 Tokyo Electron Limited Method for thinning a bonding wafer
US8482132B2 (en) 2009-10-08 2013-07-09 International Business Machines Corporation Pad bonding employing a self-aligned plated liner for adhesion enhancement
US8252682B2 (en) * 2010-02-12 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for thinning a wafer
US7883991B1 (en) * 2010-02-18 2011-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Temporary carrier bonding and detaching processes
JP5517800B2 (ja) 2010-07-09 2014-06-11 キヤノン株式会社 固体撮像装置用の部材および固体撮像装置の製造方法
FR2966283B1 (fr) 2010-10-14 2012-11-30 Soi Tec Silicon On Insulator Tech Sa Procede pour realiser une structure de collage
US8377798B2 (en) 2010-11-10 2013-02-19 Taiwan Semiconductor Manufacturing Co., Ltd Method and structure for wafer to wafer bonding in semiconductor packaging
US8552536B2 (en) * 2010-12-16 2013-10-08 Qualcomm Mems Technologies, Inc. Flexible integrated circuit device layers and processes
US8620164B2 (en) 2011-01-20 2013-12-31 Intel Corporation Hybrid III-V silicon laser formed by direct bonding
US8716105B2 (en) 2011-03-31 2014-05-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures and intermediate structures formed using such methods
US8501537B2 (en) 2011-03-31 2013-08-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures formed using such methods
EP3534399A1 (en) 2011-05-24 2019-09-04 Sony Corporation Semiconductor device
JP5982748B2 (ja) 2011-08-01 2016-08-31 ソニー株式会社 半導体装置、半導体装置の製造方法、および電子機器
US8697493B2 (en) 2011-07-18 2014-04-15 Soitec Bonding surfaces for direct bonding of semiconductor structures
US8441131B2 (en) 2011-09-12 2013-05-14 Globalfoundries Inc. Strain-compensating fill patterns for controlling semiconductor chip package interactions
CN103377911B (zh) 2012-04-16 2016-09-21 中国科学院微电子研究所 提高化学机械平坦化工艺均匀性的方法
US9142517B2 (en) 2012-06-05 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding mechanisms for semiconductor wafers
US8809123B2 (en) 2012-06-05 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Three dimensional integrated circuit structures and hybrid bonding methods for semiconductor wafers
US8987057B2 (en) * 2012-10-01 2015-03-24 Nxp B.V. Encapsulated wafer-level chip scale (WLSCP) pedestal packaging
US20140175655A1 (en) 2012-12-22 2014-06-26 Industrial Technology Research Institute Chip bonding structure and manufacturing method thereof
KR102075635B1 (ko) * 2013-01-03 2020-03-02 삼성전자주식회사 웨이퍼 지지 구조물, 웨이퍼 지지 구조물을 포함하는 반도체 패키지의 중간 구조물, 및 중간 구조물을 이용한 반도체 패키지의 제조 방법
US8946784B2 (en) 2013-02-18 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for image sensor packaging
US9443796B2 (en) 2013-03-15 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Air trench in packages incorporating hybrid bonding
US8802538B1 (en) 2013-03-15 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for hybrid wafer bonding
US9064937B2 (en) 2013-05-30 2015-06-23 International Business Machines Corporation Substrate bonding with diffusion barrier structures
US9929050B2 (en) 2013-07-16 2018-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming three-dimensional integrated circuit (3DIC) stacking structure
JP6330151B2 (ja) * 2013-09-17 2018-05-30 パナソニックIpマネジメント株式会社 半導体装置及びその製造方法
US9723716B2 (en) 2013-09-27 2017-08-01 Infineon Technologies Ag Contact pad structure, an electronic component, and a method for manufacturing a contact pad structure
US9257399B2 (en) 2013-10-17 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. 3D integrated circuit and methods of forming the same
JP2015115446A (ja) 2013-12-11 2015-06-22 株式会社東芝 半導体装置の製造方法
US9437572B2 (en) 2013-12-18 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive pad structure for hybrid bonding and methods of forming same
CN105849215B (zh) * 2013-12-26 2019-09-03 日立化成株式会社 临时固定用膜、临时固定用膜片材及半导体装置
US10157766B2 (en) * 2014-03-19 2018-12-18 Samsung Electronics Co., Ltd. Method of fabricating a semiconductor device
US9230941B2 (en) 2014-03-28 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structure for stacked semiconductor devices
US9299736B2 (en) 2014-03-28 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding with uniform pattern density
US9472458B2 (en) 2014-06-04 2016-10-18 Semiconductor Components Industries, Llc Method of reducing residual contamination in singulated semiconductor die
US9786643B2 (en) * 2014-07-08 2017-10-10 Micron Technology, Inc. Semiconductor devices comprising protected side surfaces and related methods
KR102275705B1 (ko) 2014-07-11 2021-07-09 삼성전자주식회사 웨이퍼 대 웨이퍼 접합 구조
US9401303B2 (en) * 2014-08-01 2016-07-26 Globalfoundries Inc. Handler wafer removal by use of sacrificial inert layer
US9536848B2 (en) 2014-10-16 2017-01-03 Globalfoundries Inc. Bond pad structure for low temperature flip chip bonding
US9394161B2 (en) 2014-11-14 2016-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. MEMS and CMOS integration with low-temperature bonding
KR102327141B1 (ko) * 2014-11-19 2021-11-16 삼성전자주식회사 프리패키지 및 이를 사용한 반도체 패키지의 제조 방법
JP2016146395A (ja) * 2015-02-06 2016-08-12 株式会社テラプローブ 半導体装置の製造方法及び半導体装置
KR102004195B1 (ko) * 2015-03-23 2019-07-26 후지필름 가부시키가이샤 키트 및 적층체
US9656852B2 (en) 2015-07-06 2017-05-23 Taiwan Semiconductor Manufacturing Company Ltd. CMOS-MEMS device structure, bonding mesa structure and associated method
US9455179B1 (en) * 2015-07-09 2016-09-27 International Business Machines Corporation Methods to reduce debonding forces on flexible semiconductor films disposed on vapor-releasing adhesives
US10075657B2 (en) 2015-07-21 2018-09-11 Fermi Research Alliance, Llc Edgeless large area camera system
US9728521B2 (en) 2015-07-23 2017-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bond using a copper alloy for yield improvement
US9559081B1 (en) 2015-08-21 2017-01-31 Apple Inc. Independent 3D stacking
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
JP2017054861A (ja) * 2015-09-07 2017-03-16 株式会社東芝 半導体装置の製造方法
US9496239B1 (en) 2015-12-11 2016-11-15 International Business Machines Corporation Nitride-enriched oxide-to-oxide 3D wafer bonding
US9923011B2 (en) 2016-01-12 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with stacked semiconductor dies
US10026716B2 (en) 2016-04-15 2018-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC formation with dies bonded to formed RDLs
KR102505856B1 (ko) 2016-06-09 2023-03-03 삼성전자 주식회사 웨이퍼 대 웨이퍼 접합 구조체
US9941241B2 (en) 2016-06-30 2018-04-10 International Business Machines Corporation Method for wafer-wafer bonding
US10163750B2 (en) 2016-12-05 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure for heat dissipation
JP6512454B2 (ja) * 2016-12-06 2019-05-15 パナソニックIpマネジメント株式会社 素子チップの製造方法
US10453832B2 (en) 2016-12-15 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Seal ring structures and methods of forming same
US20180182665A1 (en) 2016-12-28 2018-06-28 Invensas Bonding Technologies, Inc. Processed Substrate
WO2018125673A2 (en) 2016-12-28 2018-07-05 Invensas Bonding Technologies, Inc Processing stacked substrates
US10431614B2 (en) 2017-02-01 2019-10-01 Semiconductor Components Industries, Llc Edge seals for semiconductor packages
JP6640780B2 (ja) 2017-03-22 2020-02-05 キオクシア株式会社 半導体装置の製造方法および半導体装置
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10580823B2 (en) 2017-05-03 2020-03-03 United Microelectronics Corp. Wafer level packaging method
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US11251157B2 (en) 2017-11-01 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure with hybrid bonding structure and method of fabricating the same and package

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090152655A1 (en) * 2006-02-24 2009-06-18 Richard Ian Laming Mems device
US20080032488A1 (en) * 2006-08-07 2008-02-07 Jiunn-Yi Chu Method of separating semiconductor dies
US20080166525A1 (en) * 2006-12-21 2008-07-10 Interuniversitair Microelektronica Centrum (Imec) Method for bonding a die or substrate to a carrier
KR20090096728A (ko) * 2006-12-21 2009-09-14 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 에칭 후 잔류물의 제거를 위한 액체 세정제
KR20130088847A (ko) * 2010-07-16 2013-08-08 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 에칭 후 잔류물을 제거하기 위한 수성 세정제
JP2014508405A (ja) * 2011-01-31 2014-04-03 エムイーエムシー・エレクトロニック・マテリアルズ・インコーポレイテッド Soi構造体のデバイス層中の金属含有量の減少方法、およびこのような方法により製造されるsoi構造体
WO2013006865A2 (en) * 2011-07-07 2013-01-10 Brewer Science Inc. Methods of transferring device wafers or layers between carrier substrates and other surfaces
US20140213039A1 (en) * 2013-01-25 2014-07-31 Chungsun Lee Methods of processing substrates
US20140273334A1 (en) * 2013-03-15 2014-09-18 First Solar, Inc. Method of manufacturing a photovoltaic device
WO2016181228A2 (en) * 2015-05-11 2016-11-17 Mybiotix Pharma Ltd. Systems and methods for growing a biofilm of probiotic bacteria on solid particles for colonization of bacteria in the gut

Also Published As

Publication number Publication date
US10707087B2 (en) 2020-07-07
CN110178212B (zh) 2024-01-09
TWI744443B (zh) 2021-11-01
CN117878055A (zh) 2024-04-12
WO2018125673A2 (en) 2018-07-05
WO2018125673A3 (en) 2018-08-02
TW201826335A (zh) 2018-07-16
KR102320673B1 (ko) 2021-11-01
EP3563411A4 (en) 2020-11-04
US20230008039A1 (en) 2023-01-12
US20180182639A1 (en) 2018-06-28
US20200388503A1 (en) 2020-12-10
EP3563411A2 (en) 2019-11-06
EP3563411B1 (en) 2021-04-14
CN110178212A (zh) 2019-08-27
US11348801B2 (en) 2022-05-31

Similar Documents

Publication Publication Date Title
US11348801B2 (en) Processing stacked substrates
US20220139867A1 (en) Direct bonding methods and structures
KR20230095110A (ko) 직접 접합 방법 및 구조체
US11037919B2 (en) Techniques for processing devices
US11652083B2 (en) Processed stacked dies
US10714449B2 (en) Die processing
US20230140107A1 (en) Direct bonding methods and structures
JP2005123271A (ja) 半導体装置の製造方法
KR20040025951A (ko) 반도체 소자의 백그라인딩 방법

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant