CN1302254A - 低k介电无机/有机复合薄膜及其制造方法 - Google Patents

低k介电无机/有机复合薄膜及其制造方法 Download PDF

Info

Publication number
CN1302254A
CN1302254A CN99806506A CN99806506A CN1302254A CN 1302254 A CN1302254 A CN 1302254A CN 99806506 A CN99806506 A CN 99806506A CN 99806506 A CN99806506 A CN 99806506A CN 1302254 A CN1302254 A CN 1302254A
Authority
CN
China
Prior art keywords
group
dielectric film
film
precursor
ring
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN99806506A
Other languages
English (en)
Other versions
CN1142059C (zh
Inventor
P·罗斯
E·洛帕塔
J·费尔茨
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Avi information technology Co.
Exxon Mobil
ASML US Inc
Original Assignee
SILICON VALLY GROUP HEAT SYSTEM Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by SILICON VALLY GROUP HEAT SYSTEM Inc filed Critical SILICON VALLY GROUP HEAT SYSTEM Inc
Publication of CN1302254A publication Critical patent/CN1302254A/zh
Application granted granted Critical
Publication of CN1142059C publication Critical patent/CN1142059C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3125Layers comprising organo-silicon compounds layers comprising silazane compounds
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B9/00Layered products comprising a layer of a particular substance not covered by groups B32B11/00 - B32B29/00
    • B32B9/04Layered products comprising a layer of a particular substance not covered by groups B32B11/00 - B32B29/00 comprising such particular substance as the main or only constituent of a layer, which is next to another layer of the same or of a different material
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D4/00Coating compositions, e.g. paints, varnishes or lacquers, based on organic non-macromolecular compounds having at least one polymerisable carbon-to-carbon unsaturated bond ; Coating compositions, based on monomers of macromolecular compounds of groups C09D183/00 - C09D183/16
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • H01L21/3124Layers comprising organo-silicon compounds layers comprising polysiloxane compounds layers comprising hydrogen silsesquioxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24802Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]
    • Y10T428/24917Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.] including metal layer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31652Of asbestos
    • Y10T428/31663As siloxane, silicone or silane

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma & Fusion (AREA)
  • Ceramic Engineering (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Laminated Bodies (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

公开了一种在半导体或集成电路衬底上的介电薄膜及其制造方法,其中,所述介电薄膜具有基本由无机基团和有机基团构成的骨架结构和结合在所述骨架结构上的有机侧基,形成一种无机和有机材料的复合材料,从而提供了具有小于4.0的介电常数并表现出在氮气中在400℃失重小于每小时2%的所述薄膜。所述介电薄膜通过等离子体CVD法沉积在位于支架(16)上的衬底(14)上,所述支架通过射频电源(18)偏置。通过气体进口(26)或(28)把有机硅前体引入到沉积室(11)中。

Description

低κ介电无机/有机复合薄膜及其制造方法
本发明涉及具有低介电常数的材料。更具体地,本发明涉及用有机硅前体生产的用于半导体的具有低介电常数的无机/有机复合(即杂合)薄膜,及其制造方法。
未来几年,微电子工业面临的最大挑战之一是寻找代替二氧化硅的先进介电材料,作为金属层间和层内的电介质。介电薄膜层是集成电路和半导体的基本元件。这样的薄膜提供元件之间的电隔离。随着器件密度增大,一般使用多层电介质膜隔离器件的部件。在形成介电薄膜时,重要的是所述薄膜表现出某些性能,例如良好的空隙填充、热稳定性和有利的电性能。通过各种方法形成最广泛使用的介电层,即二氧化硅(SiO2)。最常用的方法是化学气相沉积(CVD)和等离子体CVD。
随着器件密度收缩,线路之间的空隙变得更小,对介电薄膜的要求变得更严格。当临界部件尺寸小于0.25微米时,介电材料的介电常数(κ)的重要性提高。例如,随着工业向着更小的互连线路和器件各部件发展,互连线路的实际长度增大,在其间的空隙减小。这些趋势增大了电路的RC延迟。
对于给定的几何尺寸,一般有两种方法降低RC延迟:(1)通过使用不同的金属可以降低互连线路的电阻;或者(2)通过改进或使用不同介电材料可以降低介电常数。增大RC延迟对于器件的速度具有有害的作用,这具有巨大的商业价值。此外,更窄的线间隙由于更高的电容损耗和更大的串扰导致效率降低。这种降低的效率使得器件对某些应用吸引力降低,如电池供电的计算机、移动电话和其它装置。降低介电常数对于电容损耗和串扰具有有利的影响。因此,非常希望降低器件的RC延迟。
目前,器件可以引入5或6个介电层,所有的介电层由二氧化硅构成。二氧化硅(SiO2)具有较高的介电常数,约为4.0。用合适的低介电常数(低κ材料)材料代替SiO2将导致器件速度的巨大提高和功率消耗的降低。这样的先进低介电材料在使半导体工业发展到下一代器件方面起重要作用。
已经研究了各种材料作为半导体制备中的低κ介电层。为了降低薄膜的介电常数,已经向SiO2薄膜中加入了氟。通过等离子体CVD法形成的稳定的氟掺杂SiO2典型的介电常数为3.5-3.7;然而,要求明显更低的κ值。
产生低κ薄膜的另一种等离子体CVD法是沉积高度交联的碳氟化合物薄膜,通常称为氟化的无定型碳。一般已经报道,这种薄膜更有希望的型式的介电常数在第一次退火后在2.5-3.0之间。氟化的无定型碳的问题仍然存在,最显著的是结合性;热稳定性,包括尺寸稳定性;以及薄膜的集成性能。
也已经研究了聚合物材料。例如,已经使用了旋涂聚合物材料。尽管它们的κ值低,但是由于加工和材料的限制,这些聚合物不能完全令人满意。在约400-450℃的标准加工条件下,聚合物一般是热不稳定和尺寸不稳定的。虽然已经认为这些材料用于包埋结构,但是通常它们不适合于完全堆叠空隙填充或镶嵌结构。
由于旋涂聚合物的缺点,已经探索了汽相聚合作为低κ材料制备的替代方法。已经通过汽相聚合制备的一类特定的聚合物是聚对二甲苯(也称为聚对亚苯基二甲基)如聚对二甲苯N(ppx-N)和聚对二甲苯F(ppx-F)。聚对二甲苯的κ值范围为2.3-2.7,因此作为用于集成电路的低介电材料是有吸引力的。然而,到目前为止已经制备的聚对二甲苯,作为ppx-N呈现较差的热稳定性,而ppx-F昂贵,或者具有机械稳定性的问题。
到目前为止,先进的低κ材料还没有成功地应用于半导体工业。同样,在寻找新材料及其制造方法方面有持续的需要,所述新材料具有低κ值、高热稳定性、完全是可制造的并且产生成本低的可靠器件。
因此,本发明的一个目的是提供一种具有电介电常数的介电材料。
更特别地,本发明的一个目的是提供一种介电薄膜,由用于半导体和集成电路的、具有低介电常数和良好热稳定性的无机/有机复合材料构成。
本发明的另一个目的是使用有机硅前体,如硅氧烷,形成一种具有低κ和良好热稳定性的无机/有机复合介电材料,用于半导体和/或集成电路。
本发明的另一个目的是提供一种沉积介电层的方法,所述介电层用于半导体和集成电路领域,并含有低介电常数的无机/有机复合材料。
通过本发明的一种改进的介电薄膜达到这些和其它目的及优点,所述介电薄膜具有低介电常数,在半导体和/或集成电路中形成为薄膜,并且由无机和有机官能团结合构成。更具体地,所述薄膜包括基本由Si-O-Si构成的骨架结构和结合到所述骨架结构上的有机侧基。在一个另一实施方案中,所述薄膜包括基本由Si-N-Si基团构成的骨架结构和结合到所述骨架结构上的有机侧基。
在本发明的另一个实施方案中,使用有机硅前体形成介电薄膜,用于半导体和/或集成电路中,所述介电薄膜具有基本由Si-O-Si或Si-N-Si基团构成的骨架和有机侧基。
在本发明的另一个实施方案中,提供一种通过化学气相沉积(CVD)在半导体和/或集成电路中沉积介电薄膜的方法。所述薄膜的沉积方式使得所述薄膜包括基本由Si-O-Si或Si-N-Si基团形成的骨架以及连接在所述骨架上的有机侧基。
在本发明的另一个实施方案中,提供一种制造具有多层结构的薄膜的方法。所述方法包括下列步骤,形成由下列层组成的薄膜:在半导体或集成电路器件中的至少一种具有无机和有机材料组合的低κ介电层,具有低介电常数;直接在每个低κ介电层之上和/或直接在每个低κ介电层之下原位形成至少一种氧化物层,形成所述多层薄膜。可以简单地改变工艺条件原位形成所述氧化物层。
在阅读下面提供的本发明的详细描述并参考附图时,本发明的其他目的和优点将会更清楚,其中:
图1是根据本发明的一个实施方案的薄膜结构的示意图。
图2是表示用于实施本发明的反应器的实例的示意图。
图3是表示根据本发明的一个实施方案的薄膜组成的FTIR扫描。
图4a是说明根据文献的FTIR峰范围及其归属的表。
图4b是表示根据本发明的薄膜的FTIR曲线的一种解释的表。
提供了用于半导体工业中的低介电常数(κ)的薄膜,及其制造方法。术语“低κ电介质”、“低介电常数”和“低κ”可以互换使用,一般是指介电常数小于4.0的电介质。术语“非常低介电常数”(vlκ)一般认为是等于或小于3.0的介电常数。根据本发明,低κ和非常低κ介电薄膜具有无机和有机性能的组合。更特别地,所述无机/有机复合薄膜由硅、氧和/或氮、碳和氢构成;或者作为替换,由硅、氧和/或氮、碳和氟构成。所述薄膜的结构是随机混合的有机和无机基团。所述基团可以交联。所述基团可以存在于三维基质中。优选的是,该薄膜具有基本由无机Si-O-Si基团构成的骨架结构,有机侧基结合在所述骨架上。在一个备选的实施方案中,该薄膜具有基本由Si-N-Si构成的骨架结构,有机侧基结合在所述骨架结构上。更优选的是,所述骨架以具有通过无机链连接的环状Si-O-Si基团的三维网络的形式存在,形成环状(或其它三维结构,如球、立方体等)和链状结构。所述无机链可以基本由Si-O-Si基团构成,或者由Si-N-Si基团构成。所述无机链的长度和组成可以变化。有机侧基结合到所述环状和链状基团中的至少之一上。
在一个备选的实施方案中,所述薄膜由一系列连有有机侧基的硅氧烷链(没有环状基团)构成。所述链可以交联。上述有机侧基一般由碳链组成。更具体地,所述有机侧基选自由脂肪族、烯属和芳香族的碳氢化合物,以及脂肪族、烯属和芳香族的碳氟化合物组成的组中。所述侧基不必由相同的单元构成,而是可以是不同有机基团的混合。优选的是,所述有机侧基基本由甲基(CH3)或碳三氟(CF3)基团构成。
参考图1,表示了本发明的一部分低κ薄膜的示例性实施方案。在该实例中,表示了所述环状和链状结构。所述环在该实例中是由四个硅原予构成的环状部分。所述环通过无机链连接。所述链的长度可变,在本例中该链为Si-O-Si基团。如图所示,所述结构的骨架由Si-O-Si环状环和链状基团构成。有机侧基结合在所述环和链基团两者上,且在该实例中,所述有机侧基由甲基构成。
所述薄膜的结构是无规网络。再参考图1,只表示了薄膜的一部分。在每个端部,所述结构连续且可以连接到更多的环、长链或短链上,或者连接到所有以上这些的组合上。一般来说,在所述薄膜中,硅与碳的比例在约0.25∶1-4∶1范围内。估计在所述链基团中的硅与所述环状基团中的硅的密度之比在约10∶1-0.1∶1范围内。
在所述优选的实施方案中,本发明的低κ薄膜具有由环状和链状基团组成的结构。这种环状和链状基团的混合提供了比现有技术薄膜明显的先进性。本发明人已经发现了一种在薄膜中保持环状单元存在的方法,这降低了薄膜的介电常数。此外,本发明人已经发现使所述薄膜结构中的C-C键合最小化,容许把有机物添加到所述薄膜中,而对所述薄膜在450℃的温度下的热稳定性没有明显的不利影响。如图1所示,没有碳与碳的键合。
通过本发明生产的薄膜表现出理想的介电常数同时保持良好的热稳定性。所述薄膜的介电常数小于4.0,优选小于3.0,介电常数在约小于3.0至1.5的范围内是最优选的。例如,用介电常数为3.0试验的薄膜表现出良好的热稳定性,在氮气环境中425℃下,测量的失重为每8小时小于1%。
为了形成本发明的无机/有机复合薄膜,使用有机硅前体化学组成,或者可以使用含有有机硅前体的混合物。在一个实施方案中,可以使用下列分子式的有机硅前体来形成本发明的薄膜:
RnSi(OSi)mX(2m-n+4)
其中,n为0-(2m+4);m为0-4;所述有机硅可以是线性的或支链的;X是选自由H和卤素组成的组中;R选自由脂肪族、烯属和芳香族的碳氢化合物以及脂肪族、烯属和芳香族的碳氟化合物组成的组中,可以有和没有氧连接。合适的脂肪族、烯属和芳香族的碳氢化合物基团的实例包括甲基、乙基、丙基、丁基和苯基等。
在另一个实施方案中,所述有机硅前体可以由具有下列分子式的环状有机硅氧烷构成:
Rn(SiO)mX(2m-n)
其中,n为0-2m;m为3-10;X选自由H和卤素组成的组中;R选自由脂肪族、烯属和芳香族的碳氢化合物以及脂肪族、烯属和芳香族的碳氟化合物组成的组中,如上所列举的。
在本发明的另一个实施方案中,所述有机硅前体由下列分子式的有机硅氮烷构成:
RnSi(NSi)mX(3m-n+4)
其中,所述有机硅氮烷可以是线性的或支链的;n为0-(3m+4);m为1-4;X选自由H和卤素组成的组中;R选自由脂肪族、烯属和芳香族的碳氢化合物以及脂肪族、烯属和芳香族的碳氟化合物组成的组中。
在本发明的另一个实施方案中,所述有机硅前体由下列分子式的有机硅氮烷构成:
Rn(SiN)mX(3m-n)
其中,n为0-3m;m为3-10;X选自由H和卤素组成的组中;R选自由脂肪族、烯属和芳香族的碳氢化合物以及脂肪族、烯属和芳香族的碳氟化合物组成的组中。
因此,根据本发明的合适的前体包括:有机硅氧烷、氟硅氧烷、环硅氧烷、含氟的环硅氧烷、有机硅氮烷、氟硅氮烷、环硅氮烷、硅酸盐、TEOS和TMS及其混合物。合适的侧基实例包括:-H、-CH3、-F、-C2H5、-CF3、-C2F5、-C6H5、-C6F5、-CF2CF3、和C-H2CF3。在反应器中混合时可以以最后的形式产生与上述前体类似化学成分的化学品的混合也是适用的,例如,硅烷、硅四氟化物和有机前体的混合物。
特别有利的是,本发明提供了使所述前体的碎裂最小化,因此保持了在薄膜中沉积的大致结构。这样,可以使用具有形成包括带有有机侧基的无机骨架的薄膜结构的组分的前体,因此,为了形成由SiOC构成的无机/有机复合薄膜,在本发明的一个实施方案中,优选的是在等离子体CVD反应器中用有机硅前体沉积所述薄膜。也可以使用上述材料的氟取代物。所述有机硅前体可以是饱和的或不饱和的。根据本发明,合适的有机硅前体的实例包括六甲基二硅氧烷(HMDSO)、1,1,3,3-四甲基二硅氧烷(TMDSO)等。也可以使用其它有机前体,如四乙氧基硅烷(TEOS)。所提到的材料一般是便宜的且容易获得。这些材料在加热时是挥发性的,因此可以引入到等离子体CVD反应器系统中。
在一个备选的实施方案中,通过环状有机硅或环状有机硅氮烷前体形成具有环状和链状结构的本发明的薄膜。所述环状有机硅或有机硅氮烷可以用一个或多个氟原子取代。此外,它们可以是饱和的或不饱和的。合适的环状有机硅的实例包括:环硅氧烷如八甲基环四硅氧烷(OMCTS)、六甲基环三硅氧烷、六苯基环三硅氧烷、八苯基环四硅氧烷、1,3,5-三甲基-1,3,5-三-3,3,3-三氟丙基环三硅氧烷,含氟环硅氧烷,以及产生上述相同化学成分的化学物质的混合物。也可以使用有机硅氮烷前体。
最优选的是,所述前体是八甲基环四硅氧烷(OMCTS)。使用这种环状硅氧烷前体具有特别的优点,因为如上所述,本发明提供了保持部分前体结构的薄膜形成方法。通过保持环状前体的部分结构,形成具有环状和链状结构的薄膜,所述结构是一种三维网络结构。这种三维网络结构降低了所述薄膜的密度,这又进一步降低了介电常数并提高了所述薄膜的热稳定性。重要的是注意,虽然所述三维网络结构在本文中典型的是称为环,但可以存在任何三维结构,如球结构或立方体结构。
通过向体系中加入氟化的材料,利用本发明可以形成氟化的薄膜。这可以用两种方式完成。第一种,向所述反应器中的等离子体中加入氟化的气体。合适的氟化气体是NF3、CF4、SiF4等。第二种,可以向所述反应器中加入氟化的前体。例如,氟硅氧烷或环氟硅氧烷前体可以单独使用,或者与线型或环状有机硅或有机硅氮烷混合使用,形成氟化的薄膜。
为了制备用于半导体工业中的低κ介电薄膜,本发明提供了一种沉积同时具有无机和有机性质的低κ介电薄膜的方法,所述介电薄膜用于半导体和集成电路领域中。所述薄膜由带有有机侧基的无机骨架构成。所述骨架可以是线型的,或者由三维结构(如环、球、立方体等)和链的组合构成。所述薄膜通过化学气相沉积(CVD)形成,优选的是所述薄膜通过在等离子体CVD反应器中的等离子体CVD沉积。具有特别的优点的是,本发明提供了一种改进的CVD法,这是一种保留了前体材料部分结构的薄膜的沉积方法。当所述前体本质上为环状的时候,本发明的方法沉积一种保留所述前体的部分环状结构的薄膜。如上所述,由于这样一种结构提高了在所沉积的薄膜中的低κ性能,所以这是特别有利的。
可以使用各种等离子体CVD反应器实施本发明。在一个示例性的实施方案中,使用图2所示的反应器沉积本发明的薄膜。如图2所示,反应器5包括一个等离子体室10和一个工艺室11。所述等离子室10包括一个等离子源12,用于耦合范围从直流到微波的能量通过发生器13送入等离子体室10,把气体激发成等离子体。在该图中,等离子体源12用排列在等离子室10周围的线圈表示并用rf能量激发,然而,熟悉该领域的技术人员将会理解的是,可以使用该领域中已知的各种类型的等离子体源产生等离子体。
把衬底14放在支架16上,并定位在工艺室11内。支架16可以利用rf能源和/或直流电机18加偏置。支架16可以是一个静电卡盘。室10和11通过口22抽真空。为了在该示例性实施方案中形成薄膜,通过气体进口管24,把所述化学前体注入工艺室11中。另外,可以把所述前体或其它气体通过气体进口26注入等离子体室10中。如果根据本发明的有机硅前体在大气压下以液态存在,所述液体通过汽化器28,使所述前体在引入到室11中之前汽化。此外,可以通过扩散器(bubbler)把所述液体有机硅前体引入到所述室中。同时,可以通过进口27向反应器5中加入稀释的气体和/或氧化性气体。
在前体注入体系中时,所述等离子源使所述前体部分离子化。重要的是控制等离子体条件,使得所述前体不会高度碎裂,也不会过分氧化。这与现有技术的CVD过程正好相反,在现有技术的CVD过程中,人们一般希望在沉积介电薄膜时,所述前体尽可能多地碎裂。有机硅前体的高度碎裂一般将导致沉积具有高介电常数的二氧化硅型材料。如果在所述等离子体中存在一定浓度的氧尤其如此。
所述半导体衬底位于工艺室中,其中,所述半导体衬底暴露于前体中,所述前体反应并通过CVD在表面上沉积薄膜。优选的是,所述前体靠近衬底表面注入工艺室,例如,通过气环来提高所沉积的薄膜的均匀性。
所述前体可以是一种单一的化学物质,或者多种化学物质。已经发现氧的加入可以提高所述薄膜的热稳定性。加入氧的准确浓度取决于工艺条件和系统的结构。
一般来说,与现有技术的体系相反,不向体系中加入惰性气体。已经发现,氩气的加入一般对薄膜有不利影响。氩气被离子化到很高的程度并导致前体碎裂。这又导致薄膜具有高介电常数。相反,已经发现加入氦在某些情况下对介电常数的影响很小,并且可以进一步提高所述薄膜的热稳定性。
如上所述,工艺条件对沉积本发明的薄膜是重要的。最重要的因素是系统的功率和压力、以及有机硅流量、氧与有机硅流量比。重要的是注意,在上述教导中,准确的工艺条件将随所用反应器的种类和结构而变化。在本发明的一个示例性实施方案中,所述等离子体室包括等离子体源,激发是在200-20,000W/ft3范围内的功率密度下进行的。所述工艺条件与所用的前体和反应器的结构密切相关。例如,在等离子体系统的情况下,低激发功率一般产生有机薄膜,高激发功率一般产生热稳定性更高的薄膜。如果所述激发功率太高,所述薄膜变成类SiO2薄膜,介电常数太高。如果所述激发功率太低,那么,热稳定性将非常差。此外,当功率太低时,或者没有适当地确定等离子体的化学组成时,在某些情况下有可能在薄膜中产生不希望存在的基团。在这样的条件下,在FTIR谱图中已经观察到与Si-OH有关的峰,并且介电常数高于希望值。同时,氧在所述方法中的作用是改善薄膜的热稳定性。氧的量随着所用的反应器和工艺条件而变化。例如,所用的氧的百分比浓度是工艺条件的函数,例如rf功率、压力、以及有机硅前体的流量。太多的氧将导致形成类SiO2材料的薄膜。太少的氧一般形成比希望值的有机成分更多有机成分的薄膜,这降低了所述薄膜的热稳定性并可能导致在所述薄膜中形成不希望的基团,如-OH。
虽然已经描述了一种反应器,但是,熟悉该领域的技术人员将会理解可以使用不同种类的反应器来沉积本发明的薄膜。例如,可以使用在大气压、低于大气压的压力或者在低压下操作的热CVD反应器。在热CVD系统中,在氧化剂的存在下,有机硅被热降解。对所述室的温度、氧化剂(如臭氧、过氧化氢和/或氧)的性质、以及氧化剂浓度的控制对于生产低κ薄膜是重要的。
在半导体和集成电路器件的制造中,本发明的另一个实施方案提供了一种具有多层结构薄膜的制备方法。在某些应用中提供多层的薄膜是有利的,其中,与低κ介电薄膜一起形成一种氧化物(如SiO2或类SiO2),来形成所述多层的薄膜。可以在所述低κ介电层之上或之下形成所述氧化物层,来提供一个防护层,或者改进结合性。根据本发明,可以直接在低κ介电层之上和/或直接在低κ介电层之下原位形成至少一种氧化物、或类氧化物层。所述低κ介电层由无机和有机材料的混合物组成。如上所述来形成所述低κ介电薄膜。所述氧化物或二氧化硅层可以简单地通过改变反应器中的工艺条件原位形成。可以用两种方式使用工艺条件。第一种,可以使用现有技术已知的SiO2沉积方法。第二种,可以改变本发明的方法,使得所述前体大量发生碎裂,导致SiO2或SiO2型薄膜的沉积。可以用多种方式改变所述方法,引起所述前体的大量碎裂,例如把所述前体暴露于更高的等离子体功率密度下、增大氧的流量、加入氩气、或者任何这些方法的组合。
如上所述,可以在所述多层薄膜中的任何合适位置形成所述SiO2层。然后在半导体器件中的任何合适位置形成所述多层薄膜。例如,所述SiO2层可以直接在所要求的低κ薄膜之下形成,来改善结合性,即所述低κ薄膜直接在所述SiO2层之上。另外,可以形成所述SiO2层作为器件中的顶层,以提供一个防护层,或者有助于进一步加工,例如化学机械平面化或腐蚀。
                           实验
通过说明而不是限制的方式提供下列实施例。
实施例1
使用在13.56MHz的频率下产生的等离子体放电中混合的八甲基环四硅氧烷(OMCTS)和氧,在圆筒形等离子体反应器中的4"硅晶片上形成根据本发明的非常低κ介电薄膜。把所述硅晶片放在铜制的衬底夹具上,所述铜制衬底夹具在沉积过程中是电绝缘的。晶片用能适应真空的粘合剂固定在所述衬底夹具上,并放入所述沉积室内。所述沉积室直径8”,长8”,含有其中心与所述硅晶片中心一致的中空阴极电极系统。所述沉积室用旋转真空泵抽真空到小于20毫乇的压力。一旦所述沉积室抽真空后,把OMCTS液体注入到加热的不锈钢汽化系统中,把所述物料汽化形成蒸气流,然后以约8seem(标准立方厘米每分钟)的流量可控地流入等离子体反应器。在OMCTS流稳定后,以5sccm的流量通过与所述OMCTS相同的气体进口使氧气(来自压缩气体气瓶,纯度为99.95%)可控地流入所述反应器。在反应器中的所得压力约为150毫乇(mTorr)。然后用13.56MHz的电源,用300瓦的功率点燃等离子体,并保持等离子体放电约5分钟,其间,在所述晶片表面沉积薄膜。使薄膜沉积到5000埃的厚度。用椭圆计测量薄膜厚度,使用铝点技术(aluminum dot techniques)测量介电常数。所述实验获得2.6的介电常数。用上述相同的条件和方法在晶片上沉积另外的薄膜,然后在400℃加热1小时测量热失重。所述薄膜表现出2.0重量%的失重。然后使所述薄膜经过425℃ 1小时和450℃ 1小时的热循环。在每种情况中的失重约为每小时0.4%,从而表明有理想的介电常数和热稳定性。
实施例2
在第二组实验中,根据本发明方法的另一个实施方案沉积低κ薄膜,其中,改变所述前体的流量和等离子体条件。所用的反应器与实施例1中所述的反应器一样,并使用相同的前体,然而,在本实验中,氧的流量约为2.5sccm。OMCTS的流量相同,约为8sccm。所述等离子条件也不同,在这种情况下,所述等离子体用13.56MHz的电源,用275瓦的功率点火,并保持所述等离子体放电约5分钟。用标准铝点电容实验法(alminum dot capacitance test method)测量所得的5000埃厚的薄膜,获得3.3的介电常数。用上述相同的条件和方法在晶片上沉积本发明另外的薄膜,然后在400℃加热1小时测量热失重。所述薄膜表现出0.3重量%的失重。然后使所述薄膜经过425℃ 1小时和450℃ 1小时的热循环,分别产生0.3%和0.4%的失重,再次表明有理想的介电常数和热稳定性。
实施例3
在第三组实验中,根据本发明的另一个实施方案沉积非常低к介电薄膜。在这组实验中,使用Watkins-Johnson Company HDP工具组(cluster tool)反应器型号WJ-2000H(有一个感应耦合等离子体源)在下列条件下在8英寸硅晶片上沉积低κ薄膜:把汽化的OMCTS以0.300ccm的液体流量(在室温下测定)注入所述反应器。此外,以20sccm的流量加入氧。所述等离子体源在13.56MHz频率下约600瓦的RF输入功率(功率密度为1200瓦/立方英尺)下操作。在所述反应器中所得的压力约为25毫乇。在两种工艺时间下沉积薄膜;即约2分45秒,和6分30秒。用椭圆计测量,较短的工艺时间获得约5000埃的薄膜。用Hg探针技术在1MHz下测量该薄膜的介电常数为2.97。然后在氮环境中通过热失重分析(TGA)测量所述薄膜的热稳定性。较厚的薄膜(用较长工艺时间沉积的)在以10℃/分钟的速度从室温加热到425℃、然后在425℃保温140分钟、再冷却到室温时,表现出3.65%的失重。这样的结果表明了有理想的介电常数和热稳定性。
图3表示根据本发明的、由无机和有机材料构成的介电层的Fourier变换红外吸收(FTIR)谱图。作为参考,图4a是表示根据文献的FTIR峰范围及其归属的表。虽然FTIR谱图的解释并不是准确的科学方法,但是本发明人认为在本发明的薄膜的FTIR扫描中描述的吸收峰对应于图4b所示的结构。
如所述FTIR谱图所示,并参考图4a和图4b的解释,本发明的薄膜不呈现出明显的碳-碳键合。所述FTIR谱图和图4a和4b还表明主要存在有机硅骨架,具有在约1060-1080cm-1波数的Si-O-Si环状结构,其峰肩在约1080cm-1;具有在约1020-1060cm-1波数的Si-O-Si链,主峰在1026cm-1。在该插图中,表明有机侧基主要在1265cm-1和888cm-1,分别由Si-(CH3)3和Si(CH3)2构成。虽然表示了一个实施例的FTIR谱图,但是,应该理解所述FTIR谱图将会变化并且仍然落在本
发明的说明范围内。
因此,已经提供了一种用于半导体和集成电路中的改进的介电薄膜,由具有低介电常数的无机/有机复合材料构成。还提供了本发明的前体化学组成和沉积方法。所述薄膜表现出在约1.5-4.0范围内的低介电常数、高热稳定性、且完全能以可靠的器件产量制造。
虽然结合特定的实施方案描述了本发明,但是,很明显,根据上述描述和说明,熟悉该领域的技术人员可以进行各种变化、取代、替换和改进。因此,本说明书意图包括在所附权利要求的精神范围内的所有这种变化、取代、替换和改进。

Claims (50)

1.一种在半导体或集成电路上的低介电常数介电薄膜,包括:
一种基本由无机基团构成的骨架结构;和
连接在所述骨架结构上的有机侧基,形成无机和有机材料的复合材料,提供了具有小于4.0的介电常数并表现出在氮气中在400℃失重小于每小时2%的所述薄膜。
2.根据权利要求1的介电薄膜,其中,所述介电常数小于3.0。
3.根据权利要求1的介电薄膜,其中,所述介电薄膜由多层组成,其中,至少一个氧化硅层在所述介电薄膜之上和/或之下原位形成。
4.根据权利要求1的介电薄膜,其中,所述骨架结构在Si-O-Si基团的三维、交联基质中形成。
5.根据权利要求1的介电薄膜,其中,所述骨架结构在Si-N-Si基团的三维、交联基质中形成。
6.根据权利要求1的介电薄膜,其中,所述骨架结构由Si-O-Si基团构成,并且通过等离子体化学气相沉积形成。
7.根据权利要求1的介电薄膜,其中,所述薄膜使用有机硅前体通过等离子体化学气相沉积形成。
8.根据权利要求1的介电薄膜,其中,所述薄膜通过化学气相沉积形成。
9.根据权利要求1的介电薄膜,其中,所述薄膜使用有机硅前体通过化学气相沉积形成。
10.根据权利要求1的介电薄膜,其中,所述骨架结构进一步基本由环状Si-O-Si基团和链构成。
11.根据权利要求1的介电薄膜,其中,所述有机侧基基本没有C-C键合。
12.根据权利要求10的介电薄膜,其中,所述环状基团由环、球、立方体或其任何组合构成。
13.根据权利要求10的介电薄膜,其中,在所述无机链中的Si与在所述环状Si-O-Si基团中的Si的比例在约10∶1-0.1∶1的范围内。
14.根据权利要求1的介电薄膜,其中,所述有机侧基选自由-H、-CH3、-F、-C2H5、-CF3、-C2F5、-C6H5、-CF2CF3和CH2CF3组成的组中。
15.一种具有低介电常数的在半导体或集成电路上的介电薄膜的制造方法,包括下列步骤:
提供至少一种有机硅前体;
通过化学气相沉积进行沉积,其中,所述前体反应并在所述衬底表面沉积所述介电薄膜;
其中,所述介电薄膜具有一种基本由无机基团构成的骨架结构和结合在所述骨架结构上的有机侧基,形成一种无机和有机材料的复合材料,提供了具有小于4.0的介电常数并表现出在氮气中在400℃失重小于每小时2%的所述薄膜。
16.根据权利要求15的方法,其中,所述沉积步骤通过等离子体化学气相沉积进行。
17.根据权利要求15的方法,其中,所述介电薄膜具有小于3.0的介电常数。
18.根据权利要求15的介电薄膜,其中,所述骨架结构进一步基本由环状Si-O-Si基团和链构成。
19.根据权利要求15的介电薄膜,其中,所述骨架结构进一步基本由环状Si-N-Si基团和无机链构成。
20.根据权利要求18的介电薄膜,其中,所述环状基团由环、球、立方体、或其任何组合构成。
21.根据权利要求15的介电薄膜,其中,所述前体是环状有机硅化合物。
22.根据权利要求15的方法,其中,所述前体选自由硅氧烷、氟硅氧烷、环硅氧烷、含氟环硅氧烷、有机硅氮烷、氟硅氮烷、环状有机硅氮烷、环氟硅氮烷、及其混合物组成的组中。
23.根据权利要求15的介电薄膜,其中,所述有机侧基选自由-H、-CH3、-F、-C2H5、-CF3、-C2F5、-C6H5、-CF2CF3和CH2CF3组成的组中。
24.根据权利要求15的方法,其中,所述前体具有下列分子式:
RnSi(OSi)mX(2m-n+4)
其中,所述前体可以是线型的或支链的;n为0-(2m+4);m为0-4;X选自由H和卤素组成的组中;R选自由脂肪族、烯属和芳香族的碳氢化合物以及脂肪族、烯属和芳香族的碳氟化合物组成的组中。
25.根据权利要求15的方法,其中,所述前体具有下列分子式:
Rn(SiO)mX(2m-n)
其中,n为0-2m;m为3-10;X选自由H和卤素组成的组中;R选自由脂肪族、烯属和芳香族的碳氢化合物以及脂肪族、烯属和芳香族的碳氟化合物组成的组中。
26.根据权利要求15的方法,其中,所述前体具有下列分子式:
RnSi(NSi)mX(3m-n+4)
其中,所述前体可以是线型的或支链的;n为0-(3m+4);m为1-4;X选自由H和卤素组成的组中;R选自由脂肪族、烯属和芳香族的碳氢化合物以及脂肪族、烯属和芳香族的碳氟化合物组成的组中。
27.根据权利要求15的方法,其中,所述前体具有下列分子式:
Rn(SiN)mX(3m-n)
其中,n为0-3m;m为3-10;X选自由H和卤素组成的组中;R选自由脂肪族、烯属和芳香族的碳氢化合物以及脂肪族、烯属和芳香族的碳氟化合物组成的组中。
28.根据权利要求15的方法,其中,所述前体是八甲基环四硅氧烷。
29.根据权利要求15的方法,其中,在所述有机侧基中的碳-碳键合最少化。
30.根据权利要求15的方法,还包括下列步骤:
提供与所述前体混合的氧气。
31.根据权利要求15的方法,还包括下列步骤:
在所述介电薄膜之上和/或之下原位沉积至少一层氧化硅,形成多层的薄膜。
32.一种通过等离子体化学气相沉积在半导体或集成电路上沉积低κ介电薄膜的方法,包括下列步骤:
把所述衬底定位在所述工艺室内;
向所述工艺室内注入至少一种有机硅前体;
向所述等离子体室内提供功率,产生等离子体;
在所述衬底表面沉积所述介电薄膜,所述介电薄膜具有基本由环状和线型Si-O-Si基团构成的骨架结构和结合在所述骨架结构上的有机侧基,提供了具有小于4.0的介电常数并表现出在氮气中在400℃失重小于每小时2%的所述薄膜。
33.根据权利要求32的方法,其中,所述介电薄膜具有小于3.0的介电常数。
34.根据权利要求32的方法,还包括使所述前体在等离子体条件下暴露于所述等离子体,从而使所述介电薄膜的结构部分保留所述前体的结构。
35.根据权利要求32的方法,其中,所述前体是环状有机硅烷化合物。
36.根据权利要求32的方法,其中,所述前体选自由硅氧烷、氟硅氧烷、环硅氧烷、含氟环硅氧烷、有机硅氮烷、环硅氮烷、氟硅氮烷、环氟硅氮烷、及其混合物组成的组中。
37.根据权利要求32的方法,其中,所述前体具有下列分子式:
Rn(SiO)mX(2m-n)
其中,n为0-2m;m为3-10;X选自由H和卤素组成的组中;R选自由脂肪族、烯属和芳香族的碳氢化合物以及脂肪族、烯属和芳香族的碳氟化合物组成的组中。
38.根据权利要求32的方法,其中,所述前体具有下列分子式:
Rn(SiN)mX(3m-n)
其中,n为0-3m;m为3-10;X选自由H和卤素组成的组中;R选自由脂肪族、烯属和芳香族的碳氢化合物以及脂肪族、烯属和芳香族的碳氟化合物组成的组中。
39.根据权利要求32的方法,其中,所述前体是八甲基环四硅氧烷。
40.根据权利要求32的方法,其中,所述等离子体室包括一个等离子体源,所述暴露还包括把所述前体暴露于在200-20,000瓦/立方英尺范围内的功率密度下的所述等离子体中。
41.根据权利要求32的方法,还包括下列步骤:
在所述介电薄膜之上和/或之下原位沉积至少一层氧化硅,形成多层的薄膜。
42.根据权利要求32的方法,其中,在所述有机侧基中的碳-碳键合最少化。
43.根据权利要求32的方法,还包括下列步骤:
提供与所述有机硅前体混合的氧气。
44.根据权利要求32的方法,还包括下列步骤:
向所述反应器中引入稀释气体。
45.根据权利要求32的方法,还包括下列步骤:
向所述反应器中引入氦。
46.根据权利要求32的薄膜,其中,所述无机链中的Si与所述环状Si-O-Si基团中的Si的比例在约10∶1-0.1∶1范围内。
47.根据权利要求32的方法,其中,所述介电薄膜具有基本由环状和线型Si-N-Si基团构成的骨架结构和结合在所述骨架结构上的有机侧基。
48.根据权利要求32的方法,其中,所述环状基团由环、球、立方体、或其任何组合构成。
49.根据权利要求32的方法,其中,所述有机硅前体是选自由八甲基环四硅氧烷(OMCTS)、六甲基环三硅氧烷、六苯基环三硅氧烷、八苯基环四硅氧烷、1,3,5-三甲基-1,3,5-三-3,3,3-三氟丙基环三硅氧烷、含氟环硅氧烷、及其混合物组成的组中的环硅氧烷。
50.根据权利要求15的方法,其中,所述有机硅前体是选自由八甲基环四硅氧烷(OMCTS)、六甲基环三硅氧烷、六苯基环三硅氧烷、八苯基环四硅氧烷、1,3,5-三甲基-1,3,5-三-3,3,3-三氟丙基环三硅氧烷、含氟环硅氧烷、及其混合物组成的组中的环硅氧烷。
CNB998065064A 1998-04-28 1999-04-15 低介电常数无机/有机复合介电薄膜及其制造方法 Expired - Fee Related CN1142059C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/067,704 1998-04-28
US09/067,704 US6068884A (en) 1998-04-28 1998-04-28 Method of making low κ dielectric inorganic/organic hybrid films

Publications (2)

Publication Number Publication Date
CN1302254A true CN1302254A (zh) 2001-07-04
CN1142059C CN1142059C (zh) 2004-03-17

Family

ID=22077806

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB998065064A Expired - Fee Related CN1142059C (zh) 1998-04-28 1999-04-15 低介电常数无机/有机复合介电薄膜及其制造方法

Country Status (11)

Country Link
US (4) US6068884A (zh)
EP (1) EP1089874A4 (zh)
JP (2) JP3515074B2 (zh)
KR (1) KR100404536B1 (zh)
CN (1) CN1142059C (zh)
AU (1) AU3562799A (zh)
CA (1) CA2330040A1 (zh)
HK (1) HK1036954A1 (zh)
IL (1) IL139128A0 (zh)
TW (1) TW476705B (zh)
WO (1) WO1999055526A1 (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1698188B (zh) * 2003-01-31 2010-09-08 应用材料公司 沉积低介电常数膜的方法
CN1906026B (zh) * 2003-12-18 2011-08-03 兰姆研究公司 半导体材料加工设备中的氧化钇涂覆的陶瓷部件及该部件的制造方法
CN101528974B (zh) * 2006-10-16 2013-07-17 应用材料公司 在形成于基材上的间隙中沉积介电层以及以介电材料填充间隙的方法
CN104425759A (zh) * 2013-08-27 2015-03-18 财团法人工业技术研究院 有机无机复合薄膜与其形成方法
CN104733641A (zh) * 2015-04-03 2015-06-24 京东方科技集团股份有限公司 Oled器件的封装方法、封装结构及显示装置
CN105324842A (zh) * 2013-06-21 2016-02-10 环球展览公司 用于衬底和电子装置的混合屏障层
CN108456324A (zh) * 2018-04-09 2018-08-28 南通洪明电工科技有限公司 一种表面涂覆工艺制备高性能无机/有机复合多层介电薄膜的方法及其应用
US11751426B2 (en) 2016-10-18 2023-09-05 Universal Display Corporation Hybrid thin film permeation barrier and method of making the same

Families Citing this family (238)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6881683B2 (en) * 1998-02-05 2005-04-19 Asm Japan K.K. Insulation film on semiconductor substrate and method for forming same
US6852650B2 (en) * 1998-02-05 2005-02-08 Asm Japan K.K. Insulation film on semiconductor substrate and method for forming same
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
TW437017B (en) 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6432846B1 (en) 1999-02-02 2002-08-13 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6514880B2 (en) 1998-02-05 2003-02-04 Asm Japan K.K. Siloxan polymer film on semiconductor substrate and method for forming same
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6627532B1 (en) * 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6660656B2 (en) * 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6593247B1 (en) * 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6159871A (en) * 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6800571B2 (en) * 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US6458720B1 (en) * 1999-07-23 2002-10-01 Matsushita Electric Industrial Co., Ltd. Method for forming interlayer dielectric film
EP1077479A1 (en) * 1999-08-17 2001-02-21 Applied Materials, Inc. Post-deposition treatment to enchance properties of Si-O-C low K film
US6602806B1 (en) * 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
JP3769426B2 (ja) * 1999-09-22 2006-04-26 東京エレクトロン株式会社 絶縁膜形成装置
JP2003530481A (ja) * 1999-11-19 2003-10-14 ナノ スケール サーフェイス システムズ インコーポレイテッド 無機/有機誘電体フィルムを堆積させるシステム及び方法
US6376391B1 (en) * 1999-12-30 2002-04-23 Novellus Systems Inc Pulsed or tailored bias for filling gaps with low dielectric constant material
JP3615979B2 (ja) * 2000-01-18 2005-02-02 株式会社ルネサステクノロジ 半導体装置及びその製造方法
EP1123991A3 (en) * 2000-02-08 2002-11-13 Asm Japan K.K. Low dielectric constant materials and processes
JP3419745B2 (ja) * 2000-02-28 2003-06-23 キヤノン販売株式会社 半導体装置及びその製造方法
US6998636B2 (en) * 2000-03-20 2006-02-14 N.V. Bekaert S.A Materials having low dielectric constants and method of making
US6458718B1 (en) 2000-04-28 2002-10-01 Asm Japan K.K. Fluorine-containing materials and processes
US6410462B1 (en) * 2000-05-12 2002-06-25 Sharp Laboratories Of America, Inc. Method of making low-K carbon doped silicon oxide
JP3600507B2 (ja) * 2000-05-18 2004-12-15 キヤノン販売株式会社 半導体装置及びその製造方法
US6521546B1 (en) 2000-06-14 2003-02-18 Applied Materials, Inc. Method of making a fluoro-organosilicate layer
US6764958B1 (en) * 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6573196B1 (en) 2000-08-12 2003-06-03 Applied Materials Inc. Method of depositing organosilicate layers
EP1777739A3 (en) * 2000-09-11 2008-09-17 Tokyo Electron Limited Semiconductor device and fabrication method therefor
US6258735B1 (en) 2000-10-05 2001-07-10 Applied Materials, Inc. Method for using bypass lines to stabilize gas flow and maintain plasma inside a deposition chamber
US6440876B1 (en) 2000-10-10 2002-08-27 The Boc Group, Inc. Low-K dielectric constant CVD precursors formed of cyclic siloxanes having in-ring SI—O—C, and uses thereof
US6768200B2 (en) 2000-10-25 2004-07-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device
CN100386472C (zh) * 2000-10-25 2008-05-07 国际商业机器公司 作为在半导体器件中的层内和层间绝缘体的超低介电常数材料及其制造方法、以及包含该材料的电子器件
US6531398B1 (en) 2000-10-30 2003-03-11 Applied Materials, Inc. Method of depositing organosillicate layers
US6649540B2 (en) 2000-11-09 2003-11-18 The Boc Group, Inc. Organosilane CVD precursors and their use for making organosilane polymer low-k dielectric film
US6905981B1 (en) 2000-11-24 2005-06-14 Asm Japan K.K. Low-k dielectric materials and processes
US6500773B1 (en) * 2000-11-27 2002-12-31 Applied Materials, Inc. Method of depositing organosilicate layers
JP3545364B2 (ja) * 2000-12-19 2004-07-21 キヤノン販売株式会社 半導体装置及びその製造方法
US6572923B2 (en) * 2001-01-12 2003-06-03 The Boc Group, Inc. Asymmetric organocyclosiloxanes and their use for making organosilicon polymer low-k dielectric film
SG98468A1 (en) 2001-01-17 2003-09-19 Air Prod & Chem Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6429116B1 (en) * 2001-02-07 2002-08-06 Advanced Micro Devices, Inc. Method of fabricating a slot dual damascene structure without middle stop layer
US6537733B2 (en) * 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US7425346B2 (en) * 2001-02-26 2008-09-16 Dielectric Systems, Inc. Method for making hybrid dielectric film
JP4147008B2 (ja) 2001-03-05 2008-09-10 株式会社日立製作所 有機el素子に用いるフィルム及び有機el素子
US6709721B2 (en) * 2001-03-28 2004-03-23 Applied Materials Inc. Purge heater design and process development for the improvement of low k film properties
US6777171B2 (en) 2001-04-20 2004-08-17 Applied Materials, Inc. Fluorine-containing layers for damascene structures
US6624091B2 (en) 2001-05-07 2003-09-23 Applied Materials, Inc. Methods of forming gap fill and layers formed thereby
US6716770B2 (en) * 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US6482754B1 (en) * 2001-05-29 2002-11-19 Intel Corporation Method of forming a carbon doped oxide layer on a substrate
US6486082B1 (en) 2001-06-18 2002-11-26 Applied Materials, Inc. CVD plasma assisted lower dielectric constant sicoh film
KR100419069B1 (ko) * 2001-06-21 2004-02-19 주식회사 엘지화학 유기실리케이트 중합체 및 이를 함유하는 저유전 절연막
US6498112B1 (en) * 2001-07-13 2002-12-24 Advanced Micro Devices, Inc. Graded oxide caps on low dielectric constant (low K) chemical vapor deposition (CVD) films
US6632735B2 (en) 2001-08-07 2003-10-14 Applied Materials, Inc. Method of depositing low dielectric constant carbon doped silicon oxide
US6531412B2 (en) * 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
US6887780B2 (en) 2001-08-31 2005-05-03 Intel Corporation Concentration graded carbon doped oxide
US6612886B2 (en) * 2001-09-06 2003-09-02 Concord Technologies In-line cable retriever
US6677253B2 (en) * 2001-10-05 2004-01-13 Intel Corporation Carbon doped oxide deposition
US6759327B2 (en) * 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
US6656837B2 (en) * 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
US20030087043A1 (en) * 2001-11-08 2003-05-08 International Business Machines Corporation Low k dielectric film deposition process
US7091137B2 (en) * 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US6890850B2 (en) * 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
DE10162443A1 (de) * 2001-12-19 2003-07-03 Bayer Ag Verfahren zur Herstellung von dielektrischen Schichten unter Verwendung multifunktioneller Carbosilane
WO2003063205A2 (en) * 2002-01-17 2003-07-31 Silecs Oy Poly(organosiloxane) materials and methods for hybrid organic-inorganic dielectrics for integrated circuit applications
NL1019781C2 (nl) * 2002-01-18 2003-07-21 Tno Deklaag alsmede werkwijzen en inrichtingen voor de vervaardiging daarvan.
US6936309B2 (en) 2002-04-02 2005-08-30 Applied Materials, Inc. Hardness improvement of silicon carboxy films
US20030194496A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Methods for depositing dielectric material
US20030211244A1 (en) * 2002-04-11 2003-11-13 Applied Materials, Inc. Reacting an organosilicon compound with an oxidizing gas to form an ultra low k dielectric
US20030194495A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Crosslink cyclo-siloxane compound with linear bridging group to form ultra low k dielectric
US6815373B2 (en) * 2002-04-16 2004-11-09 Applied Materials Inc. Use of cyclic siloxanes for hardness improvement of low k dielectric films
US8293001B2 (en) * 2002-04-17 2012-10-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US6846515B2 (en) * 2002-04-17 2005-01-25 Air Products And Chemicals, Inc. Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
US20080268177A1 (en) * 2002-05-17 2008-10-30 Air Products And Chemicals, Inc. Porogens, Porogenated Precursors and Methods for Using the Same to Provide Porous Organosilica Glass Films with Low Dielectric Constants
US8951342B2 (en) 2002-04-17 2015-02-10 Air Products And Chemicals, Inc. Methods for using porogens for low k porous organosilica glass films
US7384471B2 (en) * 2002-04-17 2008-06-10 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US9061317B2 (en) 2002-04-17 2015-06-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US20030206337A1 (en) * 2002-05-06 2003-11-06 Eastman Kodak Company Exposure apparatus for irradiating a sensitized substrate
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US7056560B2 (en) * 2002-05-08 2006-06-06 Applies Materials Inc. Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
US7105460B2 (en) * 2002-07-11 2006-09-12 Applied Materials Nitrogen-free dielectric anti-reflective coating and hardmask
US6927178B2 (en) * 2002-07-11 2005-08-09 Applied Materials, Inc. Nitrogen-free dielectric anti-reflective coating and hardmask
JP4217870B2 (ja) * 2002-07-15 2009-02-04 日本電気株式会社 有機シロキサン共重合体膜、その製造方法、成長装置、ならびに該共重合体膜を用いた半導体装置
US7112615B2 (en) * 2002-07-22 2006-09-26 Massachusetts Institute Of Technology Porous material formation by chemical vapor deposition onto colloidal crystal templates
JP4015510B2 (ja) * 2002-09-09 2007-11-28 日本エー・エス・エム株式会社 半導体集積回路の多層配線用層間絶縁膜及びその製造方法
US7749563B2 (en) * 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
AU2003282988A1 (en) * 2002-10-21 2004-05-13 Massachusetts Institute Of Technology Pecvd of organosilicate thin films
US6797643B2 (en) * 2002-10-23 2004-09-28 Applied Materials Inc. Plasma enhanced CVD low k carbon-doped silicon oxide film deposition using VHF-RF power
US7404990B2 (en) * 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US6790788B2 (en) * 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
TW582186B (en) * 2003-01-29 2004-04-01 Au Optronics Corp Method of fabricating organic light emitting display with passivation structure
US7011890B2 (en) * 2003-03-03 2006-03-14 Applied Materials Inc. Modulated/composited CVD low-k films with improved mechanical and electrical properties for nanoelectronic devices
US7288292B2 (en) * 2003-03-18 2007-10-30 International Business Machines Corporation Ultra low k (ULK) SiCOH film and method
JP4245394B2 (ja) * 2003-03-27 2009-03-25 新日鉄マテリアルズ株式会社 無機ポリマー膜で被覆されたステンレス箔
US20040197474A1 (en) * 2003-04-01 2004-10-07 Vrtis Raymond Nicholas Method for enhancing deposition rate of chemical vapor deposition films
US7199061B2 (en) * 2003-04-21 2007-04-03 Applied Materials, Inc. Pecvd silicon oxide thin film deposition
US8137764B2 (en) * 2003-05-29 2012-03-20 Air Products And Chemicals, Inc. Mechanical enhancer additives for low dielectric films
JP4119791B2 (ja) * 2003-05-30 2008-07-16 サムコ株式会社 カソードカップリング型プラズマcvd装置を用いた炭素含有シリコン系膜の製造方法
US20040253378A1 (en) * 2003-06-12 2004-12-16 Applied Materials, Inc. Stress reduction of SIOC low k film by addition of alkylenes to OMCTS based processes
US20050271893A1 (en) * 2004-06-04 2005-12-08 Applied Microstructures, Inc. Controlled vapor deposition of multilayered coatings adhered by an oxide layer
US20050037153A1 (en) * 2003-08-14 2005-02-17 Applied Materials, Inc. Stress reduction of sioc low k films
US20050070103A1 (en) * 2003-09-29 2005-03-31 Applied Materials, Inc. Method and apparatus for endpoint detection during an etch process
WO2005053009A1 (ja) * 2003-11-28 2005-06-09 Nec Corporation 多孔質絶縁膜及びその製造方法並びに多孔質絶縁膜を用いた半導体装置
US7030041B2 (en) * 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US20050214457A1 (en) * 2004-03-29 2005-09-29 Applied Materials, Inc. Deposition of low dielectric constant films by N2O addition
JP2005294333A (ja) * 2004-03-31 2005-10-20 Semiconductor Process Laboratory Co Ltd 成膜方法及び半導体装置
US7115508B2 (en) * 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
EP1586674A1 (en) * 2004-04-14 2005-10-19 Nederlandse Organisatie voor Toegepast-Natuuurwetenschappelijk Onderzoek TNO Coatings, and methods and devices for the manufacture thereof
US7229911B2 (en) * 2004-04-19 2007-06-12 Applied Materials, Inc. Adhesion improvement for low k dielectrics to conductive materials
US20050233555A1 (en) * 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US7112541B2 (en) * 2004-05-06 2006-09-26 Applied Materials, Inc. In-situ oxide capping after CVD low k deposition
US20050277302A1 (en) * 2004-05-28 2005-12-15 Nguyen Son V Advanced low dielectric constant barrier layers
US7229041B2 (en) * 2004-06-30 2007-06-12 Ohio Central Steel Company Lifting lid crusher
JP4854938B2 (ja) * 2004-07-06 2012-01-18 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US7288205B2 (en) * 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
US7166544B2 (en) * 2004-09-01 2007-01-23 Applied Materials, Inc. Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors
US7332445B2 (en) * 2004-09-28 2008-02-19 Air Products And Chemicals, Inc. Porous low dielectric constant compositions and methods for making and using same
US8084294B2 (en) 2005-02-18 2011-12-27 Nec Corporation Method of fabricating organic silicon film, semiconductor device including the same, and method of fabricating the semiconductor device
US7189658B2 (en) * 2005-05-04 2007-03-13 Applied Materials, Inc. Strengthening the interface between dielectric layers and barrier layers with an oxide layer of varying composition profile
US7273823B2 (en) * 2005-06-03 2007-09-25 Applied Materials, Inc. Situ oxide cap layer development
US7349196B2 (en) * 2005-06-17 2008-03-25 Industrial Technology Research Institute Composite distributed dielectric structure
FR2887891B1 (fr) * 2005-07-01 2007-09-21 Commissariat Energie Atomique Materiau a base de polysiloxane et a faible hysteresis de mouillage et procede de depot d'un tel materiau.
US20070134435A1 (en) * 2005-12-13 2007-06-14 Ahn Sang H Method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films
KR101031005B1 (ko) 2006-01-26 2011-04-25 후지쯔 세미컨덕터 가부시키가이샤 강유전체 메모리 장치
US7601651B2 (en) * 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
TWI424498B (zh) * 2006-03-31 2014-01-21 Applied Materials Inc 用以改良介電薄膜之階梯覆蓋與圖案負載的方法
US7780865B2 (en) * 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US20070287301A1 (en) * 2006-03-31 2007-12-13 Huiwen Xu Method to minimize wet etch undercuts and provide pore sealing of extreme low k (k<2.5) dielectrics
US7902080B2 (en) 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US20070289534A1 (en) * 2006-05-30 2007-12-20 Applied Materials, Inc. Process chamber for dielectric gapfill
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US7297376B1 (en) 2006-07-07 2007-11-20 Applied Materials, Inc. Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers
US8790785B2 (en) * 2006-07-21 2014-07-29 Renesas Electronics Corporation Method of forming a porous insulation film
US7968146B2 (en) * 2006-11-01 2011-06-28 The Trustees Of Princeton University Hybrid layers for use in coatings on electronic devices or other articles
US20080102223A1 (en) * 2006-11-01 2008-05-01 Sigurd Wagner Hybrid layers for use in coatings on electronic devices or other articles
US20080102206A1 (en) * 2006-11-01 2008-05-01 Sigurd Wagner Multilayered coatings for use on electronic devices or other articles
US8900695B2 (en) * 2007-02-23 2014-12-02 Applied Microstructures, Inc. Durable conformal wear-resistant carbon-doped metal oxide-comprising coating
US20080248263A1 (en) * 2007-04-02 2008-10-09 Applied Microstructures, Inc. Method of creating super-hydrophobic and-or super-hydrophilic surfaces on substrates, and articles created thereby
US8236379B2 (en) * 2007-04-02 2012-08-07 Applied Microstructures, Inc. Articles with super-hydrophobic and-or super-hydrophilic surfaces and method of formation
JP4165901B1 (ja) * 2007-04-27 2008-10-15 キヤノン株式会社 現像ローラ、電子写真プロセスカートリッジ及び電子写真画像形成装置
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
JP5015705B2 (ja) * 2007-09-18 2012-08-29 ルネサスエレクトロニクス株式会社 層間絶縁膜形成方法、層間絶縁膜、半導体デバイス、および半導体製造装置
US7541297B2 (en) * 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7964040B2 (en) * 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
US20090130362A1 (en) * 2007-11-21 2009-05-21 Egan Visual Inc. Multiuse dry erase writing and projection surface
US8003174B2 (en) * 2007-12-13 2011-08-23 Asm Japan K.K. Method for forming dielectric film using siloxane-silazane mixture
US8592253B2 (en) * 2008-05-07 2013-11-26 The Trustees Of Princeton University Hybrid layers for use in coatings on electronic devices or other articles
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20100206229A1 (en) * 2008-05-30 2010-08-19 Alta Devices, Inc. Vapor deposition reactor system
US20100212591A1 (en) * 2008-05-30 2010-08-26 Alta Devices, Inc. Reactor lid assembly for vapor deposition
US8852696B2 (en) * 2008-05-30 2014-10-07 Alta Devices, Inc. Method for vapor deposition
TW201030178A (en) * 2008-10-10 2010-08-16 Alta Devices Inc Concentric showerhead for vapor deposition
US8637396B2 (en) * 2008-12-01 2014-01-28 Air Products And Chemicals, Inc. Dielectric barrier deposition using oxygen containing precursor
WO2013170052A1 (en) 2012-05-09 2013-11-14 Sio2 Medical Products, Inc. Saccharide protective coating for pharmaceutical package
US7985188B2 (en) 2009-05-13 2011-07-26 Cv Holdings Llc Vessel, coating, inspection and processing apparatus
EP2674513B1 (en) 2009-05-13 2018-11-14 SiO2 Medical Products, Inc. Vessel coating and inspection
KR20120049239A (ko) * 2009-06-26 2012-05-16 도쿄엘렉트론가부시키가이샤 플라즈마 처리 방법
US9458536B2 (en) 2009-07-02 2016-10-04 Sio2 Medical Products, Inc. PECVD coating methods for capped syringes, cartridges and other articles
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US9127364B2 (en) 2009-10-28 2015-09-08 Alta Devices, Inc. Reactor clean
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
JP2013516763A (ja) 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
JP2013517616A (ja) 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
JP2013521650A (ja) 2010-03-05 2013-06-10 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvdによる共形層
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
JP5750230B2 (ja) * 2010-03-29 2015-07-15 大陽日酸株式会社 炭窒化珪素膜及び炭窒化珪素膜の成膜方法
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
JP5671253B2 (ja) * 2010-05-07 2015-02-18 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
WO2011143509A1 (en) 2010-05-12 2011-11-17 Cv Holdings, Llc Vessel outgassing inspection methods
US11624115B2 (en) 2010-05-12 2023-04-11 Sio2 Medical Products, Inc. Syringe with PECVD lubrication
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US8357608B2 (en) 2010-08-09 2013-01-22 International Business Machines Corporation Multi component dielectric layer
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US9878101B2 (en) 2010-11-12 2018-01-30 Sio2 Medical Products, Inc. Cyclic olefin polymer vessels and vessel coating methods
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US9272095B2 (en) 2011-04-01 2016-03-01 Sio2 Medical Products, Inc. Vessels, contact surfaces, and coating and inspection apparatus and methods
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US9212422B2 (en) 2011-08-31 2015-12-15 Alta Devices, Inc. CVD reactor with gas flow virtual walls
US10066297B2 (en) 2011-08-31 2018-09-04 Alta Devices, Inc. Tiled showerhead for a semiconductor chemical vapor deposition reactor
US9175393B1 (en) 2011-08-31 2015-11-03 Alta Devices, Inc. Tiled showerhead for a semiconductor chemical vapor deposition reactor
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
CN103930595A (zh) 2011-11-11 2014-07-16 Sio2医药产品公司 用于药物包装的钝化、pH保护性或润滑性涂层、涂布方法以及设备
US11116695B2 (en) 2011-11-11 2021-09-14 Sio2 Medical Products, Inc. Blood sample collection tube
CN104619367A (zh) 2012-05-09 2015-05-13 Sio2医药产品公司 Pecvd涂层的检查方法
US9267205B1 (en) 2012-05-30 2016-02-23 Alta Devices, Inc. Fastener system for supporting a liner plate in a gas showerhead reactor
CN106170582A (zh) 2012-07-03 2016-11-30 Sio2医药产品公司 药物包装的SiOx 阻隔物和涂布方法
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
WO2014071061A1 (en) 2012-11-01 2014-05-08 Sio2 Medical Products, Inc. Coating inspection method
EP2920567B1 (en) 2012-11-16 2020-08-19 SiO2 Medical Products, Inc. Method and apparatus for detecting rapid barrier coating integrity characteristics
US9764093B2 (en) 2012-11-30 2017-09-19 Sio2 Medical Products, Inc. Controlling the uniformity of PECVD deposition
WO2014085346A1 (en) 2012-11-30 2014-06-05 Sio2 Medical Products, Inc. Hollow body with inside coating
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
WO2014134577A1 (en) 2013-03-01 2014-09-04 Sio2 Medical Products, Inc. Plasma or cvd pre-treatment for lubricated pharmaceutical package, coating process and apparatus
WO2014137529A1 (en) 2013-03-04 2014-09-12 Applied Materials, Inc. Fluorine-containing plasma polymerized hmdso for oled thin film encapsulation
US9937099B2 (en) 2013-03-11 2018-04-10 Sio2 Medical Products, Inc. Trilayer coated pharmaceutical packaging with low oxygen transmission rate
WO2014164928A1 (en) 2013-03-11 2014-10-09 Sio2 Medical Products, Inc. Coated packaging
WO2014144926A1 (en) 2013-03-15 2014-09-18 Sio2 Medical Products, Inc. Coating method
US11066745B2 (en) 2014-03-28 2021-07-20 Sio2 Medical Products, Inc. Antistatic coatings for plastic vessels
CN105336586B (zh) * 2014-06-30 2018-01-23 中芯国际集成电路制造(上海)有限公司 一种氧含量递增的硬掩模
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
CA3204930A1 (en) 2015-08-18 2017-02-23 Sio2 Medical Products, Inc. Pharmaceutical and other packaging with low oxygen transmission rate
JP7109361B2 (ja) 2015-11-16 2022-07-29 エスアイオーツー・メディカル・プロダクツ・インコーポレイテッド 生体分子の付着が低減された表面を有するポリマー基材、及びそのような基材の熱可塑性物品
US10483489B2 (en) 2016-08-12 2019-11-19 Universal Display Corporation Integrated circular polarizer and permeation barrier for flexible OLEDs
CN109991813B (zh) 2017-12-29 2022-06-21 财团法人工业技术研究院 感光型复合材料及使用其形成复合薄膜的方法
JP2022512859A (ja) 2018-10-29 2022-02-07 エスアイオーツー・メディカル・プロダクツ・インコーポレイテッド 改善されたタンパク質薬物安定性と低い免疫応答を有する一次容器
WO2021072410A2 (en) 2019-10-11 2021-04-15 Sio2 Medical Products, Inc. Blood sample collection tube and uses thereof
EP4164963A2 (en) 2020-06-16 2023-04-19 SiO2 Medical Products, Inc. Packages comprising anti-microbial coatings for preventing contamination, e.g. after first use of the product
WO2021262764A1 (en) 2020-06-22 2021-12-30 Sio2 Medical Products, Inc. Atomic layer deposition coated pharmaceutical packaging and improved syringes and vials, e.g. for lyophilized/cold-chain drugs/vaccines
WO2022020701A1 (en) * 2020-07-24 2022-01-27 Versum Materials Us, Llc Cyclosiloxanes and films made therewith
WO2022026586A1 (en) 2020-07-28 2022-02-03 Sio2 Medical Products, Inc. Method and package for reducing the degradation of a drug and/or excipient, e.g. polysorbate stabilizer, in a pharmaceutical product
US20230340670A1 (en) 2020-08-12 2023-10-26 Sio2 Medical Products, Inc. Pulsed plasma enhanced chemical vapor deposition process, system, and coated vessels
WO2022211865A1 (en) 2021-03-31 2022-10-06 Sio2 Medical Products, Inc. Atomic layer deposition coated pharmaceutical packaging and improved syringes and vials, e.g. for lyophilized/cold-chain drugs/vaccines

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1573314A (en) * 1976-04-14 1980-08-20 Boc Ltd Method and apparatus for dissolving gas in a body of liquid
US4168330A (en) * 1977-10-13 1979-09-18 Rca Corporation Method of depositing a silicon oxide layer
JPH053258A (ja) * 1990-09-25 1993-01-08 Kawasaki Steel Corp 層間絶縁膜の形成方法
CA2137928C (en) 1992-07-04 2002-01-29 Christopher David Dobson A method of treating a semiconductor wafer
US5508368A (en) * 1994-03-03 1996-04-16 Diamonex, Incorporated Ion beam process for deposition of highly abrasion-resistant coatings
US5618619A (en) * 1994-03-03 1997-04-08 Monsanto Company Highly abrasion-resistant, flexible coatings for soft substrates
US5858880A (en) 1994-05-14 1999-01-12 Trikon Equipment Limited Method of treating a semi-conductor wafer
GB2331626B (en) 1996-08-24 2001-06-13 Trikon Equip Ltd Method and apparatus for depositing a planarized dielectric layer on a semiconductor substrate
JP4082626B2 (ja) * 1996-11-19 2008-04-30 松下電器産業株式会社 層間絶縁膜形成用材料及び層間絶縁膜
EP0849796A3 (en) * 1996-12-17 1999-09-01 Texas Instruments Incorporated Improvements in or relating to integrated circuits
JPH1140554A (ja) * 1997-07-22 1999-02-12 Fujitsu Ltd 絶縁膜形成材料、並びにこれを用いた絶縁膜形成方法及び半導体装置
GB9801359D0 (en) 1998-01-23 1998-03-18 Poulton Limited Methods and apparatus for treating a substrate
GB9801655D0 (en) 1998-01-28 1998-03-25 Trikon Equip Ltd Method and apparatus for treating a substrate
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
JP3571522B2 (ja) * 1998-02-12 2004-09-29 松下電器産業株式会社 多孔質膜の形成方法及び多孔質膜の形成材料

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1698188B (zh) * 2003-01-31 2010-09-08 应用材料公司 沉积低介电常数膜的方法
CN1906026B (zh) * 2003-12-18 2011-08-03 兰姆研究公司 半导体材料加工设备中的氧化钇涂覆的陶瓷部件及该部件的制造方法
CN101528974B (zh) * 2006-10-16 2013-07-17 应用材料公司 在形成于基材上的间隙中沉积介电层以及以介电材料填充间隙的方法
CN105324842A (zh) * 2013-06-21 2016-02-10 环球展览公司 用于衬底和电子装置的混合屏障层
CN104425759A (zh) * 2013-08-27 2015-03-18 财团法人工业技术研究院 有机无机复合薄膜与其形成方法
CN104733641A (zh) * 2015-04-03 2015-06-24 京东方科技集团股份有限公司 Oled器件的封装方法、封装结构及显示装置
US11751426B2 (en) 2016-10-18 2023-09-05 Universal Display Corporation Hybrid thin film permeation barrier and method of making the same
CN108456324A (zh) * 2018-04-09 2018-08-28 南通洪明电工科技有限公司 一种表面涂覆工艺制备高性能无机/有机复合多层介电薄膜的方法及其应用

Also Published As

Publication number Publication date
WO1999055526A1 (en) 1999-11-04
KR20010043126A (ko) 2001-05-25
US7153580B2 (en) 2006-12-26
TW476705B (en) 2002-02-21
AU3562799A (en) 1999-11-16
EP1089874A4 (en) 2004-09-22
JP2004006983A (ja) 2004-01-08
IL139128A0 (en) 2001-11-25
CN1142059C (zh) 2004-03-17
EP1089874A1 (en) 2001-04-11
KR100404536B1 (ko) 2003-11-05
CA2330040A1 (en) 1999-11-04
US20070092732A1 (en) 2007-04-26
JP2002513203A (ja) 2002-05-08
US20040033373A1 (en) 2004-02-19
JP3515074B2 (ja) 2004-04-05
US6660391B1 (en) 2003-12-09
HK1036954A1 (en) 2002-01-25
JP3930840B2 (ja) 2007-06-13
US6068884A (en) 2000-05-30
US7901783B2 (en) 2011-03-08

Similar Documents

Publication Publication Date Title
CN1142059C (zh) 低介电常数无机/有机复合介电薄膜及其制造方法
TWI234200B (en) A method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
JP3881282B2 (ja) 低誘電率材料およびcvdによる処理方法
JP4216768B2 (ja) 有機ケイ酸塩ガラス膜及びその作製方法並びに有機ケイ酸塩ガラス膜作製のための混合物
CN1479804A (zh) 作为在半导体器件中的层内和层间绝缘体的超低介电常数材料及其制造方法、以及包含该材料的电子器件
JP2002256434A (ja) 低誘電率層間絶縁膜の形成方法
CN1659685A (zh) 作为半导体器件中的层内或层间介质的超低介电常数材料
JP2004526318A (ja) 水素化シリコンオキシカーバイド膜を生産するための方法
CN1950932A (zh) 用于制造在制成的半导体器件和电子器件内用作层内或层间电介质的超低介电常数材料的改进方法
KR100577059B1 (ko) 저 유전 상수 재료 및 cvd에 의한 처리 방법
CN1460130A (zh) 无机/有机介电薄膜的沉积系统及方法
CN1257547C (zh) 多相低介电常数材料及其沉积方法与应用
US6905981B1 (en) Low-k dielectric materials and processes
US20020004139A1 (en) Deposition method of dielectric films having a low dielectric constant
EP4320286A1 (en) New precursors for depositing films with high elastic modulus
EP4110969A1 (en) New precursors for depositing films with high elastic modulus

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
ASS Succession or assignment of patent right

Owner name: SAIMEIAIKESHEN CO.,LTD.

Free format text: FORMER OWNER: ASML AMERICA CO.,LTD.

Effective date: 20040521

C41 Transfer of patent application or patent right or utility model
C56 Change in the name or address of the patentee

Owner name: ASML AMERICA CO.,LTD.

Free format text: FORMER NAME OR ADDRESS: SILICON VALLEY GROUP, INC.

Owner name: SILICON VALLEY GROUP, INC.

Free format text: FORMER NAME OR ADDRESS: SILICON VALLY GROUP HEAT SYSTEM INC.

Owner name: AVERMITILIS INFORMATION TECHNOLOGY CO., LTD.

Free format text: FORMER NAME OR ADDRESS: SAIMEIAIKESHEN CO.,LTD.

CP03 Change of name, title or address

Address after: California, USA

Patentee after: Avi information technology Co.

Address before: California, USA

Patentee before: Exxon Mobil

Address after: California, USA

Patentee after: ASML US, Inc.

Address before: California, USA

Patentee before: SILICON VALLEY Group Inc.

Address after: California, USA

Patentee after: SILICON VALLEY Group Inc.

Address before: California, USA

Patentee before: SILICON VALLEY GROUP, THERMAL SYSTEMS LLC

TR01 Transfer of patent right

Effective date of registration: 20040521

Address after: California, USA

Patentee after: Exxon Mobil

Address before: California, USA

Patentee before: ASML US, Inc.

REG Reference to a national code

Ref country code: HK

Ref legal event code: GR

Ref document number: 1036954

Country of ref document: HK

C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20040317

Termination date: 20130415