KR101107542B1 - 플라즈마 반응기용 용사 이트리아 함유 코팅 - Google Patents

플라즈마 반응기용 용사 이트리아 함유 코팅 Download PDF

Info

Publication number
KR101107542B1
KR101107542B1 KR1020117000086A KR20117000086A KR101107542B1 KR 101107542 B1 KR101107542 B1 KR 101107542B1 KR 1020117000086 A KR1020117000086 A KR 1020117000086A KR 20117000086 A KR20117000086 A KR 20117000086A KR 101107542 B1 KR101107542 B1 KR 101107542B1
Authority
KR
South Korea
Prior art keywords
plasma
chamber
coating
yttria
reactor
Prior art date
Application number
KR1020117000086A
Other languages
English (en)
Other versions
KR20110015676A (ko
Inventor
로버트 제이. 오도넬
존 이. 도어티
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20110015676A publication Critical patent/KR20110015676A/ko
Application granted granted Critical
Publication of KR101107542B1 publication Critical patent/KR101107542B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting

Abstract

반도체 공정 장치의 부품은 플라즈마 분위기에서 내침식성, 내부식성 및/또는 내부식-침식성을 제공하는 용사 이트리아 함유 코팅을 포함한다. 이 코팅은 물리적 및/또는 화학적 공격으로부터 기판을 보호할 수 있다.

Description

플라즈마 반응기용 용사 이트리아 함유 코팅{THERMAL SPRAYED YTTRIA-CONTAINING COATING FOR PLASMA REACTOR}
본 발명은 반도체 재료의 공정 장치용 부품과 관련있다. 상기 부품은 반도체 재료에 대한 공정이 진행되는 동안에 반도체 재료가 오염되는 것을 감소시킬 수 있는 재료로 이루어져 있다. 본 발명은 또한 상기 부품을 제조하는 방법과도 관련이 있다.
반도체 재료의 공정 분야에서, 기판 상의 재료를 식각하거나 기판 상에 재료를 화학기상증착(CVD)하기 위하여 진공 공정 챔버를 사용한다. 공정 가스가 상기 공정 챔버로 유입되는데, 그 동안에 상기 공정 가스에 무선 주파수(RF) 필드가 인가되어 상기 공정 가스의 플라즈마 상태를 발생시킨다. 상기 플라즈마에 의하여 웨이퍼 상의 선택된 재료의 소망하는 식각 공정 또는 증착 공정이 수행된다. 평행판, 유도결합 플라즈마(ICP)라고도 불리는 트랜스포머 결합 플라즈마(TCPTM), 전자-사이크로트론 공명(ECR) 반응기 및 그들의 부품들의 예들이 공동 소유로 된 미국 특허 제4,340,462호, 제4,948,458호, 제5,200,232호, 제5,820,723호에 개시되어 있다.
예를 들어, 미국 특허 제5,262,029호 및 제5,838,529호에 개시되어 있는 바와 같이, 반도체 기판에 대한 공정이 진행되는 동안에 기판은 전형적으로 기판 홀더들에 의하여 진공 챔버 내에 고정된다. 공정 가스들은 여러가지 가스 공급 시스템에 의하여 상기 챔버로 공급될 수 있다.
플라즈마 챔버 설비에 더하여, 반도체 기판을 처리하는 데 사용되는 다른 설비로서 전송 메카니즘, 라이너, 리프트 메카니즘, 로드락, 도어 메카니즘, 로봇아암, 패스너(fastener) 등이 포함된다.
식각으로 재료를 제거하거나 또는 기판 상에 재료를 증착하는데 플라즈마를 사용한다. 플라즈마 식각 조건에 의하여 상기 플라즈마에 노출된 공정 챔버의 표면에는 상당한 이온 폭격을 야기시킨다. 이러한 이온 폭격은, 플라즈마 화합물 및/또는 식각 부산물과 결합하여, 플라즈마에 노출된 공정 챔버의 표면에 상당한 침식(erosion), 부식(corrosion) 및 부식-침식을 야기한다. 그 결과, 부식, 침식 및/또는 침식-부식을 포함하는 물리적 및/또는 화학적 공격에 의하여 상기 표면 재료가 제거된다. 이러한 공격에 의하여 부품 수명 단축, 소모품에 대한 비용 증가, 입자에 의한 오염, 전이 금속에 의한 웨이퍼 표면의 오염 및 공정 드리프트(process drift)를 비롯한 문제점을 야기한다.
이러한 문제점의 견지에서, 피처리 웨이퍼의 상부로 플라즈마를 한정하는 디스크, 링 및 실린더 등과 같은 부품을 포함하도록 플라즈마 공정 챔버가 고안되어져 왔다. 그러나, 이들 부품은 계속적으로 플라즈마에 의하여 공격을 받으며, 그 결과 궁극적으로는 침식되거나 폴리머 퇴적물을 누적시킨다. 결과적으로, 이들 부품은 마모되어, 더 이상 사용할 수 없는 상태에 이르게 된다. 상대적으로 짧은 수명을 가지는 부품은 일반적으로 "소모품"으로 불리운다. 소모품의 수명이 짧은 경우에는, 그것을 유지하는 비용을 증가시킨다. 소모품 및 다른 부품이 침식되면 플라즈마 공정 챔버의 내부를 오염시키게 된다.
이러한 반응기 내부의 플라즈마 환경에 의한 부식 및 침식 특성과 입자 및/또는 금속 오염을 최소화시킬 필요성으로 인하여, 소모품 및 다른 부품을 포함하여 이러한 설비의 부품이 상기 환경에 적합하게 높은 내부식성 및 내침식성을 가지도록 하는 것이 바람직하다. 플라즈마 환경에서 부식 및 침식에 대한 내성을 제공하는 재료를 사용하여 부품을 제조하여 왔는데, 예를 들어 미국 특허 제5,798,016호, 제5,911,852호, 제6,123,791호 및 제6,352,611호를 참조하라.
본 발명은 플라즈마 공정 환경에서 야기되는 물리적 및/또는 화학적 공격에 대하여 향상된 내마모성을 제공할 수 있는 재료로 만들어진 반도체 공정 장치의 부품을 제공한다. 상기 부품은 금속 및 입자에 대한 낮은 수준의 오염을 제공할 수 있다.
본 발명에 따른 반도체 공정 장치의 부품의 예시적인 실시예는 일 표면을 포함하는 기판과 상기 표면 상의 용사 (thermal sprayed) 산화 이트륨(이트리아) 함유 코팅을 포함한다. 상기 코팅은 상기 부품의 최외각 표면을 포함한다.
본 발명에 따른 반도체 공정 장치의 부품을 제조하는 방법의 예시적인 실시예는 용사에 의해 상기 부품의 표면 상에 이트리아 함유 코팅을 형성하는 단계를 포함한다. 상기 코팅은 상기 부품의 최외각 표면을 포함한다.
바람직한 실시예에서, 상기 용사 이트리아 함유 코팅은 기판 표면 상에 직접 형성될 수 있다.
게다가, 본 발명은 전술한 이트리아 함유 부품 중 적어도 하나를 포함하는 반도체 공정 장치를 제공한다.
본 발명은 플라즈마 공정 환경에서 야기되는 물리적 및/또는 화학적 공격에 대하여 향상된 내마모성을 제공할 수 있는 재료로 만들어진 반도체 공정 장치의 부품을 제공한다. 상기 부품은 금속 및 입자에 대한 낮은 수준의 오염을 제공할 수 있다.
본 발명은 첨부된 도면을 참조하여 후술되어 있는 발명의 실시예에 의하여 보다 용이하게 이해될 수 있을 것이다.
도 1은 종래의 플라즈마 분사 공정을 나타낸다.
도 2는 본 발명의 한 실시예에 따른 플라즈마 식각 장치용 가스 링의 단면도이다.
도 3은 본 발명의 한 실시예에 따른 부품을 포함하는 식각 챔버를 나타낸다.
도 4는 본 발명의 한 실시예에 따른 부품을 포함하는 다른 식각 챔버를 나타낸다.
도 5는 본 발명에 따른 보호용 코팅의 일 예를 보여준다.
도 6은 본 발명에 따른 보호용 코팅의 다른 예를 보여준다.
도 7은 본 발명에 따른 이트리아 함유 코팅으로 코팅된 산화막 및 베어 (bare) 실리콘 웨이퍼와, 또한 코팅되지 않은 산화막 및 베어 실리콘 웨이퍼의 오염 수준을 보여준다.
본 발명은 반도체 재료 공정 장치에 사용하기에 적합한 부품을 제공한다. 상기 부품은 공정이 진행되는 동안에 반도체 재료 공정 장치 내에서 생성되는 플라즈마에 의한 물리적 및 화학적 공격에 대한 내마모성(wear resistance)을 제공할 수 있다. 본 명세서에서 사용된 "내마모성"이라는 용어는 내부식성, 내침식성 및/또는 내침식-부식성을 포함하며, 여기에 한정되는 것은 아니다.
상기 부품은 산화 이트륨(이트리아) 함유 코팅을 포함한다. 이트리아 함유 코팅은 반도체 공정 장치 내에서 플라즈마에 의한 화학적 및/또는 물리적 공격으로부터 외부 표면을 보호하는 역할을 한다.
게다가, 본 발명은 플라즈마 환경에 위치하는 부품의 내마모성을 향상시키기 위한 이트리아 함유 코팅을 포함하는 부품을 제조하는 방법을 제공한다.
당업계에서 숙련된 자들은 여러 가지 반도체 재료를 처리하는데 사용되는 여러 가지 공정 장치에 내마모성 재료가 도포될 수 있다는 것을 알 것이다. 게다가, 내마모성 재료는 공정 챔버 내의 여러 가지 부품에 도포될 수 있다. 이러한 예시적인 부품으로서, 플라즈마 및/또는 진공 챔버의 부품들, 예를 들어, 챔버 벽, 기판 지지대, 샤워헤드, 배플, 링, 노즐 등을 포함하는 가스 분배 시스템, 패스너, 가열 요소, 플라즈마 스크린, 라이너, 예컨대 로봇 아암, 패스너, 내부 및 외부 챔버 벽 등과 같은 전송 모듈 부품이 포함되며, 여기에 한정되는 것은 아니다.
이트리아 함유 재료는 실질적으로(essentially) 이트리아로 구성되는 것이 바람직하다. 이트리아 함유 재료를 포함하는 하나 또는 그 이상의 부품이 통합되어 있는 장치에서 피처리 반도체 재료의 오염을 최소화하기 위하여, 상기 이트리아 함유 재료는 가능한 순수한 재료, 예컨대 전이 금속이나 알카리 금속과 같은 오염원이 될 수 있는 재료는 최소한의 양을 포함하는 것이 바람직하다. 예를 들어, 상기 이트리아 함유 코팅은 웨이퍼 상에 1010 원자/cm2 또는 그 이상, 바람직하게는 105 원자/cm2 또는 그 이상의 오염은 방지할 수 있도록 충분히 순수한 재료로 제조될 수 있다. 바람직하게는, 상기 이트리아 함유 재료는 적어도 약 99%의 고순도를 가지며, 보다 바람직하게는 약 99.95% 내지 약 100%의 고순도를 가진다.
상기 이트리아 함유 코팅은 하부에 위치하는 기판에 높은 결합력을 제공할 수 있다. 바람직하게는, 상기 이트리아 함유 코팅은 약 2000psi 내지 약 7000psi의 인장 결합력을 가진다.
상기 이트리아 함유 코팅은 낮은 공극률(porosity) 수준을 제공할 수 있는데, 이러한 특성은 공격적인 분위기가 하부에 위치하는 기판에 접촉하는 것을 최소화함으로써, 공격적인 분위기에 의해 기판의 부식, 침식 및/또는 부식-침식에 의한 후속 물리적 및/또는 화학적 공격을 최소화하는데 있어서 유리하다. 바람직하게는, 상기 이트리아 함유 코팅은 부피비로 15% 미만의 공극률을 갖고, 보다 바람직하게는 부피비로 약 3% 미만의 공극률을 가지며, 부피비로 약 1% 미만의 공극률을 가지는 것이 가장 바람직하다.
게다가, 이트리아 함유 코팅은 부식을 방지하는 높은 경도를 제공할 수 있다. 바람직하게는, 세라믹 재료는 약 200 내지 약 800의 경도(HVO3)를 가진다.
상기 이트리아 함유 코팅은 결정 구조를 가질 수 있는데, 바람직하게는 약 10% 내지 약 100%의 입방정계 구조이고, 보다 바람직하게는 약 95% 이상의 입방정계 구조이다.
상기 이트리아 함유 코팅은 순수 흰색에서 어두운 회색/검정색에 걸친 색상을 가질 수 있다. 상기 코팅은 흰색인 것이 바람직하다.
상기 이트리아 함유 코팅은 예컨대 플라즈마 식각 챔버와 같은 반도체 공정 장치내에 사용할 경우에 소망하는 내마모성을 제공할 수 있다. 특히, 상기 이트리아 함유 코팅은 플라즈마 반응기 챔버 내에서 이온에 의해 유발된 침식 및 이온과 연관된 입자 오염 수준을 감소시킬 수 있는 표면을 제공한다. 상기 이트리아 함유 코팅은 플라즈마에 의한 물리적 공격과 화학적 공격 모두로부터 하부에 위치하는 기판을 보호할 수 있다.
상기 내마모성 코팅은 다른 응용 공정에서 뿐만 아니라 식각, 퇴적을 위한 다양한 여러 가지 플라즈마 분위기에서도 사용될 수 있다. 전형적인 화합물로는 여러 가지가 있는데, 예를 들어, Cl2, HCl 및 BCl3을 포함하는 염소 함유 가스; O2, H2O 및 SO2를 포함하는 산소 함유 가스; CF4, CH2F2, NF3, CH3F, C2F6, CHF3 및 SF6를 포함하는 불소 함유 가스; 및 He, Ar 및 N2 를 포함하는 불활성 가스 및 다른 가스가 있는데, 이것들에만 한정되는 것은 아니다. 얻고자하는 플라즈마의 구성에 따라서 이러한 가스들과 다른 가스들은 어떠한 적절한 조합으로도 사용될 수가 있다. 각 식각 화합물에서 전형적인 최대 유량은 다음과 같다. Cl2, 200sccm; HCl, 100sccm; BCl3, 200sccm; HBr, 200sccm; O2, 20sccm; H2O, 100sccm; SO2, 200sccm; CF4, 200sccm; CH2F2, 100sccm; CH3F, 100sccm; C2F6, 100sccm; CHF3, 100sccm; SF6, 200sccm; He, 200sccm; Ar, 200sccm; 및 N2, 200sccm. 여러 가지 공정 가스들의 적합한 유량은 플라즈마 반응기의 유형, 파워 셋팅, 챔버 압력, 플라즈마 해리율, 식각 화합물, 피식각 재료 및 상기 공정 가스가 사용되는 식각 공정의 특정 단계 등을 비롯한 인자에 기초하여 선택될 수 있는데, 여기에만 한정되는 것은 아니다.
고밀도 플라즈마 반응기의 일 예로서의 플라즈마 식각 반응기의 예시적인 식각 동작 조건은 다음과 같다. 기판 온도는 약 0℃에서 약 70℃; 챔버 압력은 약 0 mTorr에서 약 100mTorr; 가스 유량은 약 10sccm에서 약 1000sccm; 및 플라즈마 발생 파워는 0와트 이상에서 약 1500와트, 전형적으로는 약 200와트에서 약 800와트. 가장 적합한 파워는 플라즈마 반응기에서 식각되는 웨이퍼의 유형에 따라 달라질 수 있다.
이트리아 함유 코팅을 포함한 부품은 반도체 플라즈마 식각 공정에서 실리콘 함유 재료 및 금속 함유 재료를 식각하기 위한 반도체 공정 설비의 식각 챔버 내에 사용될 수 있다. 예를 들어, 그러한 식각 챔버 내에서 식각될 수 있는 실리콘 함유 재료로는 단결정 실리콘, 다결정 실리콘, 비정질 실리콘, 질화 실리콘, 산질화 실리콘, 실리사이드, 이산화 실리콘, 저유전율(low-k) 재료 및 고유전율(high-k) 재료가 포함되며, 여기에 한정되는 것은 아니다. 상기 실리콘 함유 재료는 불순물이 도핑되어 있거나 도핑되어 있지 않을 수 있으며 및/또는 어닐링되거나 어닐링되지 않을 수도 있다.
식각될 수 있는 도전성 또는 반도전성 금속 함유 재료로는 알루미늄, 알루미늄 합금, 텅스텐, 텅스텐 합금, 티타늄, 티타늄 합금, 탄탈, 탄탈 합금, 백금, 백금 합금, 루테늄, 루테늄 합금, 크롬, 크롬 합금, 철, 철 합금, 니켈, 니켈 합금, 코발트, 코발트 합금, 몰리브덴, 몰리브덴 합금, 티타늄, 텅스텐, 크롬, 코발트 및/또는 몰리브덴의 실리사이드, 백금 실리사이드 및 산화 루테늄과 같은 강유전성 재료, 및 질화 탄탈, 크롬 실리사이드 및 NiFeCo 합금과 같은 GMR 재료 등이 있으며, 여기에 한정되는 것은 아니다.
상기 이트륨 함유 코팅은 용사 기술을 사용하여 기판 상에 형성되는 것이 바람직하다. 용사 기술에서는, 분사 코팅되는 부품으로 유도되는 가스 스트림에 세라믹 분말이 용융되어 합쳐진다. 용사 기술의 이점은 용사 총을 향하는 면에 대해서만 코팅되고, 그리고 다른 영역이 코팅되는 것을 방지할 수 있도록 마스크를 사용할 수 있다는 것이다. 플라즈마 분사를 포함하여 전통적인 용사 기술은 Pawlowski에 의한 "The Science and Engineering of Thermal Spray Coating"(John Wiley, 1995)에 개시되어 있다. 이 개시물은 참조에 의하여 본 명세서에 완전히 결합한다. 용사 이트리아 함유 코팅은 코팅될 수 있도록 적합한 형태를 갖춘 어떠한 기판 상에도 형성될 수 있다.
특별하게 바람직한 용사 기술은 플라즈마 분사 기술이다. 플라즈마 분사 기술을 사용하면 챔버의 아주 복잡한 내부 표면이나 챔버의 다른 부품도 코팅할 수 있다. 도 1은 전형적인 플라즈마 분사 공정을 나타낸다. 통상적으로 분말(112) 형태인 코팅 재료가 일반적으로 외부 분말 포트(132)를 통하여 고온의 플라즈마 화염(114) 속으로 주입된다. 상기 분말은 급속히 가열되고 고속으로 가속된다. 뜨거워진 재료는 기판 표면(116)과 충돌하며 급격히 냉각되어 코팅(118)을 형성한다.
플라즈마 분사 총(120)은 애노드(122) 및 캐소드(124)를 포함하며, 그 둘은 수냉된다. 플라즈마 가스(126, 예를 들어 아르곤, 질소, 수소, 헬륨)가 일반적으로 화살표(128)로 표시된 방향으로 캐소드 주위를 따라서 흐르고, 수축되는 노즐과 같은 형상의 애노드를 통하여 흐른다. 플라즈마가 고전압 방전에 의해 점화되어, 국부적인 이온화 및 DC 아크에 대한 도전성 경로를 캐소드 (124) 와 애노드 (122) 사이에 형성한다. 상기 아크로부터의 저항 가열은 상기 가스가 플라즈마를 형성하도록 한다. 플라즈마는 자유 또는 중성의 플라즈마 화염(즉, 이 플라즈마는 전류를 전달하지 않는다)으로서 애노드의 노즐 부분을 빠져나온다. 플라즈마가 안정화되고 분사될 준비가 되면, 전기적인 아크가 노즐 아래로 확장된다. 분말(112)은 아주 급격히 가열되고 가속되어져서 노즐 팁과 기판 표면 사이의 분사 거리는 125 내지 150 mm 정도가 될 수 있다. 플라즈마 분사 코팅은 용융된 또는 열경화된 입자들이 기판 표면(116) 상에 충돌되도록 하는 공정에 의해 형성된다.
용사 이트리아 함유 코팅은 기판 표면을 전처리하는지 여부에 관계없이 바람직한 기판 재료 상에 직접 형성되어 코팅의 부착성을 촉진하고/하거나, 기판 상에 중간 코팅을 미리 형성하는지 여부에 관계없이 바람직한 기판 재료 상에 직접 형성되어 기판 상의 코팅 부착성을 향상시킬 수 있다. 예를 들어, 상기 이트리아 함유 코팅은 상기 기판 표면을 처리하거나 중간 코팅을 이용하지 않고서 양극 산화 처리 (anodize) 된 알루미늄, 알루미나 또는 석영 기판에 직접 도포될 수 있다. 바람직한 실시예에서는, 상기 기판을 처리하거나 및/또는 중간층을 형성하지 않고서도 상기 이트리아 함유 코팅은 상기 기판에 적절한 부착성을 제공한다. 따라서, 이트리아 함유 코팅은 그러한 추가적인 선행 공정 단계를 수행하지 않고서도 기판 상에 도포될 수 있기 때문에, 그러한 추가적인 공정 단계에 기인하는 코팅 공정의 비용, 복잡성 및/또는 완료 시간이 증가하는 것을 회피할 수 있다.
기판 상에 이트리아 함유 코팅을 형성하기 이전에, 산화물이나 그리스(grease)와 같은 소망하지 않는 기판 재료를 제거하도록 코팅될 기판 표면을 세정하는 것이 바람직하다. 어떤 실시예에서는, 세정 및 입자 블라스팅(blasting)과 같은 표면 처리 기술을 사용하여 상기 코팅을 접착시키는데 있어서 화학적 및 물리적으로 보다 활성화된 표면을 제공하도록 할 수도 있다. 비록 덜 바람직하지만, 코팅 이전에 그리트(grit) 블라스팅과 같은 어떠한 적절한 방법을 사용하여 기판의 표면을 거칠게 할 수도 있다. 기판을 거칠게 하면 코팅을 결합시키는데 이용할 수 있는 표면 면적이 증가하고, 이것은 코팅의 결합력을 향상시킨다. 이러한 거친 기판 표면 프로파일은 또한 코팅과 기판 사이의 기계적인 키잉(keying) 또는 인터로킹(interlocking)을 향상시킬 수 있다.
알루미늄 반응기 부품에 대해서는, 코팅하기에 앞서 피코팅 부품의 표면을 양극 산화 처리하는 것이 바람직하지만, 양극 산화 처리된 표면은 거칠게하지 않는 것이 바람직하다. 양극 산화 처리된 층은 코팅에 의해 제공되는 보호층으로서의 역할에 더하여, 하부의 알루미늄 재료를 부식시키기 위한 공격에 대한 장벽을 제공한다. 6061-T6 알루미늄과 같은 알루미늄 기판 상에 형성된 양극 산화 처리된 알루미늄층은 어떠한 적절한 두께를 가질 수 있다. 예를 들어, 양극 산화 처리된 알루미늄층의 두께는 전형적으로 약 2밀(mil)에서 약 10밀일 수 있다. 양극 산화 처리된 알루미늄층의 표면은 어떠한 적절한 피니쉬(finish)를 가질 수도 있다. 예를 들어, 표면 피니쉬는 약 20 내지 약 100 마이크로 인치의 표면 거칠기를 가질 수도 있다. 양극 산화 처리된 층은 끓고 있는 탈이온수를 사용하는 것과 같은, 어떤 적절한 기술을 사용하여 밀봉될 수도 있다.
용사 이트리아 함유 코팅은 상기 코팅에 오염원이 접착하는 것을 촉진시키는데 효과적인 소망하는 표면 거칠기 특성을 가질 수도 있다. 오염원은, 금속 식각 공정과 같은 플라즈마 식각 공정을 진행하는 동안에 폴리머 형성종 (일반적으로 탄화 플루오르)을 사용함으로써 생기는, 폴리머 퇴적물을 포함할 수 있다. 본원에서 그 전체를 참조로서 병합하고 있는 동시 계속 중인 미국특허 출원 제09/749,917에 기술되어 있는 것처럼, 이러한 폴리머 퇴적물은 식각 공정이 진행되는 동안에 챔버 표면으로부터 벗겨져서 챔버 내부의 기판을 오염시킬 수가 있다. 반복되는 플라즈마 공정 사이클을 진행하는 동안에 발생하는 열 사이클은 이러한 문제를 악화시킨다.
용사 이트리아 함유 코팅은 플라즈마 반응기 내에서 기판을 처리하는 동안에 생성되는 폴리머 부산물의 부착성을 강화시키기에 적합한 표면 거칠기값(Ra)을 가질 수 있다. 예를 들어, 용사 이트리아 함유 코팅의 산술 평균 표면 거칠기(Ra)는 약 5 내지 약 400 마이크로 인치의 범위를 가질 수 있으며, 바람직하게는 약 120 내지 약 250 마이크로 인치의 범위를 가질 수 있다. 이 범위에서의 표면 거칠기값은 금속 식각과 같은 플라즈마 식각 공정이 진행되는 동안에 반응 챔버의 내부 표면 상에 퇴적되는 폴리머의 부착성을 향상시킬 수가 있다. 따라서, 용사 이트리아 함유 코팅은 부품 상에 이러한 폴리머 퇴적물의 부착성을 향상시킬 수 있으며, 그 결과 폴리머 퇴적물에 의하여 오염이 생기는 것을 감소시킬 수가 있다.
그러나, 어떠한 바람직한 실시예에서는, 용사 이트리아 함유 코팅은 부드러울 수도 있다. 예를 들어, 실리콘 식각 공정에서는, 아주 많은 퇴적물이 챔버 표면 상에 형성되는 경향이 있다. 이러한 공정의 경우에는, 용사 이트리아 함유 코팅이, 상기 코팅 상에 상기 퇴적물의 부착성을 향상시키기 위하여 거친 표면을 가지는 것은 바람직하지 않다. 게다가, 표면이 보다 부드러운 경우에 상대적으로 세정하기도 용이하다.
어떠한 바람직한 실시예에서는, 이트리아 함유 코팅을 포함하는 부품은 고밀도 플라즈마 반응기 내에 사용된다. 이러한 유형의 예시적인 반응기는 캘리포니아, 프레몬트의 램 리서치 코포레이션으로부터 입수할 수 있는 TCP 9400TM 플라즈마 식각 반응기이다. TCP 9400TM 반응기에서, 공정 가스 (예컨대, Cl2, HBr, CF4, CH2F2, O2, N2, Ar, SF6 및 NF3)가 식각 챔버의 바닥에 위치한 가스 링으로 유도되며, 이어서 가스 홀들을 통하여 반응 챔버내로 유입된다. 도 2는 TCP 9400TM 식각 반응기의 가스 링을 보여준다. 도 2에 도시된 것처럼, 가스 링(40)의 주몸체는 기판 지지대(44)를 둘러싼다. 가스 링(40)의 바닥 표면은 링 형상의 가스-안내(gas-guiding) 트렌치(60)를 포함한다. 전술한 가스 홀(50) 들이 가스-안내 트렌치(60) 속으로 연장된다.
상기 가스 링(40)은 전형적으로 알루미늄으로 구성된다. 가스 링의 상부 표면은 플라즈마에 직접 노출되며, 따라서 부식, 침식 및 침식-부식에 놓이게 된다. 이러한 표면들을 보호하기 위하여, 가스 링은 전형적으로 산화 알루미늄층으로 커버된다. 예를 들어, 실리콘을 식각하는 경우에는, 불소 함유 분위기는 양극 산화 처리된 알루미늄을 공격함으로써 불화 알루미늄의 "갈색 더스트"를 생성시킬 수 있다. 금속을 식각하는 경우에는, 삼염화 보론(BCl3)은 양극 산화 처리된 알루미늄 표면을 식각하여 없앰으로써 부품을 부식시킬 수가 있다. 게다가, 양극 산화 처리된 알루미늄은 상대적으로 깨지기 쉬우며 그리고 사용 중에 반응기의 반복되는 열 사이클링 동안에 크랙이 생길 수도 있다. 양극 산화 처리된 층에 형성된 크랙은 부식성 공정 가스가 하부의 알루미늄층을 공격하는 것을 가능하게 함으로써, 부품의 수명을 감소시키고, 웨이퍼, 평판 디스플레이 기판 등과 같은 피처리 기판에 금속성 오염이나 입자 오염을 야기시킨다.
예시된 실시예에서, 가스 링의 노출된 표면은 이트리아 함유 재료로 형성된 코팅(42)으로 커버될 수 있다. 상기 코팅은 베어(bare) 알루미늄 기판(자연 산화물 표면막을 가지거나 가지지 않을 수도 있음)이나 산화 알루미늄층(예컨대, 양극 산화 처리된 표면을 가진 알루미늄) 상에 형성될 수 있다. 가스 링을 코팅할 경우에, 상기 코팅은 부분적으로 가스 홀의 안으로 침투될 수 있으며 그것의 내부 벽을 코팅하고 보호할 수도 있게 해주며, 그러나 그것의 개구부를 방해해서는 안된다. 또는, 상기 가스 홀은 코팅되지 않을 수 있는데, 예컨대 상기 가스 홀은 코팅 공정이 진행되는 동안에 어떤 재료를 끼워두거나 마스크해 둘 수 있다.
공정이 진행되는 동안에 플라즈마에 노출될 수 있는 TCP 9400TM 식각 반응기의 다른 부품도 또한 이트리아 함유 코팅으로 코팅될 수 있다. 이들 부품에는, 예를 들어, 챔버 벽, 챔버 라이너, 척 장치 및 기판에 대향하는 유전체 윈도우 등이 있다. 정전 척과 같은 척 장치의 상부 표면 상에 이트리아 함유 코팅을 제공하게 되면, 웨이퍼가 존재하지 않기 때문에 상기 척의 상부 표면이 플라즈마에 직접 노출되는 세정 공정이 진행되는 동안에 상기 척을 보호하는 추가적인 역할도 수행한다.
본 발명에 따른 이트리아 함유 코팅을 포함할 수 있는 다른 실시예로서의 폴리실리콘 식각 반응기는 VersysTM 폴리실리콘 식각기 또는 도 3에 도시된 것과 같은, 캘리포니아, 프레몬트의 램 리서치 코포레이션으로부터 입수할 수 있는 2300TM 식각기이다. 상기 반응기는, 그 상부에 탑재되는 기판(도시하지 않음)에 클램핑 힘을 제공하는 정전척(154)을 포함하는 기판 지지대(152)를 포함하는 반응기 챔버(150)를 포함하여 구성된다. 포커스 링(170)은 정전척(154) 주위를 따라서 기판 지지대(152) 상에 탑재된다. 기판 지지대(152)는 또한 기판에 RF 바이어스를 가하기 위하여 사용될 수 있다. 기판은 또한 헬륨과 같은 열 전달 가스를 사용하여 백 쿨링 (back-cool) 될 수 있다. 2300TM 식각기에서, 공정 가스(예컨대, Cl2, HBr, CF4, CH2F2, O2, N2, Ar, SF6 및 NF3 중에서 하나 또는 그 이상)는 챔버(150)의 꼭대기에 위치하고 있으며 가스 피드(feed)(156)와 연결되어 있는 가스 인젝터(168)를 통하여 유입된다. 가스 인젝터(168)는 전형적으로 석영 또는 알루미나와 같은 세라믹 재료로 만들어진다. 도시된 바와 같이, 고밀도(예컨대, 1011 - 1012 이온/cm3) 플라즈마를 제공하도록 적절한 RF 소스(도시하지 않음)에 의하여 유도 코일(158)에 파워를 인가한다. 유도 코일(158)은 유전체 윈도우(160)를 통하여 챔버(150)의 내부 안으로 RF 에너지를 커플링한다. 유전체 윈도우(160)는 전형적으로 석영 또는 알루미나로 만들어진다. 유전체 윈도우(160)가 환상 부재(annular member, 162) 상에 탑재되어 있는 것이 도시되어 있다. 환상 부재(162)는 유전체 윈도우(160)를 챔버(150)의 꼭데기로부터 이격시키며, "가스 분배판"으로 불리워진다. 챔버 라이너(164)는 기판 지지대(152)를 둘러싼다. 챔버(150)는 또한 챔버 내부의 압력을 소망하는 압력으로 유지하기 위하여 적절한 진공 펌핑 장치(도시하지 않음)를 포함할 수 있다.
환상 부재(162), 유전체 윈도우(160), 기판 지지대(152), 챔버 라이너(164), 가스 인젝터(168), 포커스 링(170) 및 정전척(154)과 같은 반응기 부품들의 선택된 내부 표면이 본 발명에 따른 이트리아 함유 코팅(166)으로 코팅되어 있는 것이 도시되어 있다. 도 3에 도시된 바와 같이, 챔버 라이너(164)의 하부에 위치한 챔버(150)와 기판 지지대(152)의 선택된 내부 표면에 또한 이트리아 함유 코팅(166)이 제공될 수도 있다. 어떠한 다른 내부 반응기 표면 뿐만이 아니라 이들 표면의 일부 또는 전부에 이트리아 함유 코팅이 제공될 수 있다.
상기 부품들은 고밀도 산화막 식각 공정에 사용될 수 있다. 예시적인 산화물 식각 반응기는 캘리포니아, 프레몬트의 램 리서치 코포레이션으로부터 입수할 수 있는 TCP 9100TM 플라즈마 식각 반응기이다. TCP 9100TM 반응기에 있어서, 가스 분배판은, 반도체 웨이퍼에 평행하며 평면 위로 반응기의 상부에서 진공 밀봉의 표면이기도 한 TCPTM 윈도우 바로 아래에 위치하는 원형 판이다. 가스 분배판은 가스 분배판의 주변에 위치한 가스 분배링에 밀봉된다. 가스 분배링은 가스를 가스원으로부터 가스 분배판, 반응기내에 RF 에너지를 공급하는 평탄 나선 코일의 형태의 안테나 아래에 위치하는 윈도우의 내부 표면 및 가스 분배링에 의해 한정되는 체적 내로 공급한다. 가스 분배판은 그 판을 통과하여 연장되는 특정 직경을 갖는 홀들을 포함한다. 가스 분배판을 통과하는 홀들의 공간적인 분포는 식각되어질 층, 예를 들어 웨이퍼 상의 포토레지스트층, 이산화실리콘층 및 하부 재료층의 식각 균일도를 최적화하기 위해 다양하게 될 수 있다. 가스 분배판의 단면 형상은 반응기내에서 플라즈마 내로 RF 파워의 분포를 조종하기 위해 다양하게 될 수 있다. 가스 분배판은 반응기내에서 가스 분배판을 통하여 이러한 RF 파워의 커플링을 가능하게 할 수 있도록 유전체 재료이다. 나아가, 가스 분배판을 형성하는 재료는 브레이크다운 및 그와 관련되어 입자가 발생하는 것을 방지하기 위하여 산소, 할로겐 또는 하이드로-탄화플루오르 가스 플라즈마와 같은 분위기에서 화학적 스퍼터 식각에 매우 저항성이 있을 것이 요구된다.
도 4는 전술한 타입의 플라즈마 반응기를 나타낸다. 상기 반응기는 반응기 챔버(10)를 포함한다. 기판 홀더(12)는, 기판(13)에 클램핑력과 RF 바이어스를 제공하는, 정전척(34)을 포함한다. 기판은 헬륨과 같은 열전달 가스를 사용하여 백 쿨링될 수 있다. 포커스 링(14)은 기판 위의 영역에 플라즈마를 한정한다. 고밀도 플라즈마를 제공하도록 적절한 RF 소스에 의하여 동력화된 안테나(18)와 같은, 챔버 내에 고밀도 (예컨대, 1010 - 1012 이온/cm3) 플라즈마를 유지하기 위한 에너지원이 반응기 챔버(10)의 꼭데기에 배치된다. 상기 반응기 챔버는 챔버의 내부를 소망하는 압력 (예컨대, 50 mTorr 이하, 전형적으로 1 - 20 mTorr)으로 유지하기 위한 진공 펌핑장치를 포함한다.
실질적으로 평탄한 유전체 윈도우(20)가 안테나(18)와 공정 챔버(10)의 내부 사이에 제공되어서 공정 챔버(10)의 상부에 진공 벽을 형성한다. 가스 분배판(22)가 유전체 윈도우(20) 바로 아래에 제공되며, 가스 공급부(23)로부터의 공정 가스를 공정 챔버(10)로 전달하기 위한 개구부를 포함한다. 원뿔형 라이너 또는 실린더형 라이너와 같은 라이너(30)가 가스 분사 판(22)로부터 연장되어서 기판 홀더(12)를 둘러싼다. 온도 제어 유체가 입구관(25) 및 출구관(26)을 경유하여 통과하는 채널(24)이 안테나(18)에 제공될 수 있다. 그러나, 안테나(18) 및/또는 윈도우(20)는 냉각되어질 필요가 없거나, 또는 상기 안테나 및 윈도우 상으로 가스를 불어주거나, 냉각 유체를 윈도우 및/또는 가스 분배판 등을 통과하도록 하거나 윈도우 및/또는 가스 분배 플레이드 등과 접촉하여 열전달이 되도록 하는 등의 다른 적절한 방법을 사용하여 냉각될 수도 있다.
동작에 있어서, 실리콘 웨이퍼와 같은 기판이 기판 홀더(12) 상에 위치하며 그리고 정전척(34)에 의하여 제자리에 고정된다. 그러나, 기계적인 클램핑 메카니즘과 같은 다른 클램핑 수단이 또한 사용될 수도 있다. 게다가, 기판과 척 사이의 열 전달을 개선하기 위하여 헬륨 백 쿨링이 채용될 수도 있다. 이어서 윈도우(20)와 가스 분배판(22) 사이의 갭을 통하여 공정 가스를 통과시킴으로써 진공 공정 챔버에 공정 가스가 공급된다. 적절한 가스 분배판 배열(즉, 샤워헤드)이 공동 소유가 된 미국 특허 제5,824,605호, 제5,863,376호 및 제6,048,798호에 개시되어 있으며, 상기 미국 특허는 참조에 의하여 본 명세서에 의하여 완전히 결합한다. 고밀도 플라즈마는 안테나(18)에 적절한 RF 파워를 공급함으로써 상기 기판과 윈도우 사이의 공간에서 점화된다.
도 4에서, 가스 분배판(22), 챔버 라이너(30), 정전척(34), 및 포커스 링(14)과 같은 반응기 부품들의 노출된 내부 표면은 이트리아 함유 코팅(32)으로 코팅되어 있다. 그러나, 이러한 표면 중에서 선택된 표면만이 이트리아 함유 코팅으로 코팅되거나 및/또는 다른 표면들이 이트리아 함유 코팅으로 코팅될 수 있다.
당업계에서 숙련된 자들은 전술한 고밀도 폴리실리콘 및 유전체 식각 챔버가 상기 부품들을 통합시킬 수 있는 플라즈마 식각 반응기의 단지 일 예라는 것을 알 것이다. 어떠한 식각 반응기(예컨대, 금속 식각 반응기)이거나 또는 플라즈마에 의해 유도된 부식, 침식 및/또는 침식-부식 및 이와 관련된 오염을 감소시키는 것이 요구되는 다른 타입의 반도체 공정 장치에도 이트리아 함유 코팅을 포함하는 부품이 사용될 수 있다.
이트리아 함유 코팅이 제공될 수 있는 다른 부품의 예로서 챔버 벽, 기판 홀더, 패스너 등이 포함되며 여기에만 한정되는 것은 아니다. 이들 부품들은 전형적으로 금속 (예컨대, 알루미늄) 또는 세라믹 (예컨대, 알루미나)으로 만들어지고, 그리고 전형적으로 플라즈마에 노출되어서 부식, 침식 및/또는 침식-부식의 조짐을 자주 나타낸다. 이트리아-함유 코팅으로 코팅될 수 있는 다른 부품이 플라즈마에 직접 노출되는 것은 아니지만, 그 대신에, 이들 부품들은 예를 들어, 피처리 웨이퍼 등으로부터 방출되는 가스와 같은 부식성 가스에 노출될 수도 있다. 그러므로, 반도체 기판을 처리하는데 있어서 사용되는 다른 설비에도 또한 이트리아 함유 코팅이 제공될 수 있다. 이러한 설비의 예로서 전송 메커니즘, 가스 공급 시스템, 라이너, 리프트 메커니즘, 로드 락, 도어 메커니즘, 로봇 아암, 패스너 등이 포함될 수 있다.
바람직한 실시예에서, 이트리아 함유 코팅은 금속 부품 상에 제공된다. 전술한 바와 같이, 예컨대 6061-T6 알루미늄과 같은 알루미늄 및 알루미늄 합금을 포함하는 양극 산화 처리되거나 양극 산화 처리되지 않은 알루미늄계 재료는 이트리아 함유 코팅으로 코팅될 수 있다. 코팅될 수 있는 다른 예시적인 금속 재료는 304 및 316 스테인레스 강철과 내화 금속 등을 포함할 수도 있는데, 여기에만 한정되는 것은 아니다. 이트리아 함유 코팅은 부품 위에 내마모성 코팅을 형성하기 때문에, 그 하부의 부품은 플라즈마에 직접 노출되는 것이 방지된다. 따라서, 금속 부품은 플라즈마에 의한 부식, 침식 및/또는 침식-부식 공격으로부터 보호될 수 있다. 그 결과, 알루미늄 합금과 같은 금속 재료는 합금 첨가물, 입자 구조 또는 표면 조건에 구애받지 않고 사용될 수 있다.
게다가, 여러 가지 세라믹 또는 폴리메릭 재료들이 이트리아 함유 코팅으로 코팅될 수 있다. 특히, 반응기 부품은 알루미나 (Al2O3), 탄화 실리콘(SiC), 질화 실리콘(Si3N4), 탄화 붕소(B4C) 및/또는 질화 붕소(BN)를 포함하는 세라믹 재료로부터 만들어질 수 있으며, 여기에만 한정되는 것은 아니다. 코팅될 수 있는 폴리메릭 재료는 플라즈마 반응기 내부에 존재하는 상승된 온도 조건에 견딜 수 있는 재료인 것이 바람직하다.
원한다면, 하나 또는 그 이상의 중간 재료층이 코팅될 부품의 표면과 이트리아 함유 코팅 사이에 제공될 수 있다. 도 5는 본 발명의 바람직한 실시예에 따른 코팅된 부품을 보여준다. 종래 기술에 의하여 제1 중간 코팅(80)이 임의적으로 기판(70) 상에 코팅되어 있다. 임의적인 제1 중간 코팅(80)은 기판에 부착되고 그리고 임의적인 제2 중간 코팅(90) 또는 이트리아 함유 코팅(100)을 형성하기 이전에 처리될 수 있도록 충분히 두껍다. 제1 중간 코팅(80) 및 제2 중간 코팅(90)은 이들 소망하는 특성을 제공하는 어떠한 적절한 두께를 가질 수 있다. 이들 코팅들은 적어도 약 0.001인치, 바람직하게는 약 0.001 내지 약 0.25 인치, 보다 바람직하게는 약 0.001 내지 약 0.15 인치, 가장 바람직하게는 약 0.001 인치 내지 약 0.05인치의 두께를 가질 수 있다.
반응기 부품(70) 상에 임의적인 제1 중간 코팅(80)을 증착한 후에, 제1 중간 코팅(80)은 어떠한 적절한 기술을 사용하여 표면을 거칠게 하는 것과 같은 처리를 받을 수가 있으며, 다음으로 임의적인 제2 중간 코팅(90), 또는 이트리아 함유 코팅(100)으로 코팅된다. 표면이 거칠어진 제1 중간 코팅(80)은 다음에 도포되는 코팅에 대하여 상당히 좋은 접착력을 제공한다. 바람직하게는, 제2 중간 코팅(90)은 상기 제1 중간 코팅(80)에 대하여 강한 기계적인 압축력을 가하며, 제2 중간 코팅(90) 내에서 쪼개짐이 발생하는 것을 최소화해준다.
제2 중간 코팅(90)은 제1 중간 코팅(80)에 부착되고 그리고 어떠한 부가적인 중간 코팅 또는 외곽의 이트리아 함유 코팅(100)을 형성하기 이전에 처리될 수 있도록 충분히 두껍다. 제2 중간 코팅(90)도 또한 표면을 거칠게 만드는 것 등과 같은 방법에 의하여 처리될 수 있다. 제2 중간 코팅(90)은 이들 소망하는 특성을 제공하는 어떠한 적절한 두께를 가질 수 있는데, 적어도 약 0.001인치, 바람직하게는 약 0.001 내지 약 0.25 인치, 보다 바람직하게는 약 0.001 내지 약 0.15 인치, 가장 바람직하게는 약 0.001 인치 내지 약 0.05인치의 두께를 가질 수 있다.
제1 및 제2 중간 코팅은 금속, 세라믹 및 폴리머 재료 중에서 반도체 플라즈마 공정 챔버에서 사용하기에 적합한 어떤 재료로도 만들어질 수 있다. 사용될 수 있는 금속 재료 중에서 특별히 바람직한 금속은 높은 공정 온도에서 견딜 수 있는 내화성 금속을 포함하며, 여기에만 한정되는 것은 아니다. 바람직한 세라믹으로는 Al2O3, SiC, Si3N4, B4C, AlN, TiO2 및 이들의 혼합물이 포함되며, 여기에만 한정되는 것은 아니다. 바람직한 폴리머로는 폴리테트라플루오로에틸렌 및 폴리이미드 등과 같은 플루오로폴리머가 포함되며, 여기에만 한정되는 것은 아니다.
중간 코팅은 도금 (예컨대, 무전해 도금 또는 전해 도금), 스퍼터링, 액침 코팅, 화학기상증착, 물리기상증착, 전기영동(electrophoretic) 증착, 열간 정수압 프레싱(hot isostatic pressing), 냉간 정수압 프레싱(cold isostatic pressing), 압축 성형, 캐스팅, 컴팩팅 및 소결 및 용사 (예컨대, 플라즈마 분사)와 같은 어떠한 적절한 증착 기술을 사용하여 적용될 수 있다.
임의적인 제1 중간 코팅(80) 및 제2 중간 코팅(90)은 그 원하는 특성에 따라 같은 조성을 가지거나 서로 다른 조성을 가질 수도 있다. 필요에 따라, 같은 재료가나 다른 재료로 형성된 제3, 제4 또는 제5 중간 코팅과 같은 부가적인 중간 코팅이 상기 이트리아 함유 코팅과 기판 사이에 또한 제공될 수도 있다.
도 6은 이트리아 함유 코팅의 다른 예시적인 실시예를 보여준다. 본 실시예에서는, 상기 이트리아 함유 코팅(100)은 기판 상에 직접 퇴적되어서 (즉, 어떠한 중간층도 형성함 없이) 상기 부품(70)의 외곽 표면으로 된다. 본 실시예 또는 다른 실시예에서, 상기 코팅(100)은 어떠한 적절한 두께를 가질 수 있다. 이트리아 함유 코팅(100)은 상기 이트리아 함유 코팅이 플라즈마 분위기에 노출되었을 경우에, 물리적 및 화학적 공격으로부터 하부에 위치하는 표면을 보호하고, 내마모성을 제공하도록 하부에 위치하는 표면의 충분한 커버리지를 제공하는 적어도 최소 두께를 갖는다. 특히, 코팅(100)은 약 0.001 인치 내지 약 1 인치의 범위에 걸치는 두께를 가질 수 있는데, 바람직하게는 약 0.001 인치 내지 약 0.5 인치, 보다 바람직하게는 약 0.001 인치 내지 약 0.1 인치, 그리고 가장 바람직하게는 약 0.01 인치 내지 약 0.1 인치의 두께를 가질 수 있다. 이러한 두께는 또한 다른 실시예에서도 사용될 수 있다. 이트리아 함유 코팅의 두께는 반응기 (예컨대, 식각, CVD 등) 내에서 마주치게 되는 플라즈마 환경에 적합하도록 선택될 수 있다.
상기 이트리아 함유 코팅은 반응기 챔버 및 부품들의 전체 또는 일부 상에 제공될 수 있다. 바람직한 실시예에서는, 플라즈마와 직접 접촉을 하는 부품들이나 또는 라이너와 같이 챔버 부품들의 뒤에 위치하는 부품들과 같이, 플라즈마 환경에 노출되는 반응기 챔버의 영역들 상에 상기 코팅은 제공된다. 게다가, 상대적으로 높은 바이어스 전압 (즉, 상대적으로 높은 스퍼터 이온 에너지)이 가해지는 반응기 챔버의 영역에 상기 이트리아 함유 코팅이 제공되는 것이 바람직하다.
이트리아 함유 코팅을 적용함으로써, 여러 가지 이점들이 실현된다. 즉, 상기 이트리아 함유 코팅은 모든 플라즈마 화합물에 대하여 사용될 수 있다. 양극 산화 처리된 알루미늄에 대하여 아주 침식성이 높은, 불소 함유 및 BCl3 함유 분위기에서 상기 코팅을 사용하는 경우에 효과가 크다. 상기 이트리아 함유 코팅을 그러한 분위기에서 사용함으로써, 플라즈마 반응기에서 현저히 감소된 침식율을 달성할 수가 있다.
플라즈마 환경에서 내침식성 표면을 제공하는 상기 이트리아 함유 코팅의 효과를 입증하기 위한 테스트가 수행되었다. 세 개의 양극 산화 처리된 6061-T6 알루미늄 시편(coupon)과 양극 산화 처리된 6061-T6 알루미늄 시편의 표면상에 용사 이트리아 함유 코팅을 형성함으로써 각각 제조한 세 개의 시편을 플라즈마 반응기의 챔버 벽에 부착시켰다. 상기 이트리아 함유 코팅은 약 99.95 중량%의 이트리아를 포함하는 조성을 가지고 있었다. 상기 시편은 각각 1 인치의 직경과 0.375 인치의 두께를 가졌다. 상기 시편들은 챔버 벽에 부착되었다. 동일한 유량의 Cl2 및 BCl3를 포함하는 공정 가스로부터 플라즈마를 생성시켰으며, 챔버 내부의 압력은 6 mTorr로 설정되었다. 반응기 챔버에서는 베어 실리콘 웨이퍼가 식각되었다. 시편들을 전체로 약 90 RF-시간 동안 테스트되었다.
테스트 후에, 황색 더스트(yellow dust)를 반응기 챔버로 투과하였다. EDS 분석을 사용하여 상기 더스트를 분석한 결과 주로 붕소, 산소 및 염소를 함유하고 있는 것으로 판명되었다.
분석용 저울을 사용하여 시편의 질량 손실을 알아보기 위하여 상기 시편을 평가하였으며, 또한 예상 침식율을 판명하기 위하여 상기 시편을 평가하였다. 이트리아 함유 코팅이 형성되지 않은 양극 산화 처리된 6061-T6 시편은 약 15 내지 20mg의 질량 손실이 발생하는 것으로 측정되었다. 따라서, 상기 공정 가스는 이러한 코팅되지 않은 시편에 대하여 상당히 높은 부식성을 보여주었다.
반대로, 이트리아 함유 코팅을 가지고 있던 시편은 각각 상기 코팅 상에 더스트가 적층되어서 무게가 오히려 증가하였다. 상기 세 개의 코팅된 시편의 증가한 무게는 약 0.8 mg 내지 약 1.2 mg 이었다. 상기 세 개의 코팅된 시편의 무게 증가율은 약 0.009 mg/RF-hr 내지 약 0.013mg/RF-hr 이었다.
예상 부식율을 고려할 때, 이트리아 함유 코팅이 없는 상기 양극 산화 처리된 6061-T6 알루미늄 시편은, 상당한 무게 손실에서도 입증된 바와 같이, 상당히 많이 침식되었다. 이들 시편들은 예상 평균 부식율이 약 20 내지 27Å/RF-min의 부식율을 가지는 것으로 판명되었다. 반대로, 이트리아 함유 코팅을 가지는 세 개의 시편은 침식되지 않았다.
게다가, 불소에 의한 공격으로부터 알루미늄 부품들이 보호되기 때문에, 플라즈마 반응기 내의 불소 함유 공정 가스 분위기에서 상기 코팅된 부품이 사용될 경우에 이트리아 함유 코팅은 불화 알루미늄의 생성을 최소화하거나 방지할 수가 있다.
플라즈마 반응기 챔버 내에 실질적으로 이트리아로 구성된 용사 이트리아 함유 코팅을 포함하는 부품들을 제공함으로써, 플라즈마 반응기 내에서 식각 공정이 진행되는 동안에 웨이퍼의 오염이 감소된다는 것을 보여주기 위한 테스트도 또한 수행되었다. 반응기 챔버 내에 이트리아 함유 코팅으로 완전히 둘러싸인 챔버 라이너, 이트리아 함유 코팅으로 코팅된 그라운드 링, 이트리아 함유 코팅으로 코팅된 그라운드 링 스크류 캡, 및 석영 윈도우를 가지는 9400DFM 플라즈마 반응기에 대하여 테스트를 수행하였다.
6% H2O + 이소프로필 알콜 와이프 다운(wipe down)을 사용하는 습식 세정, 및 여섯 개의 산화막 웨이퍼 및 다음의 공정 파라미터를 사용하는 습식 세정 리커버리 절차를 포함하는 절차에 의하여 반응기 챔버를 준비하였다: 15 mT 챔버 압력/800 와트 상부 코일 파워/0 와트 하부 전극 파워/100 sccm SF6/20 sccm Cl2/50 sccm O2/ 8 Torr 헬륨 백 쿨링/300초의 식각 시간. 다음의 공정 파라미터들을 가지고서 10개의 베어 실리콘 웨이퍼를 사용하여 반응기 챔버에 대한 컨디셔닝을 수행하였다: 초기(break through) 식각: 4 mTorr 챔버 압력/600 와트 상부 코일 파워/65 와트 하부 전극 파워/100sccm HBr/10초의 식각 시간; 주 식각(main etch): 6 mTorr 챔버 압력/350 와트 상부 코일 파워/20 와트 하부 전극 파워/180 sccm HBr/65초의 식각 시간; 및 후기 식각(over etch): 80 mTorr 챔버 압력/350 와트 상부 코일 파워/75 와트 하부 전극 파워/150 sccm HBr/150 sccm He/5 sccm O2/90초이 식각 시간. 그 후에 각 웨이퍼에 대하여 고압의 웨이퍼 없는 자동세정이 사용되었다.
웨이퍼의 오염은 다음과 같은 절차를 사용하여 측정하였다. 다음의 공정 파라미터를 사용하여 1kÅ의 열산화막 웨이퍼를 식각하였다: 브레이크 스루 (break through) 식각: 4 mTorr 챔버 압력/600 와트 상부 코일 파워/65 와트 하부 전극 파워/100 sccm HBr/10초의 식각 시간; 주 식각: 6 mTorr 챔버 압력/350 와트 상부 코일 파워/20 와트 하부 전극 파워/180 sccm HBr/65초의 식각 시간; 및 오버 (over) 식각: 80 mTorr 챔버 압력/350 와트 상부 코일 파워/75 와트 하부 전극 파워/150 sccm HBr/150 sccm He/5 sccm O2/90초의 식각 시간. 실리콘 웨이퍼는 다음의 공정 파라미터를 사용하여 식각하였다: 5 mTorr 챔버 압력/250 와트 상부 코일 파워/150 와트 하부 전극 파워/50 sccm Cl2/120초의 식각 시간. 식각 공정에 이어서, ICP-MS를 사용하여 상기 열산화막 웨이퍼 및 주 실리콘 웨이퍼의 오염을 분석하였다.
열산화막 웨이퍼 및 베어 실리콘 웨이퍼를 반응기 챔버 내에 위치시킨 다음에, 그 내부에 이트리아 함유 코팅을 가지는 부품이 존재하는 반응기 챔버와 이트리아 함유 코팅을 가지지 않는 부품이 존재하는 반응기 챔버에 대하여 전술한 공정 파라미터를 사용하여 식각 공정을 진행하였다. 반응기 챔버 내에 이트리아 함유 코팅을 포함하지 않는 부품을 가지고서 테스트를 진행하는 동안에, 반응기 챔버 내부의 부품의 상당한 양의 양극 산화 처리된 알루미늄이 테스트를 진행하는 동안에 플라즈마에 노출되었다. 식각 공정에 이어서, 웨이퍼의 1010 원자/cm2 단위에서 Al, Cr, Cu, Fe, Ni, Na 및 Y의 표면 농도를 측정하였다. 피식각 웨이퍼에 대해 측정되는 오염 레벨이 반응기 챔버로부터 유래한다는 것을 확인시킬 수 있도록 반응기 챔버 내로 투입되지 않은 제어 산화막 웨이퍼에 대해서도 또한 분석하였다.
도 7은 상기 웨이퍼들에 대한 오염 분석 결과를 보여준다. "코팅(coated)"은 반응기 챔버 내에 이트리아 코팅된 부품이 존재하였다는 것을 의미하고, "미코팅(uncoated)"은 이트리아 코팅된 부품이 존재하지 않았다는 것을 의미한다. 동일한 웨이퍼 타입, 즉, 열산화막 웨이퍼 및 베어 실리콘 웨이퍼에 대한 테스트 결과물을 비교해보면, 상기 테스트 결과물은, 각 원소별로 살펴보았을 때, 이트리아 코팅 부품을 포함하는 반응기 챔버의 결과물이 부품 상에 플라즈마에 노출된 양극 산화 처리된 알루미늄 표면을 가지는 반응기 챔버에 비하여 현저히 적다는 것을 보여준다. 예를 들어, "미코팅" 베어 실리콘 웨이퍼에 대하여 측정된 알루미늄 농도는 약 87 × 1010 원자/cm2 인 반면에, "코팅" 베어 실리콘 웨이퍼에 대하여 측정된 알루미늄의 농도는 약 12 × 1010 원자/cm2 이었다. 또한, "미코팅" 베어 실리콘 웨이퍼에 대하여 측정된 크롬 농도는 약 7 × 1010 원자/cm2 인 반면에, "코팅" 베어 실리콘 웨이퍼에 대하여 측정된 크롬 농도는 약 109 원자/cm2 였으며, 이것은 측정 설비의 검출 한계였다. 열산화막 웨이퍼의 경우에, 1010 원자/cm2 의 단위로 다음의 결과가 얻어졌다: Al: "미코팅", 2000, "코팅", 480; Cu: "미코팅", 15, "코팅", 4; Fe: "미코팅", 72, "코팅", 280; 및 Ni: "미코팅", 10, "코팅", 2. 테스트를 마친 후에, 테스트를 하는 동안에 Fe 오염원이 존재하였다는 것이 판명되었는데, 그 결과로 웨이퍼에서 Fe 레벨이 증가하였다.
또한 도 7에 도시된 바와 같이, 테스트된 모든 웨이퍼에 대하여 이트리아 레벨은 미미하였다. 베어 실리콘 웨이퍼의 경우에, 측정 설비의 검출 한계 이상으로는 이트리아가 검출되지 않았다. 이러한 결과에 의하면, 플라즈마 환경에서의 이트리아 함유 코팅의 강한 특성을 알 수 있다. 결과적으로, 이트리아 함유 코팅을 사용하면 아주 낮은 온-웨이퍼(on-wafer) 이트리아 오염 수준을 달성할 수가 있다.
따라서, 전술한 테스트 결과에 의하면 플라즈마 환경에 노출되는 부품의 침식율이 이트리아 함유 코팅에 의해 현저하게 감소한다는 것을 알 수 있다. 결과적으로, 이트리아 함유 코팅은 이트리아에 의해 플라즈마 식각 반응기에 포함된 반도체 기판의 오염뿐만 아니라, 이트리아 코팅된 부품이 형성되는 다른 소자들의 오염도 최소로 할 수 있다.
이트리아 함유 코팅은 상당히 단단하고 내마모성이 큰 표면을 제공할 수 있다. 이러한 코팅은 바람직하게도 공정 챔버 가스와 반응하는 재료가 없으며, 그리고 화학적으로 불활성이어서 입자 오염이 낮거나 전혀 없으며, 부식, 금속 오염 및/또는 휘발성 식각 부산물이 최소한이거나 전혀 없다. 따라서, 이트리아 함유 코팅은 금속 및 입자 오염 수준을 감소시킬 수 있으며, 소모품의 수명을 증가시킴으로써 비용을 낮출 수가 있고, 공정 드리프트를 감소시키고 챔버 부품 및 기판의 부식 수준을 낮출 수가 있다.
비록 본 발명은 그것의 특정한 실시예를 참조하여 상세하게 기술되었지만, 첨부된 클레임에 특정되어 있는 본 발명의 사상을 벗어나지 않고서 여러 가지 변형이나 수정이 가능하고, 등가물에 의한 치환이 가능하다는 것이 당업계에서 숙련된 자들에게는 명백하다.
본 발명은 플라즈마를 이용하여 소정의 공정을 진행할 수 있는 장치 산업에 이용할 수 있는데, 특히 플라즈마를 이용하는 반도체 제조 장치 산업에 이용할 수 있다. 그리고, 본 발명은 플라즈마를 이용하는 장치를 이용하는 제조 공정에도 유용하게 이용할 수 있다.

Claims (13)

  1. 플라즈마 식각 반응기의 부품으로서,
    실링된 양극 산화 처리(anodize) 표면을 갖는 알루미늄 재료의 알루미늄 기판; 및
    전부 이트리아 (yttria)로 구성되는 용사 (thermal sprayed) 코팅으로서, 상기 용사 코팅과 상기 알루미늄 기판의 양극 산화 처리 표면에 다른 재료의 중간층이 배치됨 없이 상기 실링된 양극 산화 처리 표면 위에 배치되는 상기 용사 코팅을 포함하며,
    상기 용사 코팅은 상기 부품의 최외각 표면을 형성하고,
    상기 부품은 챔버 벽, 챔버 라이너, 가스 분배판, 가스 링, 받침대 (pedestal), 정전 척 및 플라즈마 포커스 링으로 이루어진 그룹에서 선택되고,
    상기 용사 코팅은 부피비로 1% 미만의 공극률, 0.001 인치 내지 0.1 인치의 두께, 5 내지 400 마이크로 인치의 산술 평균 표면 거칠기 (Ra) 및 상기 실링된 양극 산화 처리 표면에 대한 2000psi 내지 7000psi의 인장 결합력을 가지는, 플라즈마 식각 반응기의 부품.
  2. 제 1 항에 있어서, 상기 용사 코팅은 120 내지 250 마이크로 인치의 산술 평균 표면 거칠기 (Ra) 를 갖도록 형성되는, 플라즈마 식각 반응기의 부품.
  3. 제 1 항의 플라즈마 식각 반응기의 부품을 제조하는 공정으로서,
    알루미늄 기판의 표면을 양극 산화 처리하는 단계;
    상기 양극 산화 처리된 알루미늄 표면을 실링하는 단계 및;
    용사 (thermal spraying) 에 의해 상기 알루미늄 기판의 상기 실링된 양극 산화 처리 (anodize) 표면 위에 전부 이트리아로 구성된 코팅을 직접 도포하는 단계를 포함하고,
    상기 코팅은 상기 부품의 최외각 표면을 포함하는, 플라즈마 식각 반응기의 부품을 제조하는 공정.
  4. 반도체 웨이퍼의 식각 공정으로서,
    플라즈마 식각 반응기의 챔버에 반도체 웨이퍼를 배치하는 단계로서, 상기 플라즈마 식각 반응기는 제1항의 부품을 포함하는, 상기 반도체 웨이퍼를 배치하는 단계;
    상기 챔버 내로 공정 가스를 도입하는 단계;
    상기 공정 가스로부터 플라즈마를 발생시키는 단계; 및
    상기 플라즈마로 상기 반도체 웨이퍼를 식각하는 단계를 포함하고,
    상기 용사 코팅은 상기 식각 단계 동안에 상기 플라즈마에 노출되는, 반도체 기판의 식각 공정.
  5. 제 4 항에 있어서,
    상기 플라즈마는 상기 챔버 내에 무선 주파수 에너지를 유도 결합함으로써 발생되는, 반도체 기판의 식각 공정.
  6. 플라즈마 식각 반응기에서 반도체 웨이퍼의 식각 동안에 상기 플라즈마 식각 반응기의 챔버 내의 부품의 침식에 의한 상기 반도체 웨이퍼의 오염을 저감하는 공정으로서,
    상기 플라즈마 식각 반응기의 챔버에 반도체 웨이퍼를 배치하는 단계로서, 상기 플라즈마 식각 반응기는 제1항의 부품을 포함하는, 상기 반도체 웨이퍼를 배치하는 단계;
    공정 가스를 상기 챔버 내로 도입하는 단계로서, 상기 공정 가스는 알루미늄 기판 재료에 대하여 침식성이 있는 것인, 상기 공정 가스를 상기 챔버 내로 도입하는 단계;
    상기 공정 가스로부터 플라즈마를 발생시키는 단계; 및
    용사 코팅을 상기 플라즈마에 노출시키면서 상기 플라즈마로 상기 반도체 웨이퍼를 식각하는 단계를 포함하고,
    상기 용사 코팅은 상기 식각 단계 동안에 기판 재료 및 이트리아에 의한 상기 반도체 웨이퍼의 오염을 최소화하는, 반도체 웨이퍼의 오염 저감 공정.
  7. 제 6 항에 있어서,
    상기 이트리아에 의한 반도체 웨이퍼의 오염의 레벨은 1010 원자/cm2 미만인 것인, 반도체 웨이퍼의 오염 저감 공정.
  8. 제 6 항에 있어서,
    상기 반도체 웨이퍼는 적어도 하나의 실리콘 함유 재료를 포함하고, 상기 공정 가스는 불소를 포함하는, 반도체 웨이퍼의 오염 저감 공정.
  9. 제 8 항에 있어서,
    상기 실리콘 함유 재료는 단결정 실리콘, 다결정 실리콘, 비정질 실리콘, 질화 실리콘, 산질화 실리콘, 실리사이드, 이산화 실리콘, 저유전율 재료 및 고유전율 재료로 이루어진 그룹에서 선택되는, 반도체 웨이퍼의 오염 저감 공정.
  10. 제 6 항에 있어서,
    상기 반도체 웨이퍼는 적어도 하나의 금속 함유 재료를 포함하고, 상기 공정 가스는 BCl3 를 포함하는, 반도체 웨이퍼의 오염 저감 공정.
  11. 제 6 항에 있어서,
    상기 반도체 웨이퍼는 실리콘을 포함하고, 상기 공정 가스는 브롬을 포함하는, 반도체 웨이퍼의 오염 저감 공정.
  12. 제 6 항에 있어서,
    상기 공정 가스는 BCl3 를 포함하고, 상기 용사 코팅은 상기 식각 단계 동안에 상기 플라즈마에 의해 침식되지 않는, 반도체 웨이퍼의 오염 저감 공정.
  13. 삭제
KR1020117000086A 2002-06-27 2003-06-12 플라즈마 반응기용 용사 이트리아 함유 코팅 KR101107542B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/180,504 US7311797B2 (en) 2002-06-27 2002-06-27 Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US10/180,504 2002-06-27
PCT/US2003/018502 WO2004003962A2 (en) 2002-06-27 2003-06-12 Thermal sprayed yttria-containing coating for plasma reactor

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020047021152A Division KR101030935B1 (ko) 2002-06-27 2003-06-12 플라즈마 반응기용 용사 이트리아 함유 코팅

Publications (2)

Publication Number Publication Date
KR20110015676A KR20110015676A (ko) 2011-02-16
KR101107542B1 true KR101107542B1 (ko) 2012-02-08

Family

ID=29778939

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020047021152A KR101030935B1 (ko) 2002-06-27 2003-06-12 플라즈마 반응기용 용사 이트리아 함유 코팅
KR1020117000086A KR101107542B1 (ko) 2002-06-27 2003-06-12 플라즈마 반응기용 용사 이트리아 함유 코팅

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020047021152A KR101030935B1 (ko) 2002-06-27 2003-06-12 플라즈마 반응기용 용사 이트리아 함유 코팅

Country Status (9)

Country Link
US (2) US7311797B2 (ko)
EP (1) EP1518255B1 (ko)
JP (2) JP2005531157A (ko)
KR (2) KR101030935B1 (ko)
CN (1) CN1663017A (ko)
AT (1) ATE545148T1 (ko)
AU (1) AU2003238006A1 (ko)
TW (1) TWI328411B (ko)
WO (1) WO2004003962A2 (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170006807A (ko) 2015-07-09 2017-01-18 (주)티티에스 공정 챔버의 부품파트 및 화학기상증착에 의해 이트리아를 부품파트에 증착하는 방법
US10090135B2 (en) 2015-05-29 2018-10-02 Samsung Electronics Co., Ltd. Methods of forming coating layers
KR20220041439A (ko) 2020-09-25 2022-04-01 한국과학기술연구원 레이저를 이용한 내플라즈마 코팅막 형성방법
KR20220041440A (ko) 2020-09-25 2022-04-01 한국과학기술연구원 레이저 소결을 이용한 내플라즈마 코팅막 치밀화 방법

Families Citing this family (212)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US6805952B2 (en) * 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
EP1375118A4 (en) * 2001-03-29 2004-09-01 Bridgestone Corp TAPE RUBBER, METHOD AND APPARATUS FOR MANUFACTURING A TIRE, AND TIRE ELEMENT USING TAPE RUBBER
US7371467B2 (en) * 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US8067067B2 (en) * 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7204912B2 (en) 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US6798519B2 (en) * 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
CN1249789C (zh) 2002-11-28 2006-04-05 东京毅力科创株式会社 等离子体处理容器内部件
US20060226003A1 (en) * 2003-01-22 2006-10-12 John Mize Apparatus and methods for ionized deposition of a film or thin layer
CN100418187C (zh) * 2003-02-07 2008-09-10 东京毅力科创株式会社 等离子体处理装置、环形部件和等离子体处理方法
EP1465468B1 (en) * 2003-03-31 2007-11-14 SANYO ELECTRIC Co., Ltd. Metal mask and method of printing lead-free solder paste using same
CN100495413C (zh) * 2003-03-31 2009-06-03 东京毅力科创株式会社 用于邻接在处理元件上的相邻覆层的方法
US7297247B2 (en) * 2003-05-06 2007-11-20 Applied Materials, Inc. Electroformed sputtering target
US6843870B1 (en) * 2003-07-22 2005-01-18 Epic Biosonics Inc. Implantable electrical cable and method of making
US7220497B2 (en) * 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US8213467B2 (en) 2004-04-08 2012-07-03 Sonosite, Inc. Systems and methods providing ASICs for use in multiple applications
TWI291713B (en) * 2004-04-13 2007-12-21 Applied Materials Inc Process chamber component having electroplated yttrium containing coating
US7119032B2 (en) 2004-08-23 2006-10-10 Air Products And Chemicals, Inc. Method to protect internal components of semiconductor processing equipment using layered superlattice materials
CN101018885B (zh) * 2004-08-24 2010-07-14 圣戈本陶瓷及塑料股份有限公司 半导体加工部件及用该部件进行的半导体加工
JP2006186306A (ja) * 2004-09-30 2006-07-13 Toshiba Ceramics Co Ltd ガス拡散プレートおよびその製造方法
US7226869B2 (en) * 2004-10-29 2007-06-05 Lam Research Corporation Methods for protecting silicon or silicon carbide electrode surfaces from morphological modification during plasma etch processing
WO2006064898A1 (ja) * 2004-12-17 2006-06-22 Tokyo Electron Limited プラズマ処理装置
US9659758B2 (en) 2005-03-22 2017-05-23 Honeywell International Inc. Coils utilized in vapor deposition applications and methods of production
JP2006319043A (ja) * 2005-05-11 2006-11-24 Hitachi High-Technologies Corp プラズマ処理装置
US8124240B2 (en) * 2005-06-17 2012-02-28 Tohoku University Protective film structure of metal member, metal component employing protective film structure, and equipment for producing semiconductor or flat-plate display employing protective film structure
US20090194233A1 (en) * 2005-06-23 2009-08-06 Tokyo Electron Limited Component for semicondutor processing apparatus and manufacturing method thereof
JP4813115B2 (ja) * 2005-07-14 2011-11-09 国立大学法人東北大学 半導体製造装置用部材及びその洗浄方法
US7976641B1 (en) 2005-09-30 2011-07-12 Lam Research Corporation Extending storage time of removed plasma chamber components prior to cleaning thereof
WO2007055185A1 (ja) * 2005-11-08 2007-05-18 Tohoku University シャワープレート及びシャワープレートを用いたプラズマ処理装置
US20070108161A1 (en) * 2005-11-17 2007-05-17 Applied Materials, Inc. Chamber components with polymer coatings and methods of manufacture
JP4856978B2 (ja) * 2006-02-21 2012-01-18 株式会社日立ハイテクノロジーズ プラズマエッチング装置及び処理室の内壁の形成方法
US20070215278A1 (en) * 2006-03-06 2007-09-20 Muneo Furuse Plasma etching apparatus and method for forming inner wall of plasma processing chamber
JP5014656B2 (ja) * 2006-03-27 2012-08-29 国立大学法人東北大学 プラズマ処理装置用部材およびその製造方法
US7906032B2 (en) * 2006-03-31 2011-03-15 Tokyo Electron Limited Method for conditioning a process chamber
US20070246795A1 (en) * 2006-04-20 2007-10-25 Micron Technology, Inc. Dual depth shallow trench isolation and methods to form same
US7655328B2 (en) * 2006-04-20 2010-02-02 Shin-Etsu Chemical Co., Ltd. Conductive, plasma-resistant member
US7884026B2 (en) * 2006-07-20 2011-02-08 United Microelectronics Corp. Method of fabricating dual damascene structure
US20080029032A1 (en) * 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
CN101123214B (zh) * 2006-08-07 2011-03-16 联华电子股份有限公司 双镶嵌结构的制作方法
JPWO2008032627A1 (ja) * 2006-09-11 2010-01-21 株式会社アルバック ドライエッチング方法
US7723648B2 (en) * 2006-09-25 2010-05-25 Tokyo Electron Limited Temperature controlled substrate holder with non-uniform insulation layer for a substrate processing system
US7838800B2 (en) * 2006-09-25 2010-11-23 Tokyo Electron Limited Temperature controlled substrate holder having erosion resistant insulating layer for a substrate processing system
JP2008103403A (ja) * 2006-10-17 2008-05-01 Tokyo Electron Ltd 基板載置台及びプラズマ処理装置
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US8097105B2 (en) * 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
US20080196661A1 (en) * 2007-02-20 2008-08-21 Brian West Plasma sprayed deposition ring isolator
US7718559B2 (en) * 2007-04-20 2010-05-18 Applied Materials, Inc. Erosion resistance enhanced quartz used in plasma etch chamber
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
JPWO2008140012A1 (ja) * 2007-05-11 2010-08-05 株式会社アルバック ドライエッチング装置及びドライエッチング方法
US20080289766A1 (en) * 2007-05-22 2008-11-27 Samsung Austin Semiconductor Lp Hot edge ring apparatus and method for increased etch rate uniformity and reduced polymer buildup
US8622021B2 (en) * 2007-10-31 2014-01-07 Lam Research Corporation High lifetime consumable silicon nitride-silicon dioxide plasma processing components
US8129029B2 (en) * 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
US20090214825A1 (en) * 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
JP2009212293A (ja) * 2008-03-04 2009-09-17 Tokyo Electron Ltd 基板処理装置用の部品及び基板処理装置
US20090261065A1 (en) * 2008-04-18 2009-10-22 Lam Research Corporation Components for use in a plasma chamber having reduced particle generation and method of making
US8120137B2 (en) * 2008-05-08 2012-02-21 Micron Technology, Inc. Isolation trench structure
CN101577211B (zh) * 2008-05-09 2011-07-20 中微半导体设备(上海)有限公司 抗等离子体腐蚀的反应室部件、其制造方法以及包含该部件的等离子体反应室
JP5390166B2 (ja) * 2008-10-30 2014-01-15 株式会社日本セラテック 耐食性部材
JP5390167B2 (ja) * 2008-10-30 2014-01-15 株式会社日本セラテック 耐食性部材
US8206829B2 (en) * 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
TWI456679B (zh) * 2009-03-27 2014-10-11 Advanced Micro Fab Equip Inc 抗電漿腐蝕之反應室部件、其製造方法以及包含該部件之電漿反應室
JP5837733B2 (ja) * 2009-04-24 2015-12-24 国立大学法人東北大学 水分発生用反応炉
CN102414801A (zh) * 2009-08-27 2012-04-11 应用材料公司 在原位腔室清洁后的处理腔室去污方法
US20110206833A1 (en) * 2010-02-22 2011-08-25 Lam Research Corporation Extension electrode of plasma bevel etching apparatus and method of manufacture thereof
CN102296263B (zh) * 2010-06-25 2013-04-24 中国科学院微电子研究所 等离子体刻蚀工艺腔室内表面的改性处理方法
KR101487342B1 (ko) 2010-07-30 2015-01-30 주식회사 잉크테크 투명 도전막의 제조방법 및 이에 의해 제조된 투명 도전막
JP5606821B2 (ja) * 2010-08-04 2014-10-15 東京エレクトロン株式会社 プラズマ処理装置
JP5389282B2 (ja) * 2010-08-12 2014-01-15 株式会社東芝 ガス供給部材、プラズマ処理装置およびイットリア含有膜の形成方法
JP5198611B2 (ja) * 2010-08-12 2013-05-15 株式会社東芝 ガス供給部材、プラズマ処理装置およびイットリア含有膜の形成方法
US20120052216A1 (en) * 2010-08-27 2012-03-01 Applied Materials, Inc. Gas distribution showerhead with high emissivity surface
KR101108692B1 (ko) * 2010-09-06 2012-01-25 한국기계연구원 다공성 세라믹 표면을 밀봉하는 치밀한 희토류 금속 산화물 코팅막 및 이의 제조방법
CN102456564A (zh) * 2010-10-29 2012-05-16 中芯国际集成电路制造(上海)有限公司 用于刻蚀腔的变压耦合式等离子体窗及包括其的刻蚀腔
DE202011002844U1 (de) 2011-02-17 2011-06-09 LAM RESEARCH CORPORATION (Delaware Corporation), California Erweiterungselektrode einer Plasmaschrägkantenätzvorrichtung
JP2012221979A (ja) * 2011-04-04 2012-11-12 Toshiba Corp プラズマ処理装置
JP2014522916A (ja) 2011-08-10 2014-09-08 インテグリス・インコーポレーテッド 任意のイットリア被覆層を有するAlONコーティングされた基体
US20130102156A1 (en) * 2011-10-21 2013-04-25 Lam Research Corporation Components of plasma processing chambers having textured plasma resistant coatings
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9090046B2 (en) * 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
JP2015521102A (ja) * 2012-05-10 2015-07-27 ザ ユニバーシティ オブ コネチカット 触媒膜を作成する方法及び装置
KR101637801B1 (ko) * 2012-05-22 2016-07-07 가부시끼가이샤 도시바 플라즈마 처리 장치용 부품 및 플라즈마 처리 장치용 부품의 제조 방법
KR101466967B1 (ko) 2012-06-13 2014-12-15 한국과학기술연구원 내식성이 향상된 다성분계 열용사용 코팅물질, 그 제조방법 및 코팅방법
US20140007901A1 (en) * 2012-07-06 2014-01-09 Jack Chen Methods and apparatus for bevel edge cleaning in a plasma processing system
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
CN103794460B (zh) * 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于半导体装置性能改善的涂层
CN103794458B (zh) * 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于等离子体处理腔室内部的部件及制造方法
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US9385018B2 (en) 2013-01-07 2016-07-05 Samsung Austin Semiconductor, L.P. Semiconductor manufacturing equipment with trace elements for improved defect tracing and methods of manufacture
KR102094304B1 (ko) * 2013-02-05 2020-03-30 (주) 코미코 표면 처리 방법 및 이를 이용한 세라믹 구조물
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9123651B2 (en) 2013-03-27 2015-09-01 Lam Research Corporation Dense oxide coated component of a plasma processing chamber and method of manufacture thereof
JP6076838B2 (ja) * 2013-05-31 2017-02-08 住友重機械イオンテクノロジー株式会社 絶縁構造及び絶縁方法
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9637415B2 (en) 2013-10-24 2017-05-02 Surmet Corporation Method of making high purity polycrystalline aluminum oxynitride bodies useful in semiconductor process chambers
US20150126036A1 (en) * 2013-11-05 2015-05-07 Tokyo Electron Limited Controlling etch rate drift and particles during plasma processing
TWI751098B (zh) * 2013-11-21 2022-01-01 美商恩特葛瑞斯股份有限公司 經施用塗層的電漿潤濕系統的構件及塗層之用途
CN104701125A (zh) * 2013-12-05 2015-06-10 中微半导体设备(上海)有限公司 气体分布板
US9873940B2 (en) * 2013-12-31 2018-01-23 Lam Research Corporation Coating system and method for coating interior fluid wetted surfaces of a component of a semiconductor substrate processing apparatus
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9869013B2 (en) * 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10730798B2 (en) * 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
JP6714978B2 (ja) 2014-07-10 2020-07-01 東京エレクトロン株式会社 プラズマ処理装置用の部品、プラズマ処理装置、及びプラズマ処理装置用の部品の製造方法
KR101465640B1 (ko) * 2014-08-08 2014-11-28 주식회사 펨빅스 불화알루미늄 생성방지막이 형성된 cvd 공정챔버 부품
JP6868553B2 (ja) * 2014-08-15 2021-05-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマ化学気相堆積システムにおいて高温で圧縮又は引張応力を有するウェハを処理する方法及び装置
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
JP6396819B2 (ja) * 2015-02-03 2018-09-26 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
WO2016148739A1 (en) 2015-03-18 2016-09-22 Entegris, Inc. Articles coated with fluoro-annealed films
KR102376982B1 (ko) * 2015-04-14 2022-03-21 삼성전자주식회사 세라믹을 이용하여 파티클 저감 효과를 가지는 원격 플라즈마 발생장치
KR20160124992A (ko) * 2015-04-20 2016-10-31 삼성전자주식회사 기판 제조 장치, 및 그의 세라믹 박막 코팅 방법
US20160358749A1 (en) * 2015-06-04 2016-12-08 Lam Research Corporation Plasma etching device with plasma etch resistant coating
KR20170015615A (ko) * 2015-07-29 2017-02-09 삼성전자주식회사 플라즈마 처리 장치
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10854492B2 (en) * 2015-08-18 2020-12-01 Lam Research Corporation Edge ring assembly for improving feature profile tilting at extreme edge of wafer
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6573820B2 (ja) 2015-11-09 2019-09-11 東京エレクトロン株式会社 プラズマ処理装置用部材及びプラズマ処理装置
KR20180083912A (ko) 2015-11-16 2018-07-23 쿠어스 테크, 인코포레이티드 내부식성 부품 및 제조 방법
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
KR102504290B1 (ko) * 2015-12-04 2023-02-28 삼성전자 주식회사 수소 플라스마 어닐링 처리 준비 방법, 수소 플라스마 어닐링 처리 방법, 및 수소 플라스마 어닐링 장치
US10488397B2 (en) 2016-04-05 2019-11-26 University Of Connecticut Metal oxide based sensors for sensing low concentration of specific gases prepared by a flame based process
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP6908973B2 (ja) * 2016-06-08 2021-07-28 三菱重工業株式会社 遮熱コーティング、タービン部材、ガスタービン、ならびに遮熱コーティングの製造方法
KR101885570B1 (ko) * 2016-07-05 2018-08-07 세메스 주식회사 윈도우 부재, 그 제조 방법, 및 그를 포함하는 기판 처리 장치
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
CN109963825B (zh) * 2016-11-16 2022-08-09 阔斯泰公司 耐腐蚀组件和制造方法
US9773665B1 (en) * 2016-12-06 2017-09-26 Applied Materials, Inc. Particle reduction in a physical vapor deposition chamber
KR101877017B1 (ko) * 2017-01-09 2018-07-12 한국과학기술연구원 반도체 반응기 및 반도체 반응기용 금속모재의 코팅층 형성방법
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
CN110546733B (zh) * 2017-03-31 2022-10-11 玛特森技术公司 在处理腔室中防止工件上的材料沉积
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
WO2019026818A1 (ja) * 2017-07-31 2019-02-07 株式会社 東芝 部品および半導体製造装置
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP7239935B2 (ja) * 2017-09-01 2023-03-15 学校法人 芝浦工業大学 部品および半導体製造装置
US11183373B2 (en) 2017-10-11 2021-11-23 Honeywell International Inc. Multi-patterned sputter traps and methods of making
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
EP3738136A4 (en) * 2018-01-08 2021-10-06 LAM Research Corporation COMPONENTS AND PROCESSES FOR MANAGING MATERIALS BY-PRODUCTS FROM PLASMA TREATMENT
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11087961B2 (en) * 2018-03-02 2021-08-10 Lam Research Corporation Quartz component with protective coating
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11139151B1 (en) * 2018-03-15 2021-10-05 Intel Corporation Micro-surface morphological matching for reactor components
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
KR102621279B1 (ko) * 2018-12-05 2024-01-05 교세라 가부시키가이샤 플라스마 처리 장치용 부재 및 이것을 구비하는 플라스마 처리 장치
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP6801773B2 (ja) * 2019-02-27 2020-12-16 Toto株式会社 半導体製造装置用部材および半導体製造装置用部材を備えた半導体製造装置並びにディスプレイ製造装置
JP2020141123A (ja) 2019-02-27 2020-09-03 Toto株式会社 半導体製造装置用部材および半導体製造装置用部材を備えた半導体製造装置並びにディスプレイ製造装置
WO2020180502A1 (en) * 2019-03-01 2020-09-10 Lam Research Corporation Surface coating for aluminum plasma processing chamber components
US20220115214A1 (en) * 2019-03-05 2022-04-14 Lam Research Corporation Laminated aerosol deposition coating for aluminum components for plasma processing chambers
CN112017932B (zh) * 2019-05-31 2022-11-29 中微半导体设备(上海)股份有限公司 等离子体处理装置中气体输送系统的耐腐蚀结构
KR20210012178A (ko) * 2019-07-24 2021-02-03 삼성전자주식회사 기판 처리장치 및 이를 구비하는 기판 처리 시스템
US20210032750A1 (en) * 2019-07-31 2021-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Deposition apparatus and method of forming metal oxide layer using the same
JP7366234B2 (ja) * 2019-08-09 2023-10-20 アプライド マテリアルズ インコーポレイテッド 処理チャンバ部品のための保護用多層コーティング
CN112713072B (zh) * 2019-10-24 2024-03-12 中微半导体设备(上海)股份有限公司 等离子体处理腔室内部部件及其制造方法
KR102225604B1 (ko) * 2019-12-18 2021-03-10 피에스케이 주식회사 기판 처리 장치
JP2023511102A (ja) * 2020-01-23 2023-03-16 ラム リサーチ コーポレーション プラズマ処理チャンバコンポーネント用のイットリウムアルミニウムコーティング
US11661650B2 (en) 2020-04-10 2023-05-30 Applied Materials, Inc. Yttrium oxide based coating composition
US11881385B2 (en) * 2020-04-24 2024-01-23 Applied Materials, Inc. Methods and apparatus for reducing defects in preclean chambers
CN113802094B (zh) * 2020-06-16 2024-04-05 中微半导体设备(上海)股份有限公司 耐腐蚀涂层的镀膜方法及等离子体刻蚀零部件和反应装置
US20220013336A1 (en) * 2020-07-10 2022-01-13 Applied Materials, Inc. Process kit with protective ceramic coatings for hydrogen and nh3 plasma application
US20220037126A1 (en) * 2020-08-03 2022-02-03 Applied Materials, Inc. Fluoride coating to improve chamber performance
US20230366074A1 (en) * 2022-05-16 2023-11-16 Andrei V. Ivanov Oxygen Interception for Air Plasma Spray Processes

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07216589A (ja) * 1994-02-03 1995-08-15 Tokyo Electron Ltd 表面処理方法およびプラズマ処理装置
JP2001164354A (ja) * 1999-12-10 2001-06-19 Tocalo Co Ltd プラズマ処理容器内部材およびその製造方法

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3961111A (en) * 1975-03-18 1976-06-01 Pennwalt Corporation Method of increasing corrosion resistance of anodized aluminum
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US5262029A (en) 1988-05-23 1993-11-16 Lam Research Method and system for clamping semiconductor wafers
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
JPH03287797A (ja) * 1990-04-03 1991-12-18 Sumitomo Electric Ind Ltd 耐食部材
US5200232A (en) 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
US5798016A (en) 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
DE9421671U1 (de) 1994-08-26 1996-07-11 Siemens Ag Entladungskammer für eine Plasmaätzanlage in der Halbleiterfertigung
JP3164200B2 (ja) 1995-06-15 2001-05-08 住友金属工業株式会社 マイクロ波プラズマ処理装置
US5824605A (en) 1995-07-31 1998-10-20 Lam Research Corporation Gas dispersion window for plasma apparatus and method of use thereof
US5838529A (en) 1995-12-22 1998-11-17 Lam Research Corporation Low voltage electrostatic clamp for substrates such as dielectric substrates
US6048798A (en) 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5863376A (en) 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US5788799A (en) 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
US5916454A (en) * 1996-08-30 1999-06-29 Lam Research Corporation Methods and apparatus for reducing byproduct particle generation in a plasma processing chamber
KR100296692B1 (ko) 1996-09-10 2001-10-24 사토 도리 플라즈마cvd장치
US6152070A (en) 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US6388226B1 (en) 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
KR100311487B1 (ko) 1997-12-16 2001-11-15 김영환 산화막식각방법
US6123791A (en) 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6227140B1 (en) 1999-09-23 2001-05-08 Lam Research Corporation Semiconductor processing equipment having radiant heated ceramic liner
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
JP2001250814A (ja) * 2000-03-06 2001-09-14 Hitachi Ltd プラズマ処理装置
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
US6645585B2 (en) 2000-05-30 2003-11-11 Kyocera Corporation Container for treating with corrosive-gas and plasma and method for manufacturing the same
JP4651166B2 (ja) * 2000-06-30 2011-03-16 京セラ株式会社 耐食性部材
JP2002033309A (ja) * 2000-07-18 2002-01-31 Hitachi Ltd プラズマ処理装置及び該装置用部品の製作方法
TWI290589B (en) * 2000-10-02 2007-12-01 Tokyo Electron Ltd Vacuum processing device
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6776873B1 (en) * 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07216589A (ja) * 1994-02-03 1995-08-15 Tokyo Electron Ltd 表面処理方法およびプラズマ処理装置
JP2001164354A (ja) * 1999-12-10 2001-06-19 Tocalo Co Ltd プラズマ処理容器内部材およびその製造方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10090135B2 (en) 2015-05-29 2018-10-02 Samsung Electronics Co., Ltd. Methods of forming coating layers
KR20170006807A (ko) 2015-07-09 2017-01-18 (주)티티에스 공정 챔버의 부품파트 및 화학기상증착에 의해 이트리아를 부품파트에 증착하는 방법
KR20220041439A (ko) 2020-09-25 2022-04-01 한국과학기술연구원 레이저를 이용한 내플라즈마 코팅막 형성방법
KR20220041440A (ko) 2020-09-25 2022-04-01 한국과학기술연구원 레이저 소결을 이용한 내플라즈마 코팅막 치밀화 방법

Also Published As

Publication number Publication date
EP1518255B1 (en) 2012-02-08
CN1663017A (zh) 2005-08-31
TW200412827A (en) 2004-07-16
EP1518255A2 (en) 2005-03-30
KR20050008855A (ko) 2005-01-21
KR101030935B1 (ko) 2011-04-28
US20050150866A1 (en) 2005-07-14
ATE545148T1 (de) 2012-02-15
WO2004003962A3 (en) 2004-04-01
US20040002221A1 (en) 2004-01-01
TWI328411B (en) 2010-08-01
JP2010283361A (ja) 2010-12-16
AU2003238006A1 (en) 2004-01-19
KR20110015676A (ko) 2011-02-16
WO2004003962A2 (en) 2004-01-08
JP2005531157A (ja) 2005-10-13
US7300537B2 (en) 2007-11-27
US7311797B2 (en) 2007-12-25
AU2003238006A8 (en) 2004-01-19

Similar Documents

Publication Publication Date Title
KR101107542B1 (ko) 플라즈마 반응기용 용사 이트리아 함유 코팅
KR100882758B1 (ko) 반도체 공정 설비내의 세륨 옥사이드 함유 세라믹 부품 및 코팅
US6780787B2 (en) Low contamination components for semiconductor processing apparatus and methods for making components
KR100898531B1 (ko) 반도체 공정 설비내의 질코니아 강화된 세라믹 부품 및 코팅과, 그 제조방법
KR101177333B1 (ko) 반도체 재료 프로세싱 장치의 산화이트륨 (yttria)-코팅 세라믹 부품 및 그 부품을 제조하는 방법
JP5313227B2 (ja) 半導体材料処理装置のアルミニウムめっき構成要素および該構成要素を製造する方法
KR100849866B1 (ko) 반도체 처리 장비의 내부식성 컴포넌트 및 그 제조방법
KR20030066756A (ko) 반도체 공정 설비의 보론 나이트라이드/이트리아 복합체부품 및 그 제조방법

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20141226

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20151228

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20161230

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20171228

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20181226

Year of fee payment: 8