CN101018885B - 半导体加工部件及用该部件进行的半导体加工 - Google Patents

半导体加工部件及用该部件进行的半导体加工 Download PDF

Info

Publication number
CN101018885B
CN101018885B CN2005800284888A CN200580028488A CN101018885B CN 101018885 B CN101018885 B CN 101018885B CN 2005800284888 A CN2005800284888 A CN 2005800284888A CN 200580028488 A CN200580028488 A CN 200580028488A CN 101018885 B CN101018885 B CN 101018885B
Authority
CN
China
Prior art keywords
machining parts
semiconductor
semiconductor machining
substrate
composition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2005800284888A
Other languages
English (en)
Other versions
CN101018885A (zh
Inventor
Y·纳伦德拉
M·A·辛普森
R·R·亨斯特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Saint Gobain Ceramics and Plastics Inc
Original Assignee
Saint Gobain Industrial Ceramics Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Saint Gobain Industrial Ceramics Inc filed Critical Saint Gobain Industrial Ceramics Inc
Publication of CN101018885A publication Critical patent/CN101018885A/zh
Application granted granted Critical
Publication of CN101018885B publication Critical patent/CN101018885B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/01Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics
    • C04B35/16Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics based on silicates other than clay
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/009After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone characterised by the material treated
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/45Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements
    • C04B41/50Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials
    • C04B41/5024Silicates
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/45Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements
    • C04B41/50Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials
    • C04B41/5025Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials with ceramic materials
    • C04B41/5027Oxide ceramics in general; Specific oxide ceramics not covered by C04B41/5029 - C04B41/5051
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/029Graded interfaces
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3224Rare earth oxide or oxide forming salts thereof, e.g. scandium oxide
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3224Rare earth oxide or oxide forming salts thereof, e.g. scandium oxide
    • C04B2235/3225Yttrium oxide or oxide-forming salts thereof
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3224Rare earth oxide or oxide forming salts thereof, e.g. scandium oxide
    • C04B2235/3227Lanthanum oxide or oxide-forming salts thereof
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/34Non-metal oxides, non-metal mixed oxides, or salts thereof that form the non-metal oxides upon heating, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3427Silicates other than clay, e.g. water glass
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/70Aspects relating to sintered or melt-casted ceramic products
    • C04B2235/80Phases present in the sintered or melt-cast ceramic products other than the main phase
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/70Aspects relating to sintered or melt-casted ceramic products
    • C04B2235/96Properties of ceramic products, e.g. mechanical properties such as strength, toughness, wear resistance
    • C04B2235/9607Thermal properties, e.g. thermal expansion coefficient
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/70Aspects relating to sintered or melt-casted ceramic products
    • C04B2235/96Properties of ceramic products, e.g. mechanical properties such as strength, toughness, wear resistance
    • C04B2235/9669Resistance against chemicals, e.g. against molten glass or molten salts
    • C04B2235/9692Acid, alkali or halogen resistance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/30Self-sustaining carbon mass or layer with impregnant or other layer

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Structural Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Recrystallisation Techniques (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

一种半导体加工部件,该部件包括:基片;和在该基片上面的层,该层包含组合物ReAyO1.5+2y,其中,Re是Y、La、镧系元素或它们的组合,A是(Si1-aGea),0.25≤y≤1.2,0≤a≤1。

Description

半导体加工部件及用该部件进行的半导体加工
技术领域
本发明涉及半导体加工部件,以及使用该部件的加工技术。
背景技术
在目前的半导体加工中,半导体晶片是通过不同的工作台或工具进行加工处理。这些加工操作包括,例如,诸如扩散的高温处理、氧化、离子注入、退火和沉积。在各种加工台或工具中,通常最关心的是将颗粒和/或外来或不希望的物质对半导体晶片的污染降至最小。受到工业中许多因素,包括迁移到每个半导体管芯上更细小器件尺寸和电路密度的影响,不断提出更严格的清洁标准的要求。此外,随着半导体晶片尺寸的持续增大,单片半导体晶片的价格呈指数持续上升。在这点上,目前半导体工业处于从200mm向300mm晶片的转变,与这种晶片有关的成本显著升高。
按照半导体加工的成本,包括半导体晶片的原料成本以及与目前和下一代半导体器件有关的电气密度,迫切需要在这样的加工过程中降低污染。过去的污染源通常理解为与在各种沉积过程包括在高温沉积中产生的颗粒相关。更具体地,如多晶硅、氮化硅和沉积的二氧化硅的薄膜大多数是通过化学气相沉积(CVD)处理沉积的,具体包括使用卧式炉和竖式炉的批量处理。通常,在对这类加工工具的各种部件进行选择时一直使用石英作为选择的材料,这些部件包括晶片支架(水平晶片舟和竖式支架),挡板,内衬料和处理管。但是,由于这些石英半导体加工部件与进行沉积的材料之间存在的热膨胀差异,会在重复循环进行加热和冷却期间形成膜应力。这些应力与沉积材料的厚度有相应关系。因此,大量加工循环后,达到临界厚度,膜应力超过沉积膜的断裂模量,形成裂纹。然后,碎裂的膜通常从半导体加工部件上分层,在加工工具中形成颗粒,这些颗粒污染加工过程并降低管芯产率。
按照上面所述,必须使工具脱机并在一定数量的加工循环后进行清洁。假设半导体加工的成本,工具停工时间造成的费用很高,并且为使工具再投入生产线大多数情况下必须进行再确定条件和再校准的程序,而且很难满足这些程序。
目前一代的技术主要集中在使用替代材料,使半导体加工部件与沉积膜之间的热膨胀系数差异最小,因此及缩短工具停工时间并延长清洁间隔。在这点上,已经成功引入并推广使用碳化硅基的半导体加工部件,原因是新一代的加工部件和沉积膜之间的热膨胀系数能较好匹配。确实,沉积膜中的压缩应力已经被减小到一定范围,可以使沉积膜的最大或临界厚度增加至少一个数量级。同样,单独一个工具在清洁前可以重复进行的加工循环显著增加。
除了批量加工外,半导体制造通常实行一连串的单一晶片加工,因各种原因经常使用卤素等离子进行如蚀刻。卤素等离子的应用是蚀刻金属和介电层的优选方法,原因是卤素等离子具有的各向异性的蚀刻特性。部分原因是在单一晶片加工中广泛使用这种腐蚀性等离子,因此常规的部件是由阳极化铝或氧化铝陶瓷形成的。但是,本领域已经认识到由于使用含Al组合物而产生的Al金属以及AlF3和AlCl3颗粒污染达到不能被接受的高水平。
虽然已经很成功地引入了基于碳化硅的半导体批量加工部件,本领域仍持续需要能降低或进一步降低污染量并进一步提高半导体管芯产率的加工方法和半导体加工部件。此外,尽管在单一晶片加工中广泛使用阳极化铝和氧化铝陶瓷来抵抗腐蚀性等离子,但本领域仍持续需要改进的部件,特别是降低金属和颗粒污染的部件。
发明内容
根据本发明的一个方面,提供一种半导体加工部件,该部件包括基片和在该基片上的特定层。所述层具有组合物ReAyO1.5+2y,其中Re是Y、La、镧系元素或它们的组合,A是(Si1-aGea),0.25≤y≤1.2,0≤a≤1。
根据本发明的另一个方面,提供对至少一个半导体晶片进行加工的方法,该方法包括将至少一个半导体晶片加入包括半导体加工部件的沉积装置,通过在半导体晶片上沉积材料对该半导体晶片进行加工,并在该沉积装置内对半导体加工部件进行清洁。清洁步骤通常是通过将半导体加工部件暴露于反应气体以从半导体加工部件除去沉积物质进行。半导体加工部件的外表面包含选自以下的组合物:(i)稀土氧化物和(ii)ReAyO1.5+2y,其中Re是Y、La、镧系元素或它们的组合,A是(Si1-aGea),0.25≤y≤1.2,0≤a≤1。
根据另一个实施方式,批量加工部件,如用于支承多个半导体晶片的晶片舟,该加工部件包括基片和在该基片上的层,所述层具有选自以下的组合物:(i)稀土氧化物和(ii)ReAyO1.5+2y,其中Re是Y、La、镧系元素或它们的组合,A是(Si1-aGea),0.25≤y≤1.2,0≤a≤1。
附图简述
参考附图,揭示的内容能更好地为本领域技术人员理解,其诸多特征和优点也将是显而易见的。
图1所示是本发明的实施方式,即晶片舟或载体。
图2比较了硅化SiC(Si-SiC)、Y2Si2O7和80%Y2Si2O7+20%Y2Si2O5材料的热膨胀性能。
图3比较了熔凝石英、独立(standalone)SiC、Si∶SiC、YAG和硅酸钇在氟等离子中的蚀刻速率。
图4比较熔凝石英、独立SiC在300W CF4+O2中以1.5sccm和3.0sccm两种不同流速的蚀刻速率。
在不同附图中使用相同的标号来表示类似或相同的事项。
具体实施方式
根据本发明一个方面,提供一种半导体加工部件,该加工部件包括基片和在该基片上面的层。加工部件可以针对不同的加工条件从各种几何构形中选择一种构形,并可以构造成能接受各种尺寸的晶片,例如150mm、200mm或者更新一代的300mm晶片。加工部件大致可以分成两类,同时加工多个晶片的批量加工部件和单晶片加工部件。批量加工经常与高温处理如扩散、沉积、退火、氧化等连同使用。单晶片处理通常与例如平版印刷和蚀刻连同使用。
批量加工部件包括,例如包括水平晶片舟和竖式支架的晶片支架、处理管、处理管衬料(如炉子衬料),处理室、基架、注射器、挡板、仿真晶片、护罩、栓、晶片桨(paddle)和悬臂棒。上述加工部件中,特别关注的半导体加工部件包括晶片支架、处理室和处理或炉子衬料。单晶片加工部件包括,例如,晶片支架、聚焦环、吊环、基座、注射器、气体分布器、喷洒头和室衬料。
批量半导体加工部件的一个例子示于图1,为晶片舟1的形式。晶片舟上有多条凹槽16,每条凹槽沿相同的曲率半径延伸,并接受各半导体晶片。每条凹槽具有各自的凹槽段18、20和22,在制造晶片舟后进行适当机加工形成凹槽。晶片舟可以按照下面所述的一种技术制造,如将碳化硅芯体用熔融元素硅浸渍,然后进行CVD,形成沉积的碳化硅层。虽然图1所示是水平晶片舟,应理解,也可以使用竖式晶片舟或晶片载体,以及上述的其它半导体加工部件。
通常,加工部件的基片部分是提供支承和整体性的主要结构部件。可以使用某些材料中的任一材料来形成本发明实施方式的基片。在一个方式中,使用含Si组合物。例如,二氧化硅,如石英形式,可以形成基片。在这点上,本领域一直使用如石英晶片舟的石英加工部件,石英加工部件的制造技术是公知的。
除了石英外,另一种含Si组合物包括基于碳化硅的加工部件。这种加工部件是通过碳化硅基片形成,所述碳化硅基片例如是通过滑移浇铸和随后焙烧制成的。然后,碳化硅基片还经常浸渍熔融元素硅,形成Si-SiC复合物基片。这种情况下,碳化硅部分的功能是作为用熔融硅浸渍的芯体,该芯体提供对部件的机械支承。任选地,浸渍后的碳化硅部件可以通过化学气相沉积(CVD)涂敷高纯度层,如碳化硅层。该沉积层能有利地防止下层硅的自动掺杂,以及防止杂质从基片本体迁移到部件外表面,这将导致在半导体基片加工过程中的污染。如上所示,虽然优选滑移浇铸用于形成这种基于碳化硅的加工部件,也可以采用替代的技术如压制。也可以采用其它专业化的技术,例如,将碳预制品转变为碳化硅的转化工艺。
在基于碳化硅的加工部件方面,基片也可以是独立应用的碳化硅形成的,这种碳化硅可以采用各种方法之一,如碳化硅CVD方法形成。这种特定的加工技术能够形成相对高纯度的加工部件,但是这种部件的几何形状受到一定程度的限制。
因此,根据另一个实施方式,基片可以由含碳材料,特别是石墨形成。在这方面,石墨通常是采用压制技术形成,例如等压压制随后进行机加工形成。使用基于石墨的加工部件有多个优点,包括在处理环境中的低反应性,以及容易制造,特别包括最后阶段机加工步骤的方便性。
虽然前面的内容集中在含Si和含碳基片,它们特别适合于批量加工部件方面,但是可以使用其它基片材料。例如,特别在单晶片加工部件方面,可以使用含Al组合物,如Al金属或金属合金、阳极化的Al金属或金属合金(因而有氧化铝涂层),基于氮化铝的陶瓷体和基于氧化铝的陶瓷体。在基于氧化铝陶瓷体方面,基片主要由氧化铝形成。同样,基于氮化铝的陶瓷体主要由氮化铝陶瓷形成。此外,阳极化Al-金属或Al-合金以及基于氧化铝的陶瓷的实施方式通常具有氧化铝组成的基片外表面(有一个层在该外表面上延伸)。
在合适的基片形成半导体加工部件的主结构骨架后,通常对该基片进行处理,在基片上面形成或沉积一个层。根据一个实施方式,该层的一个功能是提高加工部件的抗腐蚀性。更具体地,该层提高加工部件在相对高活性和敌对清洁(hostile cleaning)环境中的化学稳定性(chemical robustness),因而使加工部件能够在半导体加工工具内,特别包括沉积炉(下面详细说明)原位进行清洁。在此,对该层的组合物,特别可以使用稀土硅酸盐/锗酸盐。具体地,所述组合物是ReAyO1.5+2y,其中Re是Y、La、镧系元素或它们的组合,A是(Si1-aGea),0.25≤y≤1.2,0≤a≤1。通常y小于1,例如小于约0.985或0.975。对y值的限制可以减少材料的颗粒边界上Si(Ge)氧化物的存在,Si(Ge)氧化物的存在对抗腐蚀性有不利影响。按照某些实施方式,y大于约0.5,如大于约0.75。
在Re-Si1-aGea-O体系(Re-A-O体系),一般双化合物在室温为稳定的,Re2O3·AO2和Re2O3·2AO2。注意到,这些双化合物还可以分别表示为Re2AO5和Re2A2O7,以及分别为ReA0.5O2.5和ReAO3.5。上面提供的式一般表示是组分Re2O3·AO2、Re2O3·2AO2和Re2O3的混合物,最多100%Re2O3·2AO2(对应于y=1)。
根据特定实施方式,一般可使用Y、La、Nd、Gd、Pr以及它们的组合。在一个实施方式中,Re由Y、La或它们的组合形成,在一个特定实施方式中,Re都是Y。虽然该组合物可以是硅酸盐、锗酸盐或者硅酸盐/锗酸盐混合物,a可以是0,使组合物完全是硅酸盐基的。这一特定实施方式对某些相对于含硅化合物对含锗化合物敏感的半导体加工环境的应用特别有利。根据另一个特定实施方式,a为0,Re是Y,而组合物主要包含二硅酸盐形式的氧化钇硅酸盐,Y2Si2O7(YSiO3.5)。在此,虽然该层的主相是二硅酸盐组合物,组合物可以包括硅酸钇的单硅酸盐形式,以及Y2SiO5(YSi0.5O2.5)。
与由稀土硅酸盐/锗酸盐组合物构成的特定组成无关,该层可以采用几种方法之一施用,包括等离子喷射,化学气相沉积(CVD),包括等离子增强的CVD和低压CVD,溅射,溶胶凝胶或浸涂。对给定涂层密度和相对纯度,优选采用CVD来形成该层准确目标的化学计量和目标纯度。通常,该层的厚度在约10-500μm范围。在某些实施方式中,限制该厚度,以保持例如对部件外轮廓的精确尺寸控制。这种实施方式中,可限制厚度不大于300μm。确实,某些实施方式的层厚度在约50-300μm范围之内,例如约50-200μm。
对覆盖涂层的表面制备包括对基片进行氧化以提高粘合性,以及表面粗糙化技术(喷砂、化学蚀刻)。可以进行后涂敷退火,以提高粘合性和/或外观(如,通过氧化以提供白色)。
在基于石英的基片的特定情况,可以实施梯度组成的表面层。更具体地,可在层与基片之间提供CTE匹配的梯度界面区。有利的是,界面区是富A区,可以通过反应形成。例如,含Re的反应物可以在高温(如高于300℃)反应,形成富A区,优选在厚度方向从基片向外延伸逐步增加Re含量。形成梯度界面区后,沉积稀土硅酸盐/锗酸盐层。这样,可以减小在稀土硅酸盐/锗酸盐层中的热应力。
稀土硅酸盐/锗酸盐层通常限定了部件的外表面,在制造半导体管芯期间该外表面直接处于处理环境中。该外层的实际轮廓会依据加工部件的几何形状有很大不同,一般选自上面已提供的加工部件列表。
稀土硅酸盐/锗酸盐层的优点在室温的热膨胀系数相对接近下层基片的热膨胀系数。具体是,基片与该层之间的热膨胀系数差异通常不大于30%,如不大于20%,甚至10%。
所得的包含上述基片和稀土硅酸盐/锗酸盐涂层的复合物结构与本领域目前的加工部件如由二氧化硅或碳化硅形成的部件相比,通常提高了的对腐蚀期间环境的抗腐蚀性。这种独特的特性对目前和下一代用于切割半导体边缘的制造过程的加工参数很重要,更具体地,通过提供能抵抗与清洁环境,如含自由基和/或单原子和双原子卤素如F或Cl的高活性卤素环境的反应的半导体加工部件,所述加工部件可以原位进行清洁。通过原位清洁加工部件,通常是在沉积装置中进行清洁,可以更频繁清洁加工部件而无需完全使工具下线。通过使用原位的清洁气体如在蚀刻室内通常使用的气态环境进行在线除去沉积物质能够接近100%的正常工作时间。频繁清洁还降低了对加工部件的热膨胀系数须与沉积物质的热膨胀系数密切匹配的要求,因为在清洁之间的层厚度减小。此外,频繁清洁有助于确保对加工操作如沉积的可预测的一致性的环境,这对工艺工程师在开发可重复的工艺流程中有很大帮助,从而可以使晶片与基片以及一批与一批的环境变化最小。
由前面所述可以清楚了解,使用稀土硅酸盐/锗酸盐组合物层提高了半导体加工部件的稳定性。稳定性提高可以由本文定义的蚀刻比来量化表示,蚀刻比定义为部件在活性卤素蚀刻气体环境中蚀刻(失去物质重量)的速率与化学气相沉积的(CVD)SiC在同样卤素蚀刻环境中的蚀刻速率之比。本发明的实施方式的蚀刻比不大于约0.5。其它实施方式提供了更好的性能,如蚀刻比不大于约0.1,如不大于约0.05。
根据本发明的另一个实施方式,提供对至少一个半导体晶片进行处理的方法。该方法始于将至少一个半导体晶片(在批量加工方面是多个半导体晶片)放入沉积装置,该沉积装置包括参照第一实施方式所述的半导体加工部件。然后通过在半导体晶片上沉积物质对该晶片进行处理。在持续进行该处理方法之前可以进行多个加入/处理循环。在至少一个加入/处理循环(通常是多个循环)后,在该沉积装置中对半导体加工部件进行清洁,即,将该半导体加工部件暴露于反应气体,以从加工部件除去沉积物质。反应气体可以是等离子体形式,包括带电的自由基,如在常规的卤素蚀刻环境。或者,反应气体可含有基本为中性的物质,如由下游等离子体产生的反应气体。在任一种情况下,无论是使用等离子体还是中性气体形式的反应气体,最常用的反应气体含有卤素活性物质,如氟或氯。氟在半导体加工中经常用于蚀刻环境并且是一个适当的选择对象,因为氟在半导体工厂已经得到广泛认可。虽然单原子氟提供了优良的反应动力学,双原子氟也存在于清洁环境,即后沉积的清洁环境中。
根据一个特性,半导体晶片加工通常在升高温度如至少300℃下进行。同样,沉积装置有时称作炉子,具有加热能力。沉积物质可以是用于半导体制造的任何一种已知物质,包括二氧化硅、硅、氮化硅(SiNx)、以及任何夹层电介质。在二氧化硅情况,二氧化硅可以是掺杂氟、硼或磷的玻璃形式,或者是多晶二氧化硅形式。硅可以是多晶硅或外延硅(epi-silicon)形式,氮化硅可以是化学计量的(Si3N4)以及非化学计量形式。
根据一个特定的特性,由于半导体加工部件是原位清洁的,在沉积室内,加工部件可以经常进行清洁而不会有明显的停工时间。同样,清洁后的沉积物质的厚度与现有技术相比通常都较小。例如,沉积物质的厚度不大于50微米,如不大于约25微米,甚至不大于10微米或5微米。这些相对较薄的层通常是在进行清洁之前加入和加工的循环次数受到限制的结果。例如,加入和加工的循环次数可限制到不大于50,如不大于25甚至不大于10个循环。
沉积装置的实际配置依据使用的具体工具可以有很大不同。沉积装置可以是CVD装置、PVD装置、等离子体喷射装置或者溶胶凝胶涂敷装置。但是,通常的装置是CVD装置,如低压CVD装置或等离子体增强的CVD装置。
实施例
根据各实施例的涂敷组合物基于硅酸钇(Y-Si-O体系),特别是SiO2和Y2O3∶Y2Si2O7和Y2SiO5之间的两种基本的混合的金属组合物。这两个相包括了热膨胀系数的宽范围,从对Y2Si2O7的4ppm/K到对Y2SiO5的7ppm/K。硅酸钇化合物和混合物可以用来保护基于宽CTE范围的材料,例如,从氧化铝(CTE约为8ppm/K)至SiC(CTE约为4.5ppm/K)。例如,Si∶SiC的CTE可以与80∶20的Y2Si2O7∶Y2SiO5的组合相匹配,如图2所示,而氧化铝的CTE可以与Y2O3或Y2O3+Y2SiO5组合物的相匹配。除了Y-Si-O体系外,稀土金属如La、Nd、Gd或Pr可用来替代Y,因为这些稀土金属各自的卤化物的相对稳定性。
对Y-Si-O组合物的等离子体蚀刻试验在两种不同条件下进行,即氟等离子体试验和氯等离子体试验。
图3比较了各种材料在三种不同条件下的蚀刻速率,这三种条件是,即(i)300W,RF等离子体,CF4+O2 1.5sccm,270mbar(室温度约为175℃),(ii)300W,RF等离子体,CF4+O2 3.0sccm,380mbar(室温度约为190℃),和(iii)575W,RF等离子体,CF4+O2 3.0sccm,420mbar(室温度约为230℃)。全部测试在0偏压下进行,持续10.5小时。对任一硅酸钇组合物进行测定,与发生明显蚀刻的熔凝石英、Si∶SiC和CVD-SiC相比,硅酸钇的蚀刻速率为0或者没有重量变化。
图4比较了熔凝石英和独立(standalone)SiC在300W CF4+O2下以两种不同流速1.5sccm和3.0sccm的蚀刻速率随时间的变化。硅酸钇组合物即使在300W,在基于CF4的氟等离子体中总体没有显示任何重量变化或者明显的蚀刻,而石英显示37%重量损失,Si∶SiC的重量损失为25%,CVD-SiC的重量损失为1.5%。
进行另一个试验,比较对氯等离子体蚀刻的抗腐蚀性,该试验在以下条件下进行:功率80W(150fwd,70返回(return)),250mTorr压力,Ar流速(3.4sccm),Cl2(24psig,1.2sccm)和BCl3(20C供应,1.4sccm),偏压490V,持续时间7小时46分钟。硅酸钇组合物与Al2O3和SiC相比,明显降低了蚀刻速率,结果列于表1:
                  表1
  样品   蚀刻速率(μm/hr)
  YAG   1.6
  Y<sub>2</sub>Si<sub>2</sub>O<sub>7</sub>   1.7
  80Y<sub>2</sub>Si<sub>2</sub>O<sub>7</sub>/20Y<sub>2</sub>SiO<sub>5</sub>   1.0
  SiC   16
  Al<sub>2</sub>O<sub>3</sub>   6.5
进行另一轮试验,比较在较低偏压下,在以下条件下对氯等离子体蚀刻的抗腐蚀性:功率58W(99fwd,42返回),250mTorr压力,Ar流速(3.4sccm),Cl2(24psig,1.2sccm)和BCl3(20C supply,1.4sccm),偏压350V,持续7小时8分钟。硅酸钇组合物盐与Al2O3和SiO2相比,明显降低了蚀刻速率,结果列于表2:
                    表2
  样品   蚀刻速率(μm/hr)
  YAG   <0.2
  Y<sub>2</sub>Si<sub>2</sub>O<sub>7</sub>   <0.2
  80Y<sub>2</sub>Si<sub>2</sub>O<sub>7</sub>/20Y<sub>2</sub>SiO<sub>5</sub>   <0.2
  Y<sub>2</sub>SiO<sub>5</sub>   <0.2
  Y金属   <0.2
  Y<sub>2</sub>O<sub>3</sub>   <0.2
  SiO<sub>2</sub>   8.2
  Al<sub>2</sub>O<sub>3</sub>   3.4
虽然上述实施方式集中在稀土硅酸盐/锗酸盐组合物,另一个方面涉及稀土氧化物组合物,(基本不含Si和Ge),这种组合物替代稀土硅酸盐/锗酸盐组合物形成外层。稀土氧化物中,可以使用简单氧化物,其中,一种或多种稀土元素为氧化物形式,不含非稀土阳离子。特别关注的是镧氧化物如LA2O3和钇氧物如Y2O3,假设它们在敌对和腐蚀性的清洁环境是稳定和化学稳定(下面详细讨论)。某些实施方式利用了钇氧化物优于镧氧化物的优点。
此外,虽然在此描述了具体的基片/层组合,部件的整个结构可以由该层组合物形成,即,单块部件可以一个实施方式的稀土硅酸盐/锗酸盐形成或者由另一个实施方式的稀土氧化物形成。无论是单块或者是基片/层的结构,在此的组合物是形成部件外表面的组合物,其表面如上所述暴露于沉积和清洁操作中。
上面披露的主题是用于说明,不构成限制,所附权利要求书旨在覆盖在本发明范围之内的所有修改,增加和其他实施方式。因此,为使法律允许的范围最大,本发明的范围由下面权利要求书允许的最广义的解释及其等价物决定,不受前面详细描述内容的限制。

Claims (55)

1.一种半导体加工部件,该部件包括:
基片;和
在该基片上面的层,该层包含组合物ReAyO1.5+2y,其中,Re是Y、镧系元素或它们的组合,A是(Si1-aGea),0.25≤y≤1.2,0≤a≤1;
其中所述的半导体加工部件是批量加工部件或单晶片加工部件。
2.如权利要求1所述的半导体加工部件,其特征在于,y小于1。
3.如权利要求2所述的半导体加工部件,其特征在于,y大于0.5。
4.如权利要求3所述的半导体加工部件,其特征在于,y大于0.75。
5.如权利要求2所述的半导体加工部件,其特征在于,y小于0.985。
6.如权利要求5所述的半导体加工部件,其特征在于,y小于0.975。
7.如权利要求1所述的半导体加工部件,其特征在于,所述基片是由包含Si、C、Al或它们的组合的组合物组成。
8.如权利要求1所述的半导体加工部件,其特征在于,所述基片是由包含SiO2的组合物组成。
9.如权利要求8所述的半导体加工部件,其特征在于,SiO2为石英形式。
10.如权利要求9所述的半导体加工部件,其特征在于,所述基片包含一富A区,该区包含Re、A和O,基片包括覆盖该富A区的层。
11.如权利要求10所述的半导体加工部件,其特征在于,富A区是使基片与含Re物质反应形成的。
12.如权利要求7所述的半导体加工部件,其特征在于,基片包含SiC。
13.如权利要求13所述的半导体加工部件,其特征在于,基片包含Si-SiC体,硅被浸入到SiC中。
14.如权利要求13所述的半导体加工部件,其特征在于,所述基片还包含覆盖Si-SiC体的SiC CVD层。
15.如权利要求7所述的半导体加工部件,其特征在于,所述基片包含氧化铝。
16.如权利要求15所述的半导体加工部件,其特征在于,所述基片包含阳极化Al合金或氧化铝基陶瓷体。
17.如权利要求1所述的半导体加工部件,其特征在于,Re是Y、La、Nd、Gd、Pr或它们的组合。
18.如权利要求17所述的半导体加工部件,其特征在于,Re是Y。
19.如权利要求1所述的半导体加工部件,其特征在于,a=0。
20.如权利要求1所述的半导体加工部件,其特征在于,a=0,Re是Y,所述组合物主要包含YSiO3.5
21.如权利要求20所述的半导体加工部件,其特征在于,组合物还包含YSi0.5O2.5
22.如权利要求1所述的半导体加工部件,其特征在于,所述层的室温热膨胀系数与基片的热膨胀系数的差异不大于30%。
23.如权利要求22所述的半导体加工部件,其特征在于,所述热膨胀系数的差异不大于20%.
24.如权利要求23所述的半导体加工部件,其特征在于,所述热膨胀系数的差异不大于10%。
25.如权利要求1所述的半导体加工部件,其特征在于,所述加工部件是批量加工部件,用于同时对多个半导体晶片进行处理的加工工具中。
26.如权利要求25所述的半导体加工部件,其特征在于,所述批量加工部件选自以下:晶片支架、竖式支架、炉子衬料、处理管、处理室、基架、注射器、挡板、仿真晶片、护罩、栓、晶片桨、悬臂棒。
27.如权利要求1所述的半导体加工部件,其特征在于,所述加工部件是单晶片加工部件,用于一次对一个半导体晶片进行处理的加工工具。
28.如权利要求27所述的半导体加工部件,其特征在于,单晶片加工部件选自:晶片支架、聚焦环、吊环、基座、注射器、气体分布器、喷洒头、室衬料。
29.如权利要求1所述的半导体加工部件,其中所述的镧系元素是La。
30.对至少一个半导体晶片进行加工的方法,该方法包括:
将至少一个半导体晶片加入包括半导体加工部件的沉积装置,该半导体加工部件的外表面包含以下的组合物:(i)稀土氧化物和(ii)ReAyO1.5+2y,其中Re是Y、镧系元素或它们的组合,A是(Si1-aGea),0.25≤y≤1.2,0≤a≤1;
通过在半导体晶片上沉积材料对该半导体晶片进行加工;
在该沉积装置内,通过将半导体加工部件暴露于卤素气体,从半导体加工部件上除去沉积物质,对所述半导体加工部件进行清洁。
31.如权利要求30所述的方法,其特征在于,所述卤素气体是等离子体。
32.如权利要求30所述的方法,其特征在于,所述卤素气体是从下游等离子体产生的为中性的气体。
33.如权利要求30所述的方法,其特征在于,所述卤素是F。
34.如权利要求30所述的方法,其特征在于,所述加工在至少300℃的温度下进行。
35.如权利要求30所述的方法,其特征在于,所述沉积物质选自SiO2、Si或SiNx
36.如权利要求30所述的方法,其特征在于,所述沉积物质的厚度不大于50μm。
37.如权利要求36所述的方法,其特征在于,所述沉积物质的厚度不大于25μm。
38.如权利要求30所述的方法,其特征在于,所述清洁是在进行多个加入和加工循环后进行的。
39.如权利要求38所述的方法,其特征在于,所述清洁是在进行不超过50次加入和加工循环后进行的。
40.如权利要求39所述的方法,其特征在于,所述清洁是在进行不超过25次加入和加工循环后进行的。
41.如权利要求40所述的方法,其特征在于,所述清洁是在进行不超过10次加入和加工循环后进行的。
42.如权利要求30所述的方法,其特征在于,所述沉积装置是选自以下的装置:CVD装置、PVD装置、等离子体喷射装置或溶胶凝胶涂敷装置。
43.如权利要求42所述的方法,其特征在于,所述沉积装置是CVD装置。
44.如权利要求30所述的方法,其特征在于,所述方法是将多个晶片一起加入到沉积装置进行处理的批量加工方法。
45.如权利要求30所述的方法,其特征在于,所述组合物包含稀土氧化物。
46.如权利要求30所述的方法,其特征在于,所述稀土氧化物包括钇氧化物或镧氧化物。
47.如权利要求46所述的方法,其特征在于,所述稀土氧化物包括钇氧化物。
48.如权利要求30所述的方法,其特征在于,所述半导体加工部件包括基片和在该基片上的层,该层由所述组合物形成。
49.如权利要求30所述的方法,其中所述的镧系元素是La。
50.用于支承多个半导体晶片的晶片舟,该晶片舟包括:基片;在该基片上面的层,该层包含以下的组合物:(i)稀土氧化物,和(ii)ReAyO1.5+2y,其中Re是Y、镧系元素或它们的组合物,A是(Si1-aGea),0.25≤y≤1.2,0≤a≤1,所述晶片舟有多个用来支承多个半导体晶片的多个狭缝。
51.如权利要求50所述的晶片舟,其特征在于,所述组合物包含稀土氧化物。
52.如权利要求51所述的晶片舟,其特征在于,所述稀土氧化物包括钇氧化物或镧氧化物。
53.如权利要求52所述的晶片舟,其特征在于,所述稀土氧化物包括钇氧化物。
54.如权利要求50所述的晶片舟,其特征在于,所述基片包含选自二氧化硅的组合物或碳化硅的组合物。
55.如权利要求50所述的晶片舟,其中所述的镧系元素是La。
CN2005800284888A 2004-08-24 2005-08-23 半导体加工部件及用该部件进行的半导体加工 Expired - Fee Related CN101018885B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US60398504P 2004-08-24 2004-08-24
US60398404P 2004-08-24 2004-08-24
US60/603,984 2004-08-24
US60/603,985 2004-08-24
PCT/US2005/029889 WO2006023894A2 (en) 2004-08-24 2005-08-23 Semiconductor processing components and semiconductor processing utilizing same

Publications (2)

Publication Number Publication Date
CN101018885A CN101018885A (zh) 2007-08-15
CN101018885B true CN101018885B (zh) 2010-07-14

Family

ID=35482841

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2005800284888A Expired - Fee Related CN101018885B (zh) 2004-08-24 2005-08-23 半导体加工部件及用该部件进行的半导体加工

Country Status (6)

Country Link
US (1) US8017062B2 (zh)
JP (1) JP2008511179A (zh)
KR (1) KR100953707B1 (zh)
CN (1) CN101018885B (zh)
TW (1) TWI270109B (zh)
WO (1) WO2006023894A2 (zh)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI361469B (en) * 2007-03-09 2012-04-01 Rohm & Haas Elect Mat Chemical vapor deposited silicon carbide articles
US7718559B2 (en) 2007-04-20 2010-05-18 Applied Materials, Inc. Erosion resistance enhanced quartz used in plasma etch chamber
US8138060B2 (en) * 2007-10-26 2012-03-20 Shin-Etsu Chemical Co., Ltd. Wafer
US20090186237A1 (en) 2008-01-18 2009-07-23 Rolls-Royce Corp. CMAS-Resistant Thermal Barrier Coatings
EP2344590B1 (en) 2008-09-30 2016-11-30 Rolls-Royce Corporation Coating including a rare earth silicate-based layer including a second phase
US8124252B2 (en) 2008-11-25 2012-02-28 Rolls-Royce Corporation Abradable layer including a rare earth silicate
US8470460B2 (en) 2008-11-25 2013-06-25 Rolls-Royce Corporation Multilayer thermal barrier coatings
SG2014012835A (en) * 2009-02-12 2014-04-28 Univ Griffith A chemical vapour deposition system and process
CA2806172C (en) 2010-07-23 2015-04-28 Rolls-Royce Corporation Thermal barrier coatings including cmas-resistant thermal barrier coating layers
WO2012027442A1 (en) 2010-08-27 2012-03-01 Rolls-Royce Corporation Rare earth silicate environmental barrier coatings
US10720350B2 (en) * 2010-09-28 2020-07-21 Kla-Tencore Corporation Etch-resistant coating on sensor wafers for in-situ measurement
CN102560321B (zh) * 2012-01-19 2014-04-23 中国科学院金属研究所 一种硅酸钇热喷涂复合粉末及其制备方法
US10329205B2 (en) 2014-11-24 2019-06-25 Rolls-Royce Corporation Bond layer for silicon-containing substrates
US10388492B2 (en) * 2016-04-14 2019-08-20 Fm Industries, Inc. Coated semiconductor processing members having chlorine and fluorine plasma erosion resistance and complex oxide coatings therefor
US20190017177A1 (en) 2017-07-17 2019-01-17 Rolls-Royce Corporation Thermal barrier coatings for components in high-temperature mechanical systems
US11655543B2 (en) 2017-08-08 2023-05-23 Rolls-Royce Corporation CMAS-resistant barrier coatings
US10851656B2 (en) 2017-09-27 2020-12-01 Rolls-Royce Corporation Multilayer environmental barrier coating
US20190131113A1 (en) * 2017-11-02 2019-05-02 Applied Materials, Inc. Y2O3-SiO2 PROTECTIVE COATINGS FOR SEMICONDUCTOR PROCESS CHAMBER COMPONENTS
US11087961B2 (en) 2018-03-02 2021-08-10 Lam Research Corporation Quartz component with protective coating
US11562890B2 (en) 2018-12-06 2023-01-24 Applied Materials, Inc. Corrosion resistant ground shield of processing chamber
JP7431489B2 (ja) * 2020-12-23 2024-02-15 クアーズテック合同会社 縦型ウェーハボート

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0308695A2 (en) * 1987-09-01 1989-03-29 Toshiba Ceramics Co., Ltd. A component for producing semi-conductor devices and process of producing it
EP0607651A1 (en) * 1993-01-15 1994-07-27 General Electric Company Prevention of fuel thermal degradation deposits
CN1090344A (zh) * 1992-12-03 1994-08-03 圣戈本/诺顿工业搪瓷有限公司 立式舟形架和晶片支承件
US5560993A (en) * 1994-02-16 1996-10-01 Mitsubishi Jukogyo Kabushiki Kaisha Oxide-coated silicon carbide material and method of manufacturing same

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4490209B2 (en) 1983-12-27 2000-12-19 Texas Instruments Inc Plasma etching using hydrogen bromide addition
DE4137698A1 (de) * 1991-11-15 1993-05-19 Wacker Chemie Gmbh Zinnverbindung enthaltende massen als eine der beiden komponenten von bei raumtemperatur zu organopolysiloxanelastomeren vernetzenden zweikomponentensystemen
JP3230260B2 (ja) * 1991-12-02 2001-11-19 株式会社神戸製鋼所 真空装置用表面被覆金属材
US5443686A (en) * 1992-01-15 1995-08-22 International Business Machines Corporation Inc. Plasma CVD apparatus and processes
TW224537B (en) 1992-12-21 1994-06-01 Gen Electric Fused quartz diffusion tubes for semiconductor manufacture
US5422322A (en) 1993-02-10 1995-06-06 The Stackpole Corporation Dense, self-sintered silicon carbide/carbon-graphite composite and process for producing same
US5798016A (en) 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
JPH09235685A (ja) 1995-12-28 1997-09-09 Kyocera Corp 耐食性部材
JP3659435B2 (ja) 1996-02-29 2005-06-15 京セラ株式会社 耐食性部材、プラズマ処理装置、半導体製造装置、液晶製造装置及び放電容器。
US6245202B1 (en) * 1996-04-12 2001-06-12 Hitachi, Ltd. Plasma treatment device
JPH104083A (ja) 1996-06-17 1998-01-06 Kyocera Corp 半導体製造用耐食性部材
JP3261044B2 (ja) 1996-07-31 2002-02-25 京セラ株式会社 プラズマプロセス装置用部材
JP3619330B2 (ja) 1996-07-31 2005-02-09 京セラ株式会社 プラズマプロセス装置用部材
JP3623054B2 (ja) 1996-08-28 2005-02-23 京セラ株式会社 プラズマプロセス装置用部材
JP3488373B2 (ja) 1997-11-28 2004-01-19 京セラ株式会社 耐食性部材
JPH10236871A (ja) 1997-02-26 1998-09-08 Kyocera Corp 耐プラズマ部材
JPH11214194A (ja) 1998-01-30 1999-08-06 Kyocera Corp プラズマ処理装置用窓部材
JP3559426B2 (ja) 1997-05-30 2004-09-02 京セラ株式会社 耐食性部材
JPH1116991A (ja) * 1997-06-19 1999-01-22 Tokai Carbon Co Ltd 半導体製造装置用カーボン支持体
JP3500278B2 (ja) 1997-09-29 2004-02-23 京セラ株式会社 半導体製造用耐食性部材
JPH11251093A (ja) 1998-02-27 1999-09-17 Kyocera Corp プラズマ発生用電極
JPH11278944A (ja) 1998-03-26 1999-10-12 Kyocera Corp 窒化珪素質耐食性部材及びその製造方法
JPH11279761A (ja) 1998-03-31 1999-10-12 Kyocera Corp 耐食性部材
JP2000001362A (ja) 1998-06-10 2000-01-07 Nippon Seratekku:Kk 耐食性セラミックス材料
KR20000002833A (ko) * 1998-06-23 2000-01-15 윤종용 반도체 웨이퍼 보트
JP2000103689A (ja) 1998-09-28 2000-04-11 Kyocera Corp アルミナ質焼結体およびその製造方法、並びに耐プラズマ部材
JP2000265276A (ja) 1999-01-12 2000-09-26 Central Glass Co Ltd クリーニングガス
JP2001031484A (ja) 1999-07-22 2001-02-06 Nihon Ceratec Co Ltd 耐食性複合部材
TW514996B (en) 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
DE60032358T2 (de) * 2000-02-15 2007-10-25 Toshiba Ceramics Co., Ltd. Verfahren zur herstellung von si-sic-gliedern zur thermischen behandlung von halbleitern
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
AU2001253922A1 (en) 2000-08-07 2002-07-24 Igc-Superpower, Llc Fabrication of high current coated high temperature superconducting tapes
US6379789B1 (en) 2000-10-04 2002-04-30 Creare Inc. Thermally-sprayed composite selective emitter
EP1239055B1 (en) 2001-03-08 2017-03-01 Shin-Etsu Chemical Co., Ltd. Thermal spray spherical particles, and sprayed components
JP2002274983A (ja) * 2001-03-12 2002-09-25 Tokai Konetsu Kogyo Co Ltd SiC膜を被覆した半導体製造装置用部材およびその製造方法
JP2002356387A (ja) 2001-03-30 2002-12-13 Toshiba Ceramics Co Ltd 耐プラズマ性部材
JP3421329B2 (ja) * 2001-06-08 2003-06-30 東京エレクトロン株式会社 薄膜形成装置の洗浄方法
US6488497B1 (en) * 2001-07-12 2002-12-03 Saint-Gobain Ceramics & Plastics, Inc. Wafer boat with arcuate wafer support arms
JP2003031779A (ja) * 2001-07-13 2003-01-31 Mitsubishi Electric Corp Soiウェハの製造方法
US20030029563A1 (en) 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
US20040173948A1 (en) * 2002-09-19 2004-09-09 Pandelisev Kiril A. Process and apparatus for silicon boat, silicon tubing and other silicon based member fabrication
US7311797B2 (en) * 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US7535100B2 (en) * 2002-07-12 2009-05-19 The United States Of America As Represented By The Secretary Of The Navy Wafer bonding of thinned electronic materials and circuits to high performance substrates
JP2004095699A (ja) * 2002-08-30 2004-03-25 Tokyo Electron Ltd 基板処理装置及び基板処理装置のクリーニング方法
KR100492977B1 (ko) * 2002-12-12 2005-06-07 삼성전자주식회사 다공성 실리카 박막의 소결을 위한 웨이퍼 보트
US20050145584A1 (en) * 2004-01-06 2005-07-07 Buckley Richard F. Wafer boat with interference fit wafer supports
US7501370B2 (en) * 2004-01-06 2009-03-10 Saint-Gobain Ceramics & Plastics, Inc. High purity silicon carbide wafer boats
JP2005279439A (ja) * 2004-03-29 2005-10-13 Noritake Co Ltd 酸素分離膜エレメント、酸素製造方法、および反応器
US7199021B2 (en) * 2004-06-22 2007-04-03 Texas Instruments Incorporated Methods and systems to mitigate etch stop clipping for shallow trench isolation fabrication

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0308695A2 (en) * 1987-09-01 1989-03-29 Toshiba Ceramics Co., Ltd. A component for producing semi-conductor devices and process of producing it
CN1090344A (zh) * 1992-12-03 1994-08-03 圣戈本/诺顿工业搪瓷有限公司 立式舟形架和晶片支承件
EP0607651A1 (en) * 1993-01-15 1994-07-27 General Electric Company Prevention of fuel thermal degradation deposits
US5560993A (en) * 1994-02-16 1996-10-01 Mitsubishi Jukogyo Kabushiki Kaisha Oxide-coated silicon carbide material and method of manufacturing same

Also Published As

Publication number Publication date
KR20070052767A (ko) 2007-05-22
KR100953707B1 (ko) 2010-04-19
US20060046450A1 (en) 2006-03-02
CN101018885A (zh) 2007-08-15
JP2008511179A (ja) 2008-04-10
WO2006023894A3 (en) 2006-06-15
US8017062B2 (en) 2011-09-13
WO2006023894A9 (en) 2007-04-19
TWI270109B (en) 2007-01-01
WO2006023894A2 (en) 2006-03-02
TW200614330A (en) 2006-05-01

Similar Documents

Publication Publication Date Title
CN101018885B (zh) 半导体加工部件及用该部件进行的半导体加工
TWI744898B (zh) 減小曝露於含鹵素電漿下之表面腐蝕速率的方法與設備
US6383964B1 (en) Ceramic member resistant to halogen-plasma corrosion
US20100129670A1 (en) Protective coatings resistant to reactive plasma processing
US20060051602A1 (en) Coating structure and method
EP0826646B1 (en) Highly corrosion-resistant silicon carbide product
US5997685A (en) Corrosion-resistant apparatus
KR101593921B1 (ko) 반도체 공정용 플라즈마 처리 장치용 탄화규소 부품의 재생 방법 및 이러한 방법으로 재생된 탄화규소 부품
US5853840A (en) Dummy wafer
JPH11214365A (ja) 半導体素子製造装置用部材
US6258741B1 (en) Corrosion-resistant member
KR101628689B1 (ko) 플라즈마 처리 장치용 탄화규소 부품 및 이의 제조방법
JP3488373B2 (ja) 耐食性部材
JP3618048B2 (ja) 半導体製造装置用部材
US20190019655A1 (en) Plasma resistant semiconductor processing chamber components
JP3623054B2 (ja) プラズマプロセス装置用部材
KR20090101245A (ko) 세라믹 부재 및 내식성 부재
JP4373487B2 (ja) 耐食性CVD―SiC被覆材及びCVD装置用治具
JP3784180B2 (ja) 耐食性部材
JP3642446B2 (ja) 半導体ウエハ処理具
JPH11278944A (ja) 窒化珪素質耐食性部材及びその製造方法
JP5876259B2 (ja) 窒化アルミニウム膜によって被覆された部材の製造方法
JP4012714B2 (ja) 耐食性部材
WO2023008439A1 (ja) 半導体製造装置用の部材およびそのような部材を製造する方法
JP2569321B2 (ja) 気相成長用トレ−及び気相成長方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20100714

Termination date: 20120823