JP2010283361A - 生産性を向上するプラズマ反応器用溶射イットリア含有被膜 - Google Patents

生産性を向上するプラズマ反応器用溶射イットリア含有被膜 Download PDF

Info

Publication number
JP2010283361A
JP2010283361A JP2010153473A JP2010153473A JP2010283361A JP 2010283361 A JP2010283361 A JP 2010283361A JP 2010153473 A JP2010153473 A JP 2010153473A JP 2010153473 A JP2010153473 A JP 2010153473A JP 2010283361 A JP2010283361 A JP 2010283361A
Authority
JP
Japan
Prior art keywords
coating
plasma
component
yttria
alloy
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2010153473A
Other languages
English (en)
Inventor
Robert J O'donnell
ロバート, ジェイ. オドネル,
John E Daugherty
ジョン, イー. ダグエルティー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2010283361A publication Critical patent/JP2010283361A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting

Abstract

【課題】反応器内におけるプラズマ環境の浸食的および腐食的性質ならびにパーティクルおよび/または金属による汚染を最小限し、消耗品やその他部品を含めて、十分に高い耐浸食性および耐腐食性を有する装置部品を提供する。
【解決手段】プラズマ雰囲気における耐浸食、耐腐食および/または耐腐食−浸食を与える溶射イットリア含有被膜を備える半導体処理装置の構成要素。この被膜は下地を物理的および/または化学的攻撃から保護することができる。
【選択図】なし

Description

本発明は、半導体物質処理装置のための構成要素に関する。この構成要素は、半導体物質処理時に半導体物質の汚染を低減することができる材料で形成されている。本発明はまた、この構成要素の製造方法にも関する。
半導体物質処理の分野において、基板上の物質のエッチングおよび基板上への物質の化学気相堆積(CVD)を行うために真空処理チャンバが使用される。処理用ガスを処理チャンバに流入させ、同時に処理用ガスに高周波(RF)場を提供して処理用ガスのプラズマを発生させる。このプラズマは、ウェーハ上において選択された物質について所期のエッチングまたは堆積を行う。誘導結合プラズマ(ICP)とも呼ばれる平行板の電磁結合型プラズマ(transformer coupled plasma)(TCP(商標))、および電子サイクロトロン共鳴(ECR)反応器およびその部品の例が、米国特許第4340462号、第4948458号、第5200232号および第5820723号に開示されている。
たとえば米国特許第5262029号および第5838529号に開示されているように、半導体基板の処理中に、基板は一般に基板ホルダによって真空チャンバ内に支持される。様々なガス供給システムにより処理用ガスを真空室に供給することができる。
プラズマチャンバ装置の他に、半導体基板の処理において使用される他の機器として、搬送機構、ライナー、昇降機構、ロード・ロック、ドア機構、ロボット・アーム、留め具などがあげられる。
プラズマは、エッチングにより物質を除去し、または基板上に物質を体積するために使用される。プラズマ・エッチングの条件は、プラズマにさらされた処理チャンバの表面に対してイオンによる著しい衝撃を与え得る。このイオン衝撃(ion bombardment)は、プラズマの化学作用および/またはエッチングの副生成物と相まって、処理チャンバのプラズマにさらされた面の著しい浸食、腐食および腐食−浸食を生ずる可能性がある。結果として、表面物質は、浸食、腐食および/または腐食−浸食を含む物理的および/または化学的攻撃によって取り除かれる。この攻撃が、短い部品寿命、消耗費用の増加、パーティクル汚染、ウェーハ上における遷移金属汚染および工程のふらつき(process drift)を含んだ問題を引き起こす。
これらの問題を鑑みて、プラズマ処理チャンバは、処理中のウェーハ上にプラズマを閉じ込める円板部、円環部および円筒部などの部品を備えるように設計されてきた。しかしながら、これらの部品はプラズマによる攻撃を絶えず受け、その結果、最終的には浸食されるか、ポリマーが堆積することになる。結局のところ、これらの部品はこのような磨耗をこうむるのでそれ以上使用することができない。相対的に短い寿命の部品は一般に「消耗品」と呼ばれる。消耗部品の寿命が短いと、維持費が高い。消耗品およびその他の部品の浸食はプラズマ処理チャンバ内の汚染を引き起こす。
このような反応器内におけるプラズマ環境の浸食的および腐食的性質ならびにパーティクルおよび/または金属による汚染を最小限にする必要性の理由から、消耗品やその他部品を含めて、十分に高い耐浸食性および耐腐食性を有する装置部品が望まれる。各部品は、プラズマ環境で耐腐食性と耐浸食性を与える材料で形成されている。たとえば、米国特許第5798016号、第5911852号、第6123791号および第6352611号を参照されたい。
米国特許第4340462号 米国特許第4948458号 米国特許第5200232号 米国特許第5820723号 米国特許第5262029号 米国特許第5838529号 米国特許第5798016号 米国特許第5911852号 米国特許第6123791号 米国特許第6352611号 米国特許第5824605号 米国特許第6048798号 米国特許第5863376号
Pawlowski著、「The Science and Engineering of Thermal Spray Coating」、John Wiley、(1995年)
本発明の他の目的は、プラズマ処理環境において物理的および/または化学的攻撃に対する耐摩耗性の向上をもたらすことができる材料で作られた半導体処理装置の構成要素(部品)を提供することにある。この構成要素は、金属およびパーティクルに関する低レベルの汚染を実現することができる。
本発明による半導体処理装置の構成要素の例示的実施形態は、表面を含む下地および前記表面上の溶射されたイットリウム酸化物(イットリア)含有被膜を備える。この被膜はこの構成要素の最も外側の面を含む。
本発明による半導体処理装置の構成要素の製造方法の例示的実施形態は、溶射によって前記構成要素の表面上にイットリア含有被膜を形成することを含む。この被膜は構成要素の最も外側の面を含む。
好ましい実施形態において、下地の面上に溶射されたイットリア含有被膜を直接形成することができる。
さらに、本発明は、上記のイットリア含有構成要素の少なくとも1つを含む半導体処理装置を提供する。
本発明は、添付の図面を参照し以下の詳細な説明を読めば容易に理解されるであろう。
従来のプラズマ溶射法を示す図である。 本発明の例示的実施形態によるプラズマ・エッチング装置用ガス・リングの断面図である。 本発明による構成要素の例示的実施形態を含むエッチングチャンバの図である。 本発明による構成要素の例示的実施形態を含む別のエッチングチャンバの図である。 本発明による保護被膜の例示的実施形態の図である。 本発明による保護被膜の別の例示的実施形態の図である。 本発明によるイットリア含有被膜でコーティングされた酸化物ウェーハおよびむき出しのシリコン・ウェーハの汚染レベルおよびコーティングされてない場合の汚染レベルを示す図である。
本発明は、半導体物質処理装置で使用するために適した構成要素(部品)を提供する。この構成要素は、処理時に半導体物質処理装置で発生するプラズマによる物理的および化学的攻撃に関して耐摩耗性をもたらすことができる。本明細書で使用されているように、「耐摩耗性」の用語には、これに限定するものではないが、浸食、腐食および/または腐食−浸食に対する耐性が含まれる。
この構成要素は、イットリウム酸化物(イットリア)含有被膜を備える。このイットリア含有被膜は、半導体を処理する装置内のプラズマによる化学的および/または物理的攻撃に対する耐性を外側表面に与える。
さらに、本発明は、プラズマ環境にある構成要素の耐摩耗性を向上するイットリア含有被膜を備える構成要素を製造する方法を提供する。
当業者は、様々な半導体物質を処理するのに有効な様々な処理装置にこの耐摩耗性材料を適用することができることを了解するであろう。さらに、この耐摩耗性材料は、この処理装置内の様々な構成要素に適用することができる。このような例示的な構成要素として、これに限定するものではないが、たとえば、チャンバ壁、基板サポート、シャワーヘッド、バッフル(邪魔板)、リング(円環部)、ノズル等を含むガス分配システム、留め具、発熱素子、プラズマ・スクリーン、ライナー、ロボット・アームなどの搬送モジュール部品、留め具、チャンバ内外壁など、プラズマチャンバおよび/または真空チャンバの各部品があげられる。
このイットリア含有材料は、本質的にイットリアから成ることが好ましい。イットリア含有材料を含む1つまたは複数の構成要素が組み込まれた装置で処理された半導体材料の汚染を最小限にするために、イットリア含有材料はできるだけ純粋にすること、たとえば、遷移金属、アルカリ金属などの汚染の可能性がある元素の含有量を最小限にすることが望ましい。たとえば、イットリア含有被膜は、1010原子/cm以上の、好ましくは10原子/cm以上のウェーハ上汚染を避けるために十分な純度にすることができる。イットリア含有材料は、少なくとも約99%の高い純度を有することが好ましく、約99.95%から約100%の純度がより好ましい。
このイットリア含有被膜は、下地(underlying substrate)との高い結合強度を提供することができる。イットリア含有被膜は、約2000psiから約7000psiの引っ張り結合強度を有することが好ましい。
イットリア含有被膜は、攻撃的雰囲気と下地との接触を最小限にし、それゆえ引き続いて起こる、攻撃的雰囲気による下地の腐食、浸食および/または腐食−浸食による物理的および/または化学的攻撃を最小限にするために有利な低レベルの多孔度レベル(porosity level)を提供することができる。イットリア含有被膜は、多孔度が15体積%未満であることが好ましく、約3体積%未満であることがより好ましく、約1体積%未満であることが最も好ましい。
さらに、イットリア含有被膜は、浸食されにくい高い硬度をもたらすことができる。セラミック材料は、約200から約800の硬度(HVO)を有することが好ましい。
イットリア含有被膜は、好ましくは約10%から約100%、より好ましくは約95%超が立方晶である結晶構造を有する。
イットリア含有被膜は、純白からダークグレー/黒の範囲の色を有することができる。この被膜は、白色が好ましい。
イットリア含有被膜は、たとえば、プラズマエッチングチャンバなどの半導体処理装置で使用するための所望の耐摩耗性を与えることができる。特に、イットリア含有被膜は、プラズマ反応チャンバ内のイオンにより引き起こされる浸食およびそれに伴うレベルのパーティクル汚染を低減することができる表面を形成する。イットリア含有被膜は、下地をプラズマによる物理的および化学的な両方の攻撃から保護することができる。
この耐摩耗性被膜は、エッチング、堆積、ならびにその他の用途のための種々の異なったプラズマ雰囲気で使用することができる。代表的なエッチングの化学物質としては、これに限定するものではないがCl、HClおよびBClなどの塩素含有ガス、これに限定するものではないがBrおよびHBrなどの臭素含有ガス、これに限定するものではないがO、HOおよびSOなどの酸素含有ガス、これに限定するものではないがCF、CH、NF、CHF、C、CHFおよびSFなどのフッ素含有ガス、およびこれに限定するものではないがHe、ArおよびNなどの不活性ガス等があげられる。これらのガスおよびその他のガスは、要求されるプラズマに応じて適当に組み合わせて使用されうる。エッチングの化学物質の代表的な最大流量は、Cl;200sccm、HCl;100sccm、BCl;200sccm、HBr;200sccm、O;20sccm、HO;100sccm、SO;200sccm、CF;200sccm、CH;100sccm、CHF;100sccm、C;100sccm、CHF;100sccm、SF;200sccm、He;200sccm、Ar;200sccm、およびN;200sccmである。種々の処理用ガスの適切な流量は、これに限定するものではないがプラズマ反応器のタイプ、電力設定、チャンバ圧力、プラズマ解離速度、エッチング化学物質、エッチングされる材料、および処理用ガスが使用されるエッチング・プロセスの特定の工程などの要因に基づいて選択することができる。
高密度プラズマ反応器用の例示的なプラズマ・エッチング反応器のエッチング動作条件は以下の通りである。基板温度は約0℃から約70℃、チャンバ圧力は約0mTorrから約100mTorr、ガス流量は約10sccmから約1000sccm、およびプラズマ発生電力は0ワット超から約1500ワット、典型的には約200ワットから約800ワットである。最も適切な電力は、プラズマ反応器内でエッチングされるウェーハのタイプに依存する。
イットリア含有被膜を備える構成要素は、半導体プラズマ・エッチング工程でシリコン含有材料および金属含有材料をエッチングする半導体処理装置のエッチングチャンバにおいて使用することができる。たとえば、このようなエッチングチャンバにおいてエッチングすることができるシリコン含有材料としては、これに限定するものではないが、単結晶シリコン、多結晶シリコン、非晶質シリコン、窒化珪素、酸窒化珪素、ケイ化物、二酸化珪素、低k材料および高k材料があげられる。シリコン含有材料は、ドーピングされても、されなくてもよく、かつ/または、アニーリングされても、されなくてもよい。
エッチングすることができる導電性または半導電性の金属含有材料としては、これに限定するものではないが、アルミニウム、アルミニウム合金、タングステン、タングステン合金、チタン、チタン合金、タンタル、タンタル合金、白金、白金合金、ルテニウム、ルテニウム合金、クロム、クロム合金、鉄、鉄合金、ニッケル、ニッケル合金、コバルト、コバルト合金、モリブデン、モリブデン合金、チタン、タングステン、クロム、コバルトおよび/またはモリブデンのケイ化物、ケイ化白金および酸化ルテニウムなどの強誘電体材料、窒化タンタル、ケイ化クロムおよびNiFeCo合金などのGMR材料があげられる。
イットリア含有被膜は、溶射法によって下地上に形成することが好ましい。溶射法では、セラミック粉末が溶融され、溶射中の構成要素に向けられているガス流に混合される。溶射法の利点は、構成要素の溶射ガンに面した側だけコーティングすることができることであり、その他の面はマスキングを用いて溶射を防ぐことができる。プラズマ溶射を含め、従来の溶射法が、Pawlowski著、「The Science and Engineering of Thermal Spray Coating」、John Wiley、1995年に記述されている。この記述は参照により全体が本明細書に組み込まれている。溶射イットリア含有被膜は、コーティングが可能な適当な形をしていればどんな下地にでも形成することができる。
特に好ましい溶射法はプラズマ溶射である。プラズマ溶射は、チャンバの入り組んだ内面およびチャンバのその他の構成要素でもコーティングすることができる。図1は代表的なプラズマ溶射プロセスを示す。通常は粉末112の形態のコーティング原料が、通常は外部の粉末ポート132を経由して高温プラズマ火炎114内に注入される。この粉末は急激に加熱されて高速度に加速される。高温の原料が下地表面116上に衝突し、急激に冷却されて被膜118を形成する。
プラズマ溶射ガン120は陽極122と陰極124を備えており、その両方とも水で冷却される。プラズマ・ガス126(たとえば、アルゴン、窒素、水素、ヘリウム)が、陰極のまわりを一般に矢印128で示される方向に流れ、陽極の絞りノズルを通る。このプラズマは、局部的なイオン化を引き起こし、陰極124と陽極122の間にDCアークのための導電性の経路を形成させる高電圧放電によって開始される。アークからの抵抗加熱によってガスのプラズマ形成が引き起こされる。このプラズマは自由プラズマ火炎または中性プラズマ火炎(すなわち、電流を運ばないプラズマ)として陽極ノズル部から出て行く。プラズマが安定化され溶射の準備ができると、電気アークがノズル下方に延びる。粉末112が急激に加熱され加速されるので、ノズル先端と下地表面の間の噴霧距離136は125から150mm程度になる可能性がある。プラズマ溶射被膜は、下地表面116に衝突する溶融されたまたは熱軟化した粒子によって生成される。
溶射されたイットリア含有被膜は、被膜の付着性を促進するために前もって下地表面を処理しまたは処理せずに、好ましい下地材料上に直接形成することができ、かつ/または下地上の被膜の付着性を向上するために前もって中間被膜を下地上に形成してまたは形成しないで形成することができる。たとえば、イットリア含有被膜は、下地表面を処理せずにまたは中間被膜を使用せずに陽極酸化されたアルミニウム、アルミナまたは石英の下地に直接施すことができる。好ましい実施形態においては、イットリア含有被膜は、下地を処理せずかつ/または中間被膜を形成せずに基板に対する好適な付着性を与える。したがって、イットリア含有被膜は、このような付加的な事前の工程を実施せずに下地に施すことができるので、このような付加的工程に対してもたらされるコーティング工程の費用の増加、複雑性および/または完成時間の増加を避けることができる。
下地上にイットリア含有被膜を形成する前に、コーティングされる下地表面を洗浄して、酸化物またはグリースなどの望ましくない表面物質を除去することが好ましい。いくつかの実施形態においては、洗浄および粒子吹き付けなどの表面処理方法を用いて化学的および物理的により活性な、被膜接合のための面を形成することができる。一方、上記ほど好ましくはないが、コーティングする前にグリットブラスチングなどの任意の適当な方法により下地の表面を粗面化することができる。下地の粗面化は被膜の接合に利用できる表面積を増加させ、このことが被膜の結合強度を増加させる。下地表面の粗い断面形状により被膜の下地への機械的固定や連結を促進することもできる。
アルミニウム反応器の構成要素の場合は、コーティングされる構成要素の表面をコーティング前に陽極酸化されることが好ましいが、陽極酸化された表面は粗面化しない方が好ましい。陽極化酸化された層は、追加された、すなわちコーティングによってもたらされた保護に加えて、下にあるアルミニウム材料による腐食性の攻撃に対するバリアも形成している。6061−T6アルミニウムなどのアルミニウム下地上に形成された陽極酸化されたアルミニウム層は任意の適切な厚さを有することができる。たとえば、陽極酸化されたアルミニウム層の厚さは、一般に約2ミルから約10ミルの範囲にすることができる。陽極酸化されたアルミニウム層の表面は任意の適当などんな仕上げにすることもできる。たとえば、表面仕上げは約20ミクロインチから約100ミクロインチの表面粗さを有することができる。この陽極酸化された層は、沸騰している脱イオン水を使用するなどの任意の適当な方法で封止することができる。
溶射イットリア含有被膜は、混入物の被膜への付着を促進するために有効な所望の表面粗さ特性を有することができる。この混入物としては、金属エッチング工程などのプラズマ・エッチング工程時のポリマー形成種(通常は過フッ化炭化水素)の使用に起因するポリマー堆積物をあげることができる。参照により全体が本明細書に組み込まれている同時係属の米国特許出願第09/749917号に記載されているように、このようなポリマー堆積物はエッチング工程時に室表面からはがれ落ちるかまたは剥離し、室内の基板に混入する。繰り返されるプラズマ処理サイクル中に生ずる熱サイクルがこの問題を悪化させる。
溶射イットリア含有被膜は、プラズマ反応器内の下地処理時に生成されたポリマー副生成物の付着性を向上するのに適した表面粗さ値(Ra)を有することができる。たとえば、溶射イットリア含有被膜の算術平均表面粗さ(Ra)は、約5ミクロインチから約400ミクロインチの範囲にすることができ、約120ミクロインチから約250ミクロインチの範囲が好ましい。この範囲の表面粗さ値は、金属エッチングなどのプラズマ・エッチング工程時に反応チャンバの内部表面上へのポリマー堆積物の付着を促進する。したがって、溶射イットリア含有被膜は構成要素上のこのようなポリマー堆積物の付着性を向上することができ、これによってポリマー堆積物の汚染の発生を低減することができる。
しかしながら、いくつかの好ましい実施形態においては、溶射イットリア含有被膜を平滑にすることができる。たとえば、シリコンのエッチング工程においては、かなりの堆積物がチャンバ表面上に形成しやすい。このような工程に対して、被膜上への堆積物の付着を促進するために溶射イットリア含有被膜が粗い表面を有することは望ましいことではない。さらに平滑な表面は比較的洗浄が容易である。
いくつかの好ましい実施形態においては、イットリア含有被膜を備えた構成要素が高密度プラズマ反応器内で使用される。この種の模範的反応器は、カリフォルニア州フリーモント市のLam Research社から入手可能なTCP9400(商標)プラズマ・エッチング反応器である。このTCP9400(商標)反応器においては、処理用ガス(Cl、HBr、CF、CH、O、N、Ar、SFおよびNF等)はエッチングチャンバの底部に配置されたガス・リング内に導かれ、その後、ガス孔を通って反応室内に導かれる。図2はTCP9400(商標)エッチング反応器用ガス・リングを示している。図2に示されるように、ガス・リング40の本体が基板サポート44を囲んでいる。ガス・リング40の底面は円環形のガス案内溝60を含んでいる。前記のガス孔50はこのガス案内溝60内に延びている。
ガス・リング40は一般にアルミニウムで構成されている。ガス・リングの上部表面はプラズマに直接さらされ、そのため浸食、腐食および/または腐食−浸食を受ける。これらの表面を保護するために、ガス・リングは一般にアルミニウム酸化物層で覆われる。たとえば、シリコンのエッチングの用途では、フッ素含有雰囲気が、陽極酸化されたアルミニウムを攻撃することによって「茶色の微粉」であるフッ化アルミニウムを生成する可能性がある。金属のエッチングの用途では、陽極酸化されたアルミニウムが三塩化ホウ素(BCl)によるエッチングで取り去られ、構成要素の腐食を招く。さらに、陽極酸化されたアルミニウムは比較的脆く、使用中に反応器の熱サイクルを繰り返す間に割れを発生する可能性がある。陽極酸化された層内に生じた割れによって腐食性の処理用ガスが下にあるアルミニウム層を攻撃することが可能になり、部品寿命を短くし、ウェーハ、フラット・パネル表示基板などの処理された基板の金属および微粒子汚染の一因となる。
例示的な実施形態において、ガス・リングの露出した表面は、イットリア含有材料の被膜42で覆うことができる。この被膜をむき出しの(本来の酸化物表面膜を備えているまたは備えていない)アルミニウム基板またはアルミニウム酸化物層(たとえば、陽極酸化された表面を有するアルミニウム)の上に形成することができる。ガス・リングをコーティングする場合、被膜が一部ガス孔内に侵入してガス・リングの内壁をコーティングし、これを保護することは許容できるが、ただし、開口部を遮ってはならない。別法として、ガス孔をコーティングしないことも可能であり、たとえば、コーティング工程時にガス孔に栓をするまたはガス孔を覆い隠すことが可能である。
処理中にプラズマにさらされる可能性があるこのTCP9400(商標)反応器の他の構成要素もまたイットリア含有被膜でコーティングすることができる。これらの構成要素としては、たとえば、チャンバ壁、チャンバライナー、チャック装置および基板に対向する誘電窓がある。静電チャックなどのチャック装置の上部面上にイットリア含有被膜を設けることにより、ウェーハが存在せず、そのためチャック装置の上部面が直接プラズマにさらされる洗浄サイクル時においてチャック装置を更に保護することができる。
本発明によるイットリア含有被膜を備えることができる他の例示的なポリシリコン・エッチング反応器は、図3に示すように、同様にカリフォルニア州フリーモント市のLam Research社から入手可能なVersys(商標)ポリシリコン・エッチング装置または2300(商標)エッチング装置である。この反応器は、静電チャック154を含む基板サポート152を含んでいる反応チャンバ150を備えており、この静電チャック154はその上に取り付けられた基板(図示してない)に締め付け力を与える。フォーカスリング170が静電チャック154周辺の基板サポート152上に取り付けられている。この基板サポート152は、基板RFバイアスを印加するために使用することもできる。この基板はヘリウムなどの伝熱ガスを用いて背面冷却することもできる。この2300(商標)エッチング装置において、処理用ガス(たとえば、Cl、HBr、CF、CH、O、N、Ar、SFまたはNFのうちの1つまたは複数)は、チャンバ150の上面に配置され、ガス・フィード156に連結されたガス・インジェクタ168を経由して室150内に導入され。ガス・インジェクタ168は一般に石英またはアルミナなどのセラミック材料で作られている。図に示すように、誘導コイル158は適当な高周波(RF)源(図示してない)により電力を供給して高密度(たとえば、1011〜1012イオン/cm)プラズマを供給することができる。この誘導コイル158は、誘電窓160を通して高周波(RF)エネルギーをチャンバ150の内部に結合する。誘電窓160は一般に石英またはアルミナで作られている。この誘電窓160は環状部材162上に据えられた状態で示されている。この環状部材162は、誘電窓160とチャンバ150の上面の間隔をあけており、「ガス分配板」と呼ばれている。チャンバのライナー164が基板サポート152を囲んでいる。このチャンバ150は、チャンバの内部を所期の圧力に保持するための適切な真空ポンピング装置(図示してない)も備えている。
図3において、環状部材162、誘電窓160、基板サポート152、チャンバライナー164、ガス・インジェクタ168、フォーカスリング170および静電チャック154などの選択された反応器構成要素の内面が、本発明によるイットリア含有被膜166でコーティングされた状態で示されている。図3に示すように、チャンバ150の選択された内面およびチャンバライナー164の下の基板サポート152にもイットリア含有被膜166を設けることができる。これらの面のいずれかまたはすべて、ならびにその他任意の反応器内面にイットリア含有被膜を設けることができる。
この構成要素は、高密度酸化物エッチング法で使用することができる。例示的な酸化物エッチング反応器は、カリフォルニア州フリーモント市のLam Research社から入手可能なTCP9100(商標)プラズマ・エッチング反応器である。このTCP9100(商標)反応器では、ガス分配板は、半導体ウェーハ上部の、これと平行な平面上にある反応器の上面における真空封止面でもあるTCP(商標)窓の直下に配置された円形のプレートである。このガス分配板は、ガス分配板の周辺に配置されたガス分配リングに対して封止されている。ガス分配リングは、ガス分配板、RFエネルギーを反応器内に供給する平らなスパイラルコイルの形のアンテナの下にある窓の内面およびガス分配リングにより境界が定められる容積内にガス供給源からのガスを供給する。ガス分配板は、板を通って延びる所定の直径の複数の孔を含んでいる。ガス分配板全体の孔の空間的な分布は、エッチングされる層、たとえば、フォトレジスト層、二酸化ケイ素層およびウェーハ上の基層などの層のエッチングの均一性を最適化するように変えることができる。ガス分配板の断面形状を変えて反応器内プラズマへのRF電力の分配を操作することができる。このガス分配板は、ガス分配板を通してRF電力を反応器内に結合することを可能にする誘電材料である。さらに、破損および結果として生じるそれに関連したパーティクルの発生を避けるために、このガス分配板の材料が、酸素、ハロゲンまたはハイドロフルオロカーボンのガス・プラズマなどの環境で化学的スパッタ・エッチングに対して非常に耐性があることが望ましい。
図4は、前記タイプのプラズマ反応器を示している。この反応器は反応チャンバ10を備えている。基板ホルダ12が、基板13に締め付け力およびRFバイアスを与える静電チャック34を含んでいる。この基板はヘリウムなどの伝熱ガスを用いて背面冷却することができる。フォーカスリング14が基板上部の領域にプラズマを閉じ込めている。高密度プラズマを供給するために適当なRF源により電力を供給されるアンテナなどのチャンバ内の高密度(たとえば、1011〜1012イオン/cm)プラズマを維持するためのエネルギー源が反応チャンバ10の上面に配置されている。この反応チャンバは、チャンバの内部を所期の圧力(たとえば、50ミリトル以下、一般には1〜20ミリトル)に保持するための真空ポンピング装置を備えている。
実質的に平面の誘電窓20がアンテナ18と処理チャンバ10の内部の間に設けられ、処理チャンバ10の上面において真空壁を形成している。ガス分配板22が誘電窓20の下に設けられ、ガス供給23から処理チャンバ10へ送られる処理用ガスを分配する開口部を備えている。円錐状のライナーまたは円筒状のライナーなどのライナー30がガス分配板22から延び、基板ホルダ12を囲んでいる。アンテナ18には、入口および出口導管25,26を経由して温度制御流体を流す経路24を設けることができる。しかしながら、アンテナ18および/または窓20は冷却する必要がないか、さもなければ、アンテナおよび窓の上にガスを吹き付ける、冷却流体を通過させる、または窓および/またはガス分配板と伝熱接触するなどの他の適切な方法によって冷却することができる。
運転時においては、半導体ウェーハなどの基板が基板ホルダ12の上に位置し静電チャック34によって所定箇所に保持される。しかしながら、機械的な締め付け機構などの他の締め付け手段を使用することもできる。さらに、基板とチャックとの間の熱移動を向上するためにヘリウムによる背面冷却を用いることができる。処理用ガスはその後、窓20とガス分配板22の間の隙間を通して処理用ガスを送ることにより真空処理チャンバ10に供給される。好適なガス分配板の構成(すなわち、シャワーヘッド)が、参照により全体がそれぞれ本明細書組み込まれている一般に認められている米国特許第5824605号、第6048798号および第5863376号に開示されている。高密度プラズマは、適切なRF電力をアンテナ18に供給することにより基板と窓の間の空間で点火される。
図4において、ガス分配板22、チャンバライナー30、静電チャック34およびプラズマフォーカスリング14などの反応器構成要素のさらされる内面は、イットリア含有被膜32でコーティングされる。しかしながら、これらの面、および/またはその他の面のうち選択された面だけをイットリア含有被膜でコーティングすることができる。
上記の高密度ポリシリコンおよび誘電エッチングチャンバが、各部品を組み込むことができるプラズマ・エッチング反応器の例示的実施形態に過ぎないことを当業者は了解するであろう。イットリア含有被膜を備える部品は、プラズマにより引き起こされた浸食、腐食および/または腐食−浸食およびそれに伴う汚染の低減が望まれるあらゆるエッチング反応器(たとえば、金属製エッチング反応器)または他のタイプの半導体処理装置で使用することができる。
イットリア含有被膜を設けることができる他の例示的部品として、これに限定するものではないがチャンバ壁、基板ホルダ、留め具などがあげられる。これらの部品は一般に金属(たとえば、アルミニウム)またはセラミック(たとえば、アルミナ)から作られ、一般にプラズマにさらされてしばしば浸食、腐食および/または腐食−浸食の兆候を示す。イットリア含有被膜で被覆することができるその他の部品はプラズマに直接さらされる必要はないが、代わりに処理されたウェーハなどから放出されるガスなどの腐食性ガスにさらされる可能性がある。したがって、半導体基板の処理において使用される他の機器にイットリア含有被膜を設けることもできる。このような機器として搬送機構、ガス供給システム、ライナー、昇降機構、ロード・ロック、ドア機構、ロボット・アーム、留め具などをあげることができる。
好ましい実施形態では、イットリア含有被膜が金属構成要素上に設けられている。上記のように、アルミニウムおよびアルミニウム合金を含め、陽極酸化されたまたは陽極酸化されてないアルミニウム・ベースの材料、たとえば、6061−T6アルミニウムは、イットリア含有被膜でコーティングすることができる。コーティングすることのできる他の例示的金属材料として、これに限定するものではないがステンレス鋼および耐熱性金属、たとえば、304および306ステンレス鋼があげられる。イットリア含有被膜は構成要素の上に耐摩耗性被膜を形成するので、下にある構成要素はプラズマへの直接的曝露から保護される。したがって金属製構成要素は、プラズマによる浸食、腐食および/または腐食−浸食の攻撃から保護することができる。その結果、合金の追加、結晶粒組織または表面状態を気にせずにアルミニウム合金などの金属製材料を使用することができる。
さらに、種々のセラミックまたはポリマー材料をイットリア含有被膜でコーティングすることができる。特に、反応器部構成要素は、これに限定するものではないが、アルミナ(Al)、炭化珪素(SiC)、窒化珪素(Si)、炭化ホウ素(BC)および/または窒化ホウ素(BN)を含むセラミック材料から作ることができる。コーティングすることができるポリマー材料は、プラズマ反応器内に存在する高温条件に耐えられるものであることが好ましい。
必要であれば、コーティングされた構成要素の表面とイットリア含有被膜の間に1つまたは複数の中間層を設けることができる。図5は、例示的な好ましい実施形態によるコーティングされた構成要素を示す。任意選択で第1の中間被膜80が、従来の手法によって下地70上にコーティングされている。この任意選択の第1の中間被膜80は下地に付着するのに十分な厚さであり、かつ任意選択の第2の中間被膜90、またはイットリア含有被膜100を形成する前にさらにこの第1の中間被膜を処理することを可能にするのに十分な厚さである。第1の中間被膜80および第2の中間被膜90は、これらの望ましい性質をもたらす任意の適切な厚さを有することができる。これらの被膜は少なくとも約0.001インチ、好ましくは約0.001から約0.25インチ、より好ましくは約0.001から約0.15インチ、最も好ましくは約0.001から約0.05インチの厚さを有することができる。
任意選択の第1の中間被膜80を反応器構成要素70上に被着させた後、第1の中間被膜は任意の適切な方法を用いて粗面化するなどの処理を施し、その後任意選択の第2の中間被膜90、またはイットリア含有被膜100でコーティングすることができる。粗面化された第1の中間被膜80は、その後に施される被膜に対する特に良好な結合をもたらす。第2の中間被膜90は、第1の中間被膜80に高い機械的圧縮強度を付与し、第2の中間被膜90内の亀裂の生成を低減することが望ましい。
第2の中間被膜90は、第1の中間被膜80に付着するのに十分な厚さであり、かつ任意の追加の中間被膜、またはイットリア含有被膜100を形成する前にこの第2の中間被膜を処理することを可能にするのに十分な厚さである。この第2の中間被膜90は、粗面化するなどの処理を施すことができる。第2の中間被膜90は、これらの望ましい性質をもたらす任意の適切な厚さ、たとえば、少なくとも約0.001インチ、好ましくは約0.001から約0.25インチ、より好ましくは約0.001から約0.15インチ、最も好ましくは約0.001から約0.05インチの厚さを有することができる。
第1および第2の中間被膜は、半導体プラズマ処理室で使用するのに適したどのような金属、セラミックおよびポリマー材料で作ることもできる。使用することができる特に望ましい材料としては、これに限定するものではないが、高い処理温度に耐えられる耐火金属があげられる。好ましいセラミックとしては、これに限定するものではないがAl、SiC、Si、BC、AlN、TiOおよびこれらの混合物があげられる。好ましいポリマーとしては、これに限定するものではないがポリテトラフルオロエチレンおよびポリイミド類などのフルオロポリマーがあげられる。
各中間被膜は、めっき(たとえば、無電解めっきまたは電気めっき)、スパッタリング、浸漬コーティング、化学気相堆積法、物理気相堆積法、電着堆積法、熱間静水圧圧縮成形、冷間静水圧圧縮成形、圧縮成形、鋳造、成形および焼結、および溶射(たとえば、プラズマ溶射)などの任意の適切な被着手法により施すことができる。
任意選択の第1の中間被膜80および第2の中間被膜90は、それらの所望の性質に応じてお互いに同じ組成を有することもまたは異なる組成を有することもできる。必要であれば、同一材料または異なる材料の第3、第4または第5の中間被膜などのその他の中間被膜をイットリア含有被膜と下地の間に設けることもできる。
図6は、イットリア含有被膜の別の模範的実施形態を示す。この実施形態において、構成要素70の外側の面であるイットリア含有被膜100は下地の上に直接被着される(すなわち、どのような中間層も形成されてない)。この実施形態および他の実施形態において、この被膜100は任意の適当な厚さを有することができる。イットリア含有被膜100は、少なくとも下にある下地を覆うのに十分な最小限の厚さを有して耐摩耗性を実現し、イットリア含有被膜がプラズマ雰囲気にさらされた場合に、下にある下地を物理的および化学的攻撃から保護する。特に、この被膜100は、約0.001インチから約1インチ、好ましくは約0.001インチから約0.5インチ、より好ましくは約0.001インチから約0.1インチ、最も好ましくは約0.01インチから約0.1インチの範囲の厚さを有することができる。この厚さは他の実施形態で使用することもできる。イットリア含有被膜の厚さは、反応器で遭遇するプラズマ環境(たとえば、エッチング、CVDなど)に対応するように選択することができる。
イットリア含有被膜は反応チャンバおよび各構成要素のすべてまたは一部に設けることができる。好ましい実施形態では、被膜は、プラズマと直接接触する部分、またはライナーなどのチャンバ構成要素の後に配置される部分などの、プラズマ環境にさらされる反応チャンバの領域上に設けられる。さらに、このイットリア含有被膜は、比較的高いバイアス電圧(すなわち、比較的高いスパッタ・イオン・エネルギー)をかけられる反応チャンバの領域に設けられることが好ましい。
イットリア含有被膜を施すことにより、利点が実現される。すなわち、イットリア含有被膜はすべてのプラズマ化学作用に対して使用することができる。この被膜は、陽極酸化されたアルミニウムに対して非常に浸食性があるフッ素含有およびBCl含有雰囲気で使用するのに有利である。このような雰囲気でイットリア含有被膜を使用することにより、プラズマ反応チャンバ内で浸食速度の大幅な低減を達成することができる。
プラズマ環境中で耐浸食性の表面を形成する場合のイットリア含有被膜の有効性を実証するために試験を行った。3つの陽極酸化された6061−T6アルミニウムの切取り片および陽極酸化された6061−T6アルミニウム切取り片の表面上に溶射イットリア含有被膜を形成することによってそれぞれ作成された3つの切取り片をプラズマ反応チャンバ内のチャンバ壁に取り付けた。イットリア含有被膜はイットリアが約99.95重量%の組成を有する。切取り片はそれぞれ直径が1インチ、厚さが0.375インチである。各切取り片はチャンバ壁に取り付けられた。プラズマはClおよびBClを含む処理用ガスから等しい流量で発生され、チャンバの内部は6ミリトルの圧力に設定された。むき出しのシリコン・ウェーハが反応チャンバ内でエッチングされた。各切取り片は合計で約90RF時間試験を行った。
試験後に、黄色の微粉が反応室に染み込んでいた。この微粉はEDS分析を用いて分析し、主にホウ素、酸素および塩素を含んでいることがわかった。
分析天秤を使用して各切取り片を評価して切取り片の質量損失を求め、また浸食速度の推定値についても評価して求めた。イットリア含有被膜の無い陽極酸化された6061−T6アルミニウムの切取り片は、損失が約15mgから20mgであることが求められた。したがって、処理用ガスはこれらのコーティングされてない切取り片に対して非常に浸食性がある。
これと対照的に、イットリア含有被膜を有する3つの切取り片は、被膜上への微粉の蓄積により重量が増加した。3つのコーティングされた切取り片の重量増加は約0.8mgから約1.2mgの範囲であった。3つのコーティングされた切取り片の重量増加速度は約0.009mg/RF時間から約0.013mg/RF時間の範囲であった。
浸食速度の推定に関して、著しい重量損失によって証明されたように、イットリア含有被膜の無い陽極酸化された6061−T6アルミニウムの切取り片は著しく浸食していた。これらの切取り片は、約20Å/RF分から27Å/RF分の平均推定浸食速度を有することが求められた。対照的に、イットリア含有被膜を有する3つの切取り片は浸食されなかった。
さらに、アルミニウム構成要素はフッ素による攻撃から保護されるため、コーティングされた構成要素がプラズマ反応チャンバ内のフッ素含有処理用ガス雰囲気中で使用される場合は、イットリア含有被膜がフッ化アルミニウムの形成を最小限にすることができ、あるいは防止することさえできる。
本質的にイットリアから成る溶射イットリア含有被膜を備えた構成要素をプラズマ反応チャンバ内に設けることによって、プラズマ反応チャンバ内でエッチングを行うときのウェーハの汚染を低減することを実証するための試験も実施した。試験は、イットリア含有被膜で完全に内部に封入されたチャンバライナー、イットリア含有被膜でコーティングされた接地リング、イットリア含有被膜でコーティングされた接地リングねじキャップおよび反応チャンバ内の石英窓を備えた9400DFMプラズマ反応器で実施された。
反応チャンバは、6%の水+イソプロピルアルコールによる湿式清浄ふき取りを含む方法、および6個の酸化物ウェーハおよび次のプロセス・パラメータ、すなわち、チャンバ圧力15ミリトル/上部コイル電力800ワット/底部電極電力0ワット/SF100sccm/Cl20sccm/O50sccm/He背面冷却圧力8トル/エッチング時間300秒、を用いる湿式清浄回収方法により準備した。反応チャンバのコンディショニングは、10個のむき出しのシリコン・ウェーハを使用し、以下のプロセス・パラメータで行った。すなわち、ブレークスルーエッチングは、チャンバ圧力4ミリトル/上部コイル電力600ワット/底部電極電力65ワット/HBr100sccm/エッチング時間10秒、およびメイン・エッチングは、チャンバ圧力6ミリトル/上部コイル電力350ワット/底部電極電力20ワット/HBr80sccm/エッチング時間65秒、およびオーバー・エッチングは、室圧力80ミリトル/上部コイル電力350ワット/底部電極電力75ワット/HBr150sccm/He150sccm/O5sccm/エッチング時間90秒である。ウェーハごとにウェーハ無しの高圧自動洗浄法を使用した。
ウェーハの汚染は以下の方法で測定した。AlkÅ熱酸化物ウェーハは以下のプロセス・パラメータでエッチングした。すなわち、ブレークスルーエッチングは、チャンバ圧力4ミリトル/上部コイル電力600ワット/底部電極電力65ワット/HBr100sccm/エッチング時間10秒、およびメイン・エッチングは、チャンバ圧力6ミリトル/上部コイル電力350ワット/底部電極電力20ワット/HBr180sccm/エッチング時間65秒、およびオーバー・エッチングは、チャンバ圧力80ミリトル/上部コイル電力350ワット/底部電極電力75ワット/HBr150sccm/He150sccm/O5sccm/エッチング時間90秒である。シリコン・ウェーハは次のプロセス・パラメータ、すなわち、チャンバ圧力5ミリトル/上部コイル電力250ワット/底部電極電力150ワット/Cl50sccm/エッチング時間120秒を用いてエッチングした。エッチングの後、ICP−MSを用いて熱酸化物ウェーハおよび主要シリコン・ウェーハの汚染を分析した。
熱酸化物ウェーハおよびむき出しのシリコン・ウェーハが反応チャンバ内に置かれ、イットリア含有被膜を備える構成要素が反応チャンバ内にある場合と無い場合について上記のプロセス・パラメータを使用してエッチングされた。反応チャンバ内にイットリア含有被膜を含まない構成要素に関する試験の間は、反応チャンバ内の構成要素のかなりの量の陽極酸化されたアルミニウムが試験中にプラズマにさらされた。エッチングに続いて、各ウェーハについてAl、Cr、Cu、Fe、Ni、NaおよびYの表面濃度が1010原子/cm単位で測定された。反応チャンバ内に入れられなかった制御酸化物ウェーハも分析されて、エッチングされたウェーハについて測定された汚染レベルが反応チャンバから発生したものであることが確認された。
図7は、ウェーハの汚染分析の結果を示す。「コーティングされた」とは、反応チャンバ内にイットリアでコーティングされた構成要素が存在していたことを意味し、「コーティングされてない」とは、イットリアでコーティングされた構成要素が存在していなかったことを意味する。同一のウェーハのタイプ、すなわち、熱酸化物ウェーハとむき出しのシリコン・ウェーハの試験結果を比較すると、試験結果では、元素ごとのベースで、イットリアでコーティングされた構成要素を含む反応チャンバの結果は、プラズマにさらされた陽極酸化されたアルミニウム表面を構成要素上に含む反応チャンバの場合よりも著しく低いことが示されている。たとえば、「コーティングされてない」むき出しのシリコン・ウェーハについて測定されたアルミニウム濃度は約87×1010原子/cmであり、一方、「コーティングされた」むき出しのシリコン・ウェーハについて測定されたアルミニウム濃度は約12×1010原子/cmであった。また、「コーティングされてない」むき出しのシリコン・ウェーハについて測定されたクロム濃度は約7×1010原子/cmであり、一方、「コーティングされた」むき出しのシリコン・ウェーハについて測定されたクロム濃度は、測定装置の検出限界である約10原子/cmであった。熱酸化物ウェーハの場合は、1010原子/cm単位で以下の結果、Al:「コーティングされてない」2000、「コーティングされた」480、Cu:「コーティングされてない」15、「コーティングされた」4、Fe:「コーティングされてない」72、「コーティングされた」280、Ni:「コーティングされてない」10、「コーティングされた」2が得られた。試験の後で、試験中にFe汚染源が存在していて、これがウェーハ中のFeレベルを増加させたことが確認された。
図7にも示すように、イットリアのレベルはテストしたウェーハすべてに対して有意でなかった。むき出しのシリコン・ウェーハの場合、測定装置の検出限界以上のイットリアは全く検出されなかった。この結果はプラズマ環境におけるイットリア含有被膜の強靭な性質を実証している。その結果、イットリア含有被膜は非常に低いレベルのウェーハ上イットリア汚染を達成することができる。
したがって、上記のテスト結果によれば、プラズマ環境にさらされた構成要素の浸食速度の著しい低減をイットリア含有被膜により達成することができることが実証されている。結果として、イットリア含有被膜は、イットリアならびにイットリアでコーティングされた部品を形成するその他の元素による、プラズマ・エッチング反応器内に含まれた半導体基板の汚染を最小限にすることができる。
イットリア含有被膜は極度に硬い、耐摩耗性の表面を提供することができる。このような被膜は処理チャンバガスと反応する物質が無くかつ化学的に不活性で、そのため微粒子汚染が少ないか全く無く、腐食が最小であるか全く無く、金属汚染が最小であるか全く無くかつ/または揮発性のエッチング生成物が最小であるか全く無いことが望ましい。それゆえ、イットリア含有被膜は、金属および微粒子の汚染のレベルを減少させ、消耗品の寿命を増加させて費用を下げ、工程のふらつきを減少させ、室部品および下地の腐食レベルを低減することができる。
本発明の特定の実施形態について詳細に述べたが、当業者には、添付の請求項の範囲を逸脱することなく数多の変形および変更形態が可能であり、同等のものを用いることができることが明白であろう。

Claims (34)

  1. 半導体処理装置の構成要素であって、
    表面を含む下地と、
    前記表面上に配置されたイットリアから本質的に成る溶射被膜とを備え、
    前記溶射被膜は、前記構成要素の最も外側の面を含むことを特徴とする半導体処理装置の構成要素。
  2. 前記被膜は、前記被膜と前記下地の表面との間に他の材料の中間層が配置されることなく前記下地の表面上に直接配置されていることを特徴とする請求項1に記載の構成要素。
  3. 前記下地の表面は、陽極酸化されたアルミニウム、アルミナおよび石英から成る群から選択される材料で作られることを特徴とする請求項1に記載の構成要素。
  4. チャンバ壁であることを特徴とする請求項1に記載の構成要素。
  5. チャンバ壁、チャンバライナー、ガス分配板、ガス・リング、基台、誘電窓、静電チャックおよびプラズマフォーカスリングから成る群から選択される少なくとも1つの構成要素であることを特徴とする請求項1に記載の構成要素。
  6. 前記被膜は約0.001インチから約0.1インチの厚さを有することを特徴とする請求項1に記載の構成要素。
  7. 前記下地の表面と前記被膜の間に少なくとも1つの中間層をさらに備えることを特徴とする請求項1に記載の構成要素。
  8. 前記被膜は、被膜上のポリマー堆積物の付着性を促進するのに効果的な算術平均表面粗さ(Ra)を有することを特徴とする請求項1に記載の構成要素。
  9. 前記被膜は、約120ミクロインチから約250ミクロインチの算術平均表面粗さ(Ra)を有することを特徴とする請求項8に記載の構成要素。
  10. 半導体処理装置のチャンバ壁であって、
    表面を含む陽極酸化されたアルミニウムと、
    前記表面上に直接配置されたイットリアから本質的に成る溶射被膜とを備え、
    前記溶射被膜は、構成要素の最も外側の面を含むことを特徴とする半導体処理装置のチャンバ壁。
  11. 前記被膜は、ポリマー堆積物の付着性を促進する算術平均表面粗さ(Ra)を有することを特徴とする請求項10に記載のチャンバ壁。
  12. プラズマ・エッチング反応器であって、
    少なくとも1つの構成要素を備え、前記構成要素は、
    表面を含む下地と、
    前記表面上に配置されたイットリアから本質的に成る溶射皮膜とを備え、
    前記溶射皮膜は、構成要素の最も外側の面を含むことを特徴とするプラズマ・エッチング反応器。
  13. 前記下地の表面は陽極酸化されたアルミニウムであり、前記被膜は、前記被膜と前記下地の表面との間に他の材料の中間層が配置されることなく前記下地の表面上に直接あることを特徴とする請求項12に記載のプラズマ・エッチング反応器。
  14. 前記構成要素は、チャンバ壁および高周波エネルギーを誘導によって反応器内に結合するプラズマ発生源を備えるプラズマ・エッチング反応器であることを特徴とする請求項13に記載のプラズマ・エッチング反応器。
  15. 前記被膜は、被膜上のポリマー堆積物の付着性を促進するのに効果的な算術平均表面粗さ(Ra)を有することを特徴とする請求項12に記載のプラズマ・エッチング反応器。
  16. 半導体処理装置の構成要素の製造方法であって、
    イットリアから本質的に成る皮膜を溶射によって下地の表面上に施すことを含み、前記皮膜が前記構成要素の最も外側の面を含むことを含む半導体処理装置の構成要素の製造方法。
  17. 前記被膜は前記下地の表面上に直接施されることを特徴とする請求項16に記載の方法。
  18. 前記下地の表面は、陽極酸化されたアルミニウム、アルミナおよび石英から成る群から選択される材料で作られることを特徴とする請求項16記載の方法。
  19. 前記被膜は、ポリマー堆積物の付着性を促進するのに効果的な算術平均表面粗さ(Ra)を有するように形成されることを特徴とする請求項16に記載の方法。
  20. 半導体基板のエッチング方法であって、
    表面を有する下地と、前記表面上に配置されたイットリアから本質的に成り構成要素の最も外側の面を含む溶射被膜とを備えた少なくとも1つの構成要素を含むプラズマ・エッチング反応器のチャンバ内に半導体基板を置くことと、
    前記室内に処理用ガスを導入することと、
    前記処理用ガスからプラズマを発生することと、
    前記半導体基板を前記プラズマでエッチングすることとを含み、
    前記被膜はエッチング時に前記プラズマにさらされることを特徴とする半導体基板のエッチング方法。
  21. 前記プラズマは、高周波エネルギーを誘導によってチャンバ内に結合することによって発生されることを特徴とする請求項20に記載の方法。
  22. 前記半導体基板は、単結晶シリコン、多結晶シリコン、非晶質シリコン、窒化珪素、酸窒化珪素、ケイ化物、二酸化珪素、低k材料および高k材料から成る群から選択される少なくとも1つのシリコン含有材料を含むことを特徴とする請求項20に記載の方法。
  23. 前記半導体基板は、アルミニウム、アルミニウム合金、タングステン、タングステン合金、チタン、チタン合金、タンタル、タンタル合金、白金、白金合金、ルテニウム、ルテニウム合金、クロム、クロム合金、鉄、鉄合金、ニッケル、ニッケル合金、コバルト、コバルト合金、モリブデン、モリブデン合金、チタン、タングステン、クロム、コバルトおよび/またはモリブデンのケイ化物、強誘電体材料およびGMR材料から成る群から選択される少なくとも1つの金属含有材料を含むことを特徴とする請求項20に記載の方法。
  24. 前記被膜は、エッチング時に被膜上のポリマー堆積物の付着性を促進する算術平均表面粗さ(Ra)を有することを特徴とする請求項20に記載の方法。
  25. プラズマ・エッチング反応器内で半導体ウェーハをエッチングする際に前記プラズマ・エッチング反応器のチャンバ内の構成要素の浸食による半導体ウェーハの汚染を低減する方法であって、
    所定材料を含んで構成され表面を有する下地と、前記表面上に配置されたイットリアから本質的に成り構成要素の最も外側の面を含む溶射被膜とを備えた少なくとも1つの構成要素を含むプラズマ・エッチング反応器のチャンバ内に半導体基板を置くことと、
    前記下地材料に対して浸食性がある処理用ガスを前記室内に導入することと、
    前記処理用ガスからプラズマを発生することと、
    前記被膜を前記プラズマにさらしながら前記半導体基板を前記プラズマでエッチングすることとを含み、
    前記被膜は、エッチング時の下地材料およびイットリアによる半導体ウェーハの汚染を最小限に抑えることを特徴とする方法。
  26. 前記下地はアルミニウムまたはその合金を含むことを特徴とする請求項25に記載の方法。
  27. イットリアによる半導体ウェーハの汚染レベルが1010原子/cm未満であることを特徴とする請求項25に記載の方法。
  28. 前記構成要素の表面は陽極酸化されたアルミニウムであり、前記被膜は陽極酸化されたアルミニウム上に直接あることを特徴とする請求項25に記載の方法。
  29. 前記半導体ウェーハは少なくとも1つのシリコン含有材料を含み、前記処理用ガスはフッ素を含むことを特徴とする請求項25に記載の方法。
  30. 前記シリコン含有材料は、単結晶シリコン、多結晶シリコン、非晶質シリコン、窒化珪素、酸窒化珪素、珪素化合物、二酸化珪素、低k材料および高k材料から成る群から選択されることを特徴とする請求項29に記載の方法。
  31. 前記半導体ウェーハは少なくとも1つの金属含有材料を含み、前記処理用ガスはBClを含むことを特徴とする請求項25に記載の方法。
  32. 前記金属含有材料は、アルミニウム、アルミニウム合金、タングステン、タングステン合金、チタン、チタン合金、タンタル、タンタル合金、白金、白金合金、ルテニウム、ルテニウム合金、クロム、クロム合金、鉄、鉄合金、ニッケル、ニッケル合金、コバルト、コバルト合金、モリブデン、モリブデン合金、チタン、タングステン、クロム、コバルトおよび/またはモリブデンのケイ化物、強誘電体材料およびGMR材料から成る群から選択されることを特徴とする請求項31に記載の方法。
  33. 前記半導体ウェーハは珪素を含み、前記処理用ガスは臭素を含むことを特徴とする請求項25に記載の方法。
  34. 前記処理用ガスはBClを含み、前記被膜はエッチング時にプラズマによって浸食されないことを特徴とする請求項25に記載の方法。
JP2010153473A 2002-06-27 2010-07-05 生産性を向上するプラズマ反応器用溶射イットリア含有被膜 Pending JP2010283361A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/180,504 US7311797B2 (en) 2002-06-27 2002-06-27 Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2004517642A Division JP2005531157A (ja) 2002-06-27 2003-06-12 生産性を向上するプラズマ反応器用溶射イットリア含有被膜

Publications (1)

Publication Number Publication Date
JP2010283361A true JP2010283361A (ja) 2010-12-16

Family

ID=29778939

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2004517642A Pending JP2005531157A (ja) 2002-06-27 2003-06-12 生産性を向上するプラズマ反応器用溶射イットリア含有被膜
JP2010153473A Pending JP2010283361A (ja) 2002-06-27 2010-07-05 生産性を向上するプラズマ反応器用溶射イットリア含有被膜

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2004517642A Pending JP2005531157A (ja) 2002-06-27 2003-06-12 生産性を向上するプラズマ反応器用溶射イットリア含有被膜

Country Status (9)

Country Link
US (2) US7311797B2 (ja)
EP (1) EP1518255B1 (ja)
JP (2) JP2005531157A (ja)
KR (2) KR101030935B1 (ja)
CN (1) CN1663017A (ja)
AT (1) ATE545148T1 (ja)
AU (1) AU2003238006A1 (ja)
TW (1) TWI328411B (ja)
WO (1) WO2004003962A2 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013176168A1 (ja) * 2012-05-22 2013-11-28 株式会社東芝 プラズマ処理装置用部品およびプラズマ処理装置用部品の製造方法
KR20170054278A (ko) 2015-11-09 2017-05-17 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치용 부재 및 플라즈마 처리 장치
JP2020065058A (ja) * 2014-04-25 2020-04-23 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 希土類酸化物のイオンアシスト蒸着トップコート
JP2021509770A (ja) * 2018-01-08 2021-04-01 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理副生成物を管理するための構成要素および処理

Families Citing this family (212)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US6805952B2 (en) * 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
EP1375118A4 (en) * 2001-03-29 2004-09-01 Bridgestone Corp TAPE RUBBER, METHOD AND APPARATUS FOR MANUFACTURING A TIRE, AND TIRE ELEMENT USING TAPE RUBBER
US7371467B2 (en) * 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US8067067B2 (en) * 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7204912B2 (en) 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US6798519B2 (en) * 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
CN1249789C (zh) 2002-11-28 2006-04-05 东京毅力科创株式会社 等离子体处理容器内部件
US20060226003A1 (en) * 2003-01-22 2006-10-12 John Mize Apparatus and methods for ionized deposition of a film or thin layer
CN100418187C (zh) * 2003-02-07 2008-09-10 东京毅力科创株式会社 等离子体处理装置、环形部件和等离子体处理方法
EP1465468B1 (en) * 2003-03-31 2007-11-14 SANYO ELECTRIC Co., Ltd. Metal mask and method of printing lead-free solder paste using same
CN100495413C (zh) * 2003-03-31 2009-06-03 东京毅力科创株式会社 用于邻接在处理元件上的相邻覆层的方法
US7297247B2 (en) * 2003-05-06 2007-11-20 Applied Materials, Inc. Electroformed sputtering target
US6843870B1 (en) * 2003-07-22 2005-01-18 Epic Biosonics Inc. Implantable electrical cable and method of making
US7220497B2 (en) * 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US8213467B2 (en) 2004-04-08 2012-07-03 Sonosite, Inc. Systems and methods providing ASICs for use in multiple applications
TWI291713B (en) * 2004-04-13 2007-12-21 Applied Materials Inc Process chamber component having electroplated yttrium containing coating
US7119032B2 (en) 2004-08-23 2006-10-10 Air Products And Chemicals, Inc. Method to protect internal components of semiconductor processing equipment using layered superlattice materials
CN101018885B (zh) * 2004-08-24 2010-07-14 圣戈本陶瓷及塑料股份有限公司 半导体加工部件及用该部件进行的半导体加工
JP2006186306A (ja) * 2004-09-30 2006-07-13 Toshiba Ceramics Co Ltd ガス拡散プレートおよびその製造方法
US7226869B2 (en) * 2004-10-29 2007-06-05 Lam Research Corporation Methods for protecting silicon or silicon carbide electrode surfaces from morphological modification during plasma etch processing
WO2006064898A1 (ja) * 2004-12-17 2006-06-22 Tokyo Electron Limited プラズマ処理装置
US9659758B2 (en) 2005-03-22 2017-05-23 Honeywell International Inc. Coils utilized in vapor deposition applications and methods of production
JP2006319043A (ja) * 2005-05-11 2006-11-24 Hitachi High-Technologies Corp プラズマ処理装置
US8124240B2 (en) * 2005-06-17 2012-02-28 Tohoku University Protective film structure of metal member, metal component employing protective film structure, and equipment for producing semiconductor or flat-plate display employing protective film structure
US20090194233A1 (en) * 2005-06-23 2009-08-06 Tokyo Electron Limited Component for semicondutor processing apparatus and manufacturing method thereof
JP4813115B2 (ja) * 2005-07-14 2011-11-09 国立大学法人東北大学 半導体製造装置用部材及びその洗浄方法
US7976641B1 (en) 2005-09-30 2011-07-12 Lam Research Corporation Extending storage time of removed plasma chamber components prior to cleaning thereof
WO2007055185A1 (ja) * 2005-11-08 2007-05-18 Tohoku University シャワープレート及びシャワープレートを用いたプラズマ処理装置
US20070108161A1 (en) * 2005-11-17 2007-05-17 Applied Materials, Inc. Chamber components with polymer coatings and methods of manufacture
JP4856978B2 (ja) * 2006-02-21 2012-01-18 株式会社日立ハイテクノロジーズ プラズマエッチング装置及び処理室の内壁の形成方法
US20070215278A1 (en) * 2006-03-06 2007-09-20 Muneo Furuse Plasma etching apparatus and method for forming inner wall of plasma processing chamber
JP5014656B2 (ja) * 2006-03-27 2012-08-29 国立大学法人東北大学 プラズマ処理装置用部材およびその製造方法
US7906032B2 (en) * 2006-03-31 2011-03-15 Tokyo Electron Limited Method for conditioning a process chamber
US20070246795A1 (en) * 2006-04-20 2007-10-25 Micron Technology, Inc. Dual depth shallow trench isolation and methods to form same
US7655328B2 (en) * 2006-04-20 2010-02-02 Shin-Etsu Chemical Co., Ltd. Conductive, plasma-resistant member
US7884026B2 (en) * 2006-07-20 2011-02-08 United Microelectronics Corp. Method of fabricating dual damascene structure
US20080029032A1 (en) * 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
CN101123214B (zh) * 2006-08-07 2011-03-16 联华电子股份有限公司 双镶嵌结构的制作方法
JPWO2008032627A1 (ja) * 2006-09-11 2010-01-21 株式会社アルバック ドライエッチング方法
US7723648B2 (en) * 2006-09-25 2010-05-25 Tokyo Electron Limited Temperature controlled substrate holder with non-uniform insulation layer for a substrate processing system
US7838800B2 (en) * 2006-09-25 2010-11-23 Tokyo Electron Limited Temperature controlled substrate holder having erosion resistant insulating layer for a substrate processing system
JP2008103403A (ja) * 2006-10-17 2008-05-01 Tokyo Electron Ltd 基板載置台及びプラズマ処理装置
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US8097105B2 (en) * 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
US20080196661A1 (en) * 2007-02-20 2008-08-21 Brian West Plasma sprayed deposition ring isolator
US7718559B2 (en) * 2007-04-20 2010-05-18 Applied Materials, Inc. Erosion resistance enhanced quartz used in plasma etch chamber
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
JPWO2008140012A1 (ja) * 2007-05-11 2010-08-05 株式会社アルバック ドライエッチング装置及びドライエッチング方法
US20080289766A1 (en) * 2007-05-22 2008-11-27 Samsung Austin Semiconductor Lp Hot edge ring apparatus and method for increased etch rate uniformity and reduced polymer buildup
US8622021B2 (en) * 2007-10-31 2014-01-07 Lam Research Corporation High lifetime consumable silicon nitride-silicon dioxide plasma processing components
US8129029B2 (en) * 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
US20090214825A1 (en) * 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
JP2009212293A (ja) * 2008-03-04 2009-09-17 Tokyo Electron Ltd 基板処理装置用の部品及び基板処理装置
US20090261065A1 (en) * 2008-04-18 2009-10-22 Lam Research Corporation Components for use in a plasma chamber having reduced particle generation and method of making
US8120137B2 (en) * 2008-05-08 2012-02-21 Micron Technology, Inc. Isolation trench structure
CN101577211B (zh) * 2008-05-09 2011-07-20 中微半导体设备(上海)有限公司 抗等离子体腐蚀的反应室部件、其制造方法以及包含该部件的等离子体反应室
JP5390166B2 (ja) * 2008-10-30 2014-01-15 株式会社日本セラテック 耐食性部材
JP5390167B2 (ja) * 2008-10-30 2014-01-15 株式会社日本セラテック 耐食性部材
US8206829B2 (en) * 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
TWI456679B (zh) * 2009-03-27 2014-10-11 Advanced Micro Fab Equip Inc 抗電漿腐蝕之反應室部件、其製造方法以及包含該部件之電漿反應室
JP5837733B2 (ja) * 2009-04-24 2015-12-24 国立大学法人東北大学 水分発生用反応炉
CN102414801A (zh) * 2009-08-27 2012-04-11 应用材料公司 在原位腔室清洁后的处理腔室去污方法
US20110206833A1 (en) * 2010-02-22 2011-08-25 Lam Research Corporation Extension electrode of plasma bevel etching apparatus and method of manufacture thereof
CN102296263B (zh) * 2010-06-25 2013-04-24 中国科学院微电子研究所 等离子体刻蚀工艺腔室内表面的改性处理方法
KR101487342B1 (ko) 2010-07-30 2015-01-30 주식회사 잉크테크 투명 도전막의 제조방법 및 이에 의해 제조된 투명 도전막
JP5606821B2 (ja) * 2010-08-04 2014-10-15 東京エレクトロン株式会社 プラズマ処理装置
JP5389282B2 (ja) * 2010-08-12 2014-01-15 株式会社東芝 ガス供給部材、プラズマ処理装置およびイットリア含有膜の形成方法
JP5198611B2 (ja) * 2010-08-12 2013-05-15 株式会社東芝 ガス供給部材、プラズマ処理装置およびイットリア含有膜の形成方法
US20120052216A1 (en) * 2010-08-27 2012-03-01 Applied Materials, Inc. Gas distribution showerhead with high emissivity surface
KR101108692B1 (ko) * 2010-09-06 2012-01-25 한국기계연구원 다공성 세라믹 표면을 밀봉하는 치밀한 희토류 금속 산화물 코팅막 및 이의 제조방법
CN102456564A (zh) * 2010-10-29 2012-05-16 中芯国际集成电路制造(上海)有限公司 用于刻蚀腔的变压耦合式等离子体窗及包括其的刻蚀腔
DE202011002844U1 (de) 2011-02-17 2011-06-09 LAM RESEARCH CORPORATION (Delaware Corporation), California Erweiterungselektrode einer Plasmaschrägkantenätzvorrichtung
JP2012221979A (ja) * 2011-04-04 2012-11-12 Toshiba Corp プラズマ処理装置
JP2014522916A (ja) 2011-08-10 2014-09-08 インテグリス・インコーポレーテッド 任意のイットリア被覆層を有するAlONコーティングされた基体
US20130102156A1 (en) * 2011-10-21 2013-04-25 Lam Research Corporation Components of plasma processing chambers having textured plasma resistant coatings
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9090046B2 (en) * 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
JP2015521102A (ja) * 2012-05-10 2015-07-27 ザ ユニバーシティ オブ コネチカット 触媒膜を作成する方法及び装置
KR101466967B1 (ko) 2012-06-13 2014-12-15 한국과학기술연구원 내식성이 향상된 다성분계 열용사용 코팅물질, 그 제조방법 및 코팅방법
US20140007901A1 (en) * 2012-07-06 2014-01-09 Jack Chen Methods and apparatus for bevel edge cleaning in a plasma processing system
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
CN103794460B (zh) * 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于半导体装置性能改善的涂层
CN103794458B (zh) * 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于等离子体处理腔室内部的部件及制造方法
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US9385018B2 (en) 2013-01-07 2016-07-05 Samsung Austin Semiconductor, L.P. Semiconductor manufacturing equipment with trace elements for improved defect tracing and methods of manufacture
KR102094304B1 (ko) * 2013-02-05 2020-03-30 (주) 코미코 표면 처리 방법 및 이를 이용한 세라믹 구조물
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9123651B2 (en) 2013-03-27 2015-09-01 Lam Research Corporation Dense oxide coated component of a plasma processing chamber and method of manufacture thereof
JP6076838B2 (ja) * 2013-05-31 2017-02-08 住友重機械イオンテクノロジー株式会社 絶縁構造及び絶縁方法
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9637415B2 (en) 2013-10-24 2017-05-02 Surmet Corporation Method of making high purity polycrystalline aluminum oxynitride bodies useful in semiconductor process chambers
US20150126036A1 (en) * 2013-11-05 2015-05-07 Tokyo Electron Limited Controlling etch rate drift and particles during plasma processing
TWI751098B (zh) * 2013-11-21 2022-01-01 美商恩特葛瑞斯股份有限公司 經施用塗層的電漿潤濕系統的構件及塗層之用途
CN104701125A (zh) * 2013-12-05 2015-06-10 中微半导体设备(上海)有限公司 气体分布板
US9873940B2 (en) * 2013-12-31 2018-01-23 Lam Research Corporation Coating system and method for coating interior fluid wetted surfaces of a component of a semiconductor substrate processing apparatus
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US10730798B2 (en) * 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
JP6714978B2 (ja) 2014-07-10 2020-07-01 東京エレクトロン株式会社 プラズマ処理装置用の部品、プラズマ処理装置、及びプラズマ処理装置用の部品の製造方法
KR101465640B1 (ko) * 2014-08-08 2014-11-28 주식회사 펨빅스 불화알루미늄 생성방지막이 형성된 cvd 공정챔버 부품
JP6868553B2 (ja) * 2014-08-15 2021-05-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマ化学気相堆積システムにおいて高温で圧縮又は引張応力を有するウェハを処理する方法及び装置
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
JP6396819B2 (ja) * 2015-02-03 2018-09-26 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
WO2016148739A1 (en) 2015-03-18 2016-09-22 Entegris, Inc. Articles coated with fluoro-annealed films
KR102376982B1 (ko) * 2015-04-14 2022-03-21 삼성전자주식회사 세라믹을 이용하여 파티클 저감 효과를 가지는 원격 플라즈마 발생장치
KR20160124992A (ko) * 2015-04-20 2016-10-31 삼성전자주식회사 기판 제조 장치, 및 그의 세라믹 박막 코팅 방법
KR102447682B1 (ko) * 2015-05-29 2022-09-27 삼성전자주식회사 코팅층 형성 방법, 플라즈마 처리 장치 및 패턴 형성 방법
US20160358749A1 (en) * 2015-06-04 2016-12-08 Lam Research Corporation Plasma etching device with plasma etch resistant coating
KR20170006807A (ko) 2015-07-09 2017-01-18 (주)티티에스 공정 챔버의 부품파트 및 화학기상증착에 의해 이트리아를 부품파트에 증착하는 방법
KR20170015615A (ko) * 2015-07-29 2017-02-09 삼성전자주식회사 플라즈마 처리 장치
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10854492B2 (en) * 2015-08-18 2020-12-01 Lam Research Corporation Edge ring assembly for improving feature profile tilting at extreme edge of wafer
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR20180083912A (ko) 2015-11-16 2018-07-23 쿠어스 테크, 인코포레이티드 내부식성 부품 및 제조 방법
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
KR102504290B1 (ko) * 2015-12-04 2023-02-28 삼성전자 주식회사 수소 플라스마 어닐링 처리 준비 방법, 수소 플라스마 어닐링 처리 방법, 및 수소 플라스마 어닐링 장치
US10488397B2 (en) 2016-04-05 2019-11-26 University Of Connecticut Metal oxide based sensors for sensing low concentration of specific gases prepared by a flame based process
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP6908973B2 (ja) * 2016-06-08 2021-07-28 三菱重工業株式会社 遮熱コーティング、タービン部材、ガスタービン、ならびに遮熱コーティングの製造方法
KR101885570B1 (ko) * 2016-07-05 2018-08-07 세메스 주식회사 윈도우 부재, 그 제조 방법, 및 그를 포함하는 기판 처리 장치
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
CN109963825B (zh) * 2016-11-16 2022-08-09 阔斯泰公司 耐腐蚀组件和制造方法
US9773665B1 (en) * 2016-12-06 2017-09-26 Applied Materials, Inc. Particle reduction in a physical vapor deposition chamber
KR101877017B1 (ko) * 2017-01-09 2018-07-12 한국과학기술연구원 반도체 반응기 및 반도체 반응기용 금속모재의 코팅층 형성방법
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
CN110546733B (zh) * 2017-03-31 2022-10-11 玛特森技术公司 在处理腔室中防止工件上的材料沉积
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
WO2019026818A1 (ja) * 2017-07-31 2019-02-07 株式会社 東芝 部品および半導体製造装置
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP7239935B2 (ja) * 2017-09-01 2023-03-15 学校法人 芝浦工業大学 部品および半導体製造装置
US11183373B2 (en) 2017-10-11 2021-11-23 Honeywell International Inc. Multi-patterned sputter traps and methods of making
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11087961B2 (en) * 2018-03-02 2021-08-10 Lam Research Corporation Quartz component with protective coating
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11139151B1 (en) * 2018-03-15 2021-10-05 Intel Corporation Micro-surface morphological matching for reactor components
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
KR102621279B1 (ko) * 2018-12-05 2024-01-05 교세라 가부시키가이샤 플라스마 처리 장치용 부재 및 이것을 구비하는 플라스마 처리 장치
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP6801773B2 (ja) * 2019-02-27 2020-12-16 Toto株式会社 半導体製造装置用部材および半導体製造装置用部材を備えた半導体製造装置並びにディスプレイ製造装置
JP2020141123A (ja) 2019-02-27 2020-09-03 Toto株式会社 半導体製造装置用部材および半導体製造装置用部材を備えた半導体製造装置並びにディスプレイ製造装置
WO2020180502A1 (en) * 2019-03-01 2020-09-10 Lam Research Corporation Surface coating for aluminum plasma processing chamber components
US20220115214A1 (en) * 2019-03-05 2022-04-14 Lam Research Corporation Laminated aerosol deposition coating for aluminum components for plasma processing chambers
CN112017932B (zh) * 2019-05-31 2022-11-29 中微半导体设备(上海)股份有限公司 等离子体处理装置中气体输送系统的耐腐蚀结构
KR20210012178A (ko) * 2019-07-24 2021-02-03 삼성전자주식회사 기판 처리장치 및 이를 구비하는 기판 처리 시스템
US20210032750A1 (en) * 2019-07-31 2021-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Deposition apparatus and method of forming metal oxide layer using the same
JP7366234B2 (ja) * 2019-08-09 2023-10-20 アプライド マテリアルズ インコーポレイテッド 処理チャンバ部品のための保護用多層コーティング
CN112713072B (zh) * 2019-10-24 2024-03-12 中微半导体设备(上海)股份有限公司 等离子体处理腔室内部部件及其制造方法
KR102225604B1 (ko) * 2019-12-18 2021-03-10 피에스케이 주식회사 기판 처리 장치
JP2023511102A (ja) * 2020-01-23 2023-03-16 ラム リサーチ コーポレーション プラズマ処理チャンバコンポーネント用のイットリウムアルミニウムコーティング
US11661650B2 (en) 2020-04-10 2023-05-30 Applied Materials, Inc. Yttrium oxide based coating composition
US11881385B2 (en) * 2020-04-24 2024-01-23 Applied Materials, Inc. Methods and apparatus for reducing defects in preclean chambers
CN113802094B (zh) * 2020-06-16 2024-04-05 中微半导体设备(上海)股份有限公司 耐腐蚀涂层的镀膜方法及等离子体刻蚀零部件和反应装置
US20220013336A1 (en) * 2020-07-10 2022-01-13 Applied Materials, Inc. Process kit with protective ceramic coatings for hydrogen and nh3 plasma application
US20220037126A1 (en) * 2020-08-03 2022-02-03 Applied Materials, Inc. Fluoride coating to improve chamber performance
KR102496309B1 (ko) 2020-09-25 2023-02-07 한국과학기술연구원 레이저를 이용한 내플라즈마 코팅막 형성방법
KR102497053B1 (ko) 2020-09-25 2023-02-08 한국과학기술연구원 레이저 소결을 이용한 내플라즈마 코팅막 치밀화 방법
US20230366074A1 (en) * 2022-05-16 2023-11-16 Andrei V. Ivanov Oxygen Interception for Air Plasma Spray Processes

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07216589A (ja) * 1994-02-03 1995-08-15 Tokyo Electron Ltd 表面処理方法およびプラズマ処理装置
JP2001164354A (ja) * 1999-12-10 2001-06-19 Tocalo Co Ltd プラズマ処理容器内部材およびその製造方法
JP2001250814A (ja) * 2000-03-06 2001-09-14 Hitachi Ltd プラズマ処理装置
JP2002033309A (ja) * 2000-07-18 2002-01-31 Hitachi Ltd プラズマ処理装置及び該装置用部品の製作方法
JP2002080270A (ja) * 2000-06-30 2002-03-19 Kyocera Corp 耐食性部材
WO2002029877A1 (fr) * 2000-10-02 2002-04-11 Tokyo Electron Limited Dispositif de traitement par depression

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3961111A (en) * 1975-03-18 1976-06-01 Pennwalt Corporation Method of increasing corrosion resistance of anodized aluminum
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US5262029A (en) 1988-05-23 1993-11-16 Lam Research Method and system for clamping semiconductor wafers
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
JPH03287797A (ja) * 1990-04-03 1991-12-18 Sumitomo Electric Ind Ltd 耐食部材
US5200232A (en) 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
US5798016A (en) 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
DE9421671U1 (de) 1994-08-26 1996-07-11 Siemens Ag Entladungskammer für eine Plasmaätzanlage in der Halbleiterfertigung
JP3164200B2 (ja) 1995-06-15 2001-05-08 住友金属工業株式会社 マイクロ波プラズマ処理装置
US5824605A (en) 1995-07-31 1998-10-20 Lam Research Corporation Gas dispersion window for plasma apparatus and method of use thereof
US5838529A (en) 1995-12-22 1998-11-17 Lam Research Corporation Low voltage electrostatic clamp for substrates such as dielectric substrates
US6048798A (en) 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5863376A (en) 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US5788799A (en) 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
US5916454A (en) * 1996-08-30 1999-06-29 Lam Research Corporation Methods and apparatus for reducing byproduct particle generation in a plasma processing chamber
KR100296692B1 (ko) 1996-09-10 2001-10-24 사토 도리 플라즈마cvd장치
US6152070A (en) 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US6388226B1 (en) 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
KR100311487B1 (ko) 1997-12-16 2001-11-15 김영환 산화막식각방법
US6123791A (en) 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6227140B1 (en) 1999-09-23 2001-05-08 Lam Research Corporation Semiconductor processing equipment having radiant heated ceramic liner
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
US6645585B2 (en) 2000-05-30 2003-11-11 Kyocera Corporation Container for treating with corrosive-gas and plasma and method for manufacturing the same
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6776873B1 (en) * 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07216589A (ja) * 1994-02-03 1995-08-15 Tokyo Electron Ltd 表面処理方法およびプラズマ処理装置
JP2001164354A (ja) * 1999-12-10 2001-06-19 Tocalo Co Ltd プラズマ処理容器内部材およびその製造方法
JP2001250814A (ja) * 2000-03-06 2001-09-14 Hitachi Ltd プラズマ処理装置
JP2002080270A (ja) * 2000-06-30 2002-03-19 Kyocera Corp 耐食性部材
JP2002033309A (ja) * 2000-07-18 2002-01-31 Hitachi Ltd プラズマ処理装置及び該装置用部品の製作方法
WO2002029877A1 (fr) * 2000-10-02 2002-04-11 Tokyo Electron Limited Dispositif de traitement par depression

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013176168A1 (ja) * 2012-05-22 2013-11-28 株式会社東芝 プラズマ処理装置用部品およびプラズマ処理装置用部品の製造方法
JPWO2013176168A1 (ja) * 2012-05-22 2016-01-14 株式会社東芝 プラズマ処理装置用部品およびプラズマ処理装置用部品の製造方法
US9988702B2 (en) 2012-05-22 2018-06-05 Kabushiki Kaisha Toshiba Component for plasma processing apparatus and method for manufacturing component for plasma processing apparatus
JP2020065058A (ja) * 2014-04-25 2020-04-23 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 希土類酸化物のイオンアシスト蒸着トップコート
KR20170054278A (ko) 2015-11-09 2017-05-17 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치용 부재 및 플라즈마 처리 장치
JP2021509770A (ja) * 2018-01-08 2021-04-01 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理副生成物を管理するための構成要素および処理
JP7333780B2 (ja) 2018-01-08 2023-08-25 ラム リサーチ コーポレーション プラズマ処理副生成物を管理するための構成要素および処理

Also Published As

Publication number Publication date
EP1518255B1 (en) 2012-02-08
CN1663017A (zh) 2005-08-31
TW200412827A (en) 2004-07-16
EP1518255A2 (en) 2005-03-30
KR20050008855A (ko) 2005-01-21
KR101030935B1 (ko) 2011-04-28
US20050150866A1 (en) 2005-07-14
KR101107542B1 (ko) 2012-02-08
ATE545148T1 (de) 2012-02-15
WO2004003962A3 (en) 2004-04-01
US20040002221A1 (en) 2004-01-01
TWI328411B (en) 2010-08-01
AU2003238006A1 (en) 2004-01-19
KR20110015676A (ko) 2011-02-16
WO2004003962A2 (en) 2004-01-08
JP2005531157A (ja) 2005-10-13
US7300537B2 (en) 2007-11-27
US7311797B2 (en) 2007-12-25
AU2003238006A8 (en) 2004-01-19

Similar Documents

Publication Publication Date Title
US7300537B2 (en) Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US6780787B2 (en) Low contamination components for semiconductor processing apparatus and methods for making components
US7605086B2 (en) Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof
KR100882758B1 (ko) 반도체 공정 설비내의 세륨 옥사이드 함유 세라믹 부품 및 코팅
KR100898531B1 (ko) 반도체 공정 설비내의 질코니아 강화된 세라믹 부품 및 코팅과, 그 제조방법

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20121226

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130107

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130403

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130722