TWI751098B - 經施用塗層的電漿潤濕系統的構件及塗層之用途 - Google Patents

經施用塗層的電漿潤濕系統的構件及塗層之用途 Download PDF

Info

Publication number
TWI751098B
TWI751098B TW103140393A TW103140393A TWI751098B TW I751098 B TWI751098 B TW I751098B TW 103140393 A TW103140393 A TW 103140393A TW 103140393 A TW103140393 A TW 103140393A TW I751098 B TWI751098 B TW I751098B
Authority
TW
Taiwan
Prior art keywords
plasma
coating
atomic
aluminum
amount
Prior art date
Application number
TW103140393A
Other languages
English (en)
Other versions
TW201522712A (zh
Inventor
卡羅 沃得法萊德
Original Assignee
美商恩特葛瑞斯股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商恩特葛瑞斯股份有限公司 filed Critical 美商恩特葛瑞斯股份有限公司
Publication of TW201522712A publication Critical patent/TW201522712A/zh
Application granted granted Critical
Publication of TWI751098B publication Critical patent/TWI751098B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0676Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/083Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/24Vacuum evaporation
    • C23C14/28Vacuum evaporation by wave energy or particle radiation
    • C23C14/30Vacuum evaporation by wave energy or particle radiation by electron bombardment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3485Sputtering using pulsed power to the target
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Abstract

本文揭露用於電漿構件的表面塗層,其在侵略性(例如,氟系的)電漿環境下對化學與電漿物理攻擊具有耐用的優點。相較於其他已知的表面處理,該塗層亦提供對於活性氧、氮、氟、及氫物種而言為低的電漿表面再結合速率。該塗層可施用至任何不需蝕刻或電漿清潔的電漿系統構件,包括但不限於如石英、鋁、或陽極化鋁等材料。此外,藉由將一非反應性塗層施用至系統構件而由此增加流至系統的電漿腔室之激發的電漿物種以增進該系統的效率。

Description

經施用塗層的電漿潤濕系統的構件及塗層之用途 【優先權聲明】
本申請案主張2013年11月21日提出申請之第61/907,214號美國臨時專利申請案之優先權,其揭露全文併於此處以供參考。
本發明一般係關於電漿系統,且更特定而言,係關於用於電漿系統中之零件(tooling)與配件(fixture)的塗層。
本發明一般係關於用於電漿系統之部件的塗層。直接與電漿接觸的部件係遭受化學攻擊、離子轟擊、UV照射、大的溫度變異與梯度以及電場。較佳的電漿系統部件係由鋁或石英製成,因為該等對活性氧、氮、及氫物種而言具有最低的電漿表面再結合速率。然而,當使用含鹵或其他化學上更具侵略性的電漿時,此等材料不再是可接受的選擇。在此環境下,電漿系統構件係典型由如陽極化鋁(anodized aluminum)、鋁、或藍寶石等材料所製造。雖然此等材料具有在對抗化學與物理電漿攻擊有較佳表現之優點,但該等具有實質上較高的電漿表面再結合速率的缺點,因而自電漿流中移除一相當部分的活性氧、氫及氮電漿物種。 此等物種的移除係降低電漿製程的效率。
經配置以降低活性電漿物種的再結合速率且增加流至該系統的活性物種之電漿系統構件,將成為在電漿系統中受歡迎的改良。
本文揭露用於電漿構件的表面塗層,其在侵略性(例如,氟系的)電漿環境下對化學與電漿物理攻擊具有耐用的優點。相較於其他習知的表面處理,該塗層亦提供對活性氧、氮、氟、及氫物種而言為低的電漿表面再結合速率。塗層可施用至任一不需蝕刻或電漿清潔的電漿系統構件,包括但不限於如石英、鋁、或陽極化鋁等材料。此外,藉由將一非反應性塗層施用至系統構件而由此增加流到系統的處理腔室之激發的電漿物種以增進該系統的效率。
因此,在一例示性實施態樣中,本發明提供一種用於降低一經電漿潤濕(plasma wetted)之表面系統構件之反應性的塗層。該塗層包含:釔氧化物(yttria),約具有以下組成:釔,含量為約60%至約80%;氧,含量為約20%至約40%;及/或鋁氮氧化物(aluminum oxynitride),約具有以下組成:鋁,含量介於約25%至約60%之間; 氧,含量介於約20%至約40%之間;氮,含量介於約20%至約40%之間。
其中該塗層係施用至電漿潤濕系統的構件。
在部分例示性實施態樣中,該電漿包含以下之一或多者:原子氧、分子氧、原子氫、分子氫、原子氮、分子氮、分子氬、原子氬、原子氟及分子氟。在各式此等與其他實施態樣中,該電漿包含以下之一或多者:含氟電漿(fluorine-bearing plasma)、含氧電漿、含氫電漿及含氮電漿。在各式例示性實施態樣中,該電漿係一組合電漿。在特定實施態樣中,該含氟電漿包含:CF4、CHF3、CF3H、C2F6、C4F8、SF6、NF3、F2及C4F8O;該含氧電漿包含:O2、O3、N2O、CO、CO2、C4F8O、H2O及H2O2;該含氫電漿包含:H2、CH4、NH3、N2H2、C2H2、H2O、H2O2、N2/H2、He/H2及Ar/H2;以及該含氮電漿包含N2、N2O、NH3、NF3、N2/H2及NO。
在此等與其他例示性實施態樣中,該構件係由石英、鋁、陽極化鋁或其組合所製造。
在各式例示性實施態樣中,該塗層係藉由氣相沉積、濺鍍沉積、熱噴塗塗覆、溶膠-凝膠塗覆、大氣電漿沉積、磁控濺鍍、電子束沉積或脈衝雷射沉積而施用。在部分例示性實施態樣中,氣相沉積係電漿輔助化學氣相沉積(PECVD);物理氣相沉積(PVD);以及化學氣相沉積(CVD)。
在各式其他例示性實施態樣中,該電漿系統係一順流式遠端電漿系統(downstream,remote plasma system)、一感應 耦合電漿系統、一電容耦合電漿系統、一反應性離子蝕刻電漿系統、一大氣電漿系統、以及一離子蝕刻電漿系統。
在一實施態樣中,一如AlON(鋁氮氧化物)之金屬氮氧化物的塗層係直接施用至電漿系統構件。我們發現AlON與電漿流之交互作用係產生一實質上低於陽極化鋁的再結合速率之再結合速率。
在各式實施態樣中,該塗層具有介於約3吉帕(GPa)至約10吉帕之間的硬度、介於約100吉帕至約200吉帕之間的彈性係數(楊氏係數),且該塗層在介於約-150℃與約+600℃之間的溫度下為穩定的。
在另一例示性實施態樣中,本發明教示一種增加電漿系統之效率的方法,包含將一表面塗層施用至不需電漿蝕刻的系統構件,該塗層減少該非蝕刻(non-etched)構件對一電漿流的反應性,其中該表面塗層係:釔氧化物,約具有以下之組成:釔,含量為約60%至約80%;氧,含量為約20%至約40%;及/或鋁氮氧化物,約具有以下之組成:鋁,含量介於約25%至約60%之間;氧,含量介於約20%至約40%之間;氮,含量介於約20%至約40%之間。
其中該塗層係施用至經電漿潤濕系統的構件。
在另一實施態樣中,一如釔氧化物之過渡金屬氧化物的塗層係直接施用至電漿構件。我們發現釔氧化物與電漿流之交互作用係產生一實質上低於陽極化鋁的再結合速率之再結合速率。
在各式例示性實施態樣中,該電漿包含以下之一或多者:原子氧、分子氧、原子氫、分子氫、原子氮、分子氮、分子氬、原子氬、原子氟及分子氟。在各式此等與其他實施態樣中,該電漿包含以下之一或多者:含氟電漿、含氧電漿、含氫電漿及含氮電漿。在各式例示性實施態樣中,該電漿係一組合電漿。在特定實施態樣中,該含氟電漿包含:CF4、CHF3、CF3H、C2F6、C4F8、SF6、NF3、F2及C4F8O;該含氧電漿包含:O2、O3、N2O、CO、CO2、C4F8O、H2O及H2O2;該含氫電漿包含:H2、CH4、NH3、N2H2、C2H2、H2O、H2O2、N2/H2、He/H2及Ar/H2;以及該含氮電漿包含N2、N2O、NH3、NF3、N2/H2及NO。
在此等與其他例示性實施態樣中,該構件係由石英、鋁、陽極化鋁或其組合所製造。
在各式實施態樣中,該塗層係藉由氣相沉積、濺鍍沉積、熱噴塗塗覆、溶膠-凝膠塗覆、大氣電漿沉積、磁控濺鍍、電子束沉積或脈衝雷射沉積而施用。在部分實施態樣中,氣相沉積係電漿輔助化學氣相沉積(PECVD);物理氣相沉積(PVD);以及化學氣相沉積(CVD)。
在各式實施態樣中,該塗層具有介於約3吉帕至約10吉帕之間的硬度、介於約100吉帕至約200吉帕之間的彈性係數(楊氏係數),且該塗層在介於約-150℃與約+600℃之間的溫度下為穩定的。
在又一例示性實施態樣中,本發明教示一種增加電漿系統構件之生命週期的方法,包含將一表面塗層施用至系統構件,該塗層減少該構件對一電漿流的反應性,其中該表面塗層係:釔氧化物,約具有以下之組成:釔,含量為約60%至約80%;氧,含量為約20%至約40%;及/或鋁氮氧化物,約具有以下之組成:鋁,含量介於約25%至約60%之間;氧,含量介於約20%至約40%之間;氮,含量介於約20%至約40%之間。
其中該塗層係施用至經電漿潤濕系統的構件。
在部分例示性實施態樣中,該電漿包含以下之一或多者:原子氧、分子氧、原子氫、分子氫、原子氮、分子氮、分子氬、原子氬、原子氟及分子氟。在各式此等與其他實施態樣中,該電漿包含以下之一或多者:含氟電漿、含氧電漿、含氫電漿及含氮電漿。在各式例示性實施態樣中,該電漿係一組合電漿。在特定實施態樣中,該含氟電漿包含:CF4、CHF3、CF3H、C2F6、 C4F8、SF6、NF3、F2及C4F8O;該含氧電漿包含:O2、O3、N2O、CO、CO2、C4F8O、H2O及H2O2;該含氫電漿包含:H2、CH4、NH3、N2H2、C2H2、H2O、H2O2、N2/H2、He/H2及Ar/H2;以及該含氮電漿包含N2、N2O、NH3、NF3、N2/H2及NO。
在此等與其他例示性實施態樣中,該構件係由石英、鋁、陽極化鋁或其組合所製造。
在部分例示性具體實施態樣中,該塗層係藉由氣相沉積、濺鍍沉積、熱噴塗塗覆、溶膠-凝膠塗覆、大氣電漿沉積、磁控濺鍍、電子束沉積或脈衝雷射沉積而施用。在各式例示性實施態樣中,氣相沉積係電漿輔助化學氣相沉積(PECVD);物理氣相沉積(PVD);以及化學氣相沉積(CVD)。
在各式實施態樣中,該塗層具有介於約3吉帕至約10吉帕之間的硬度、介於約100吉帕至約200吉帕之間的彈性係數(楊氏係數),且該塗層在介於約-150℃與約+600℃之間的溫度下為穩定的。
可施用的其他塗層係過渡金屬氮氧化物與金屬氧化物,其橫跨金屬氮氧化物與過渡金屬氧化物的材料類型。另外可施用以保護腔室構件的其他塗層係包括鑭系或錒系子類型之稀土化合物,包括稀土氧化物、稀土氮化物、及稀土氮氧化物。
結構上,該塗層可藉由電漿輔助化學氣相沉積(PECVD)、物理氣相沉積(PVD)、濺鍍沉積、熱噴塗塗覆、溶膠-凝膠塗覆、大氣電漿沉積、磁控濺鍍、電子束沉積或脈衝雷射 沉積而施用。參見例如於2013年2月14日公開的發明人為困達(Gunda)之WO 2013/023029,舉例而言,其說明藉由PVD製程而沉積一鋁氮氧化物塗層。WO 2013/023029申請案為本案申請人所有,且除了其中之明確定義與申請專利範圍之外,該申請案全文係併於此處以供參考。
第1圖以圖表方式繪示在1托(Torr)、2000瓦下使用O2/FG電漿在電漿清潔工具中對多片晶圓處理的光阻劑移除速率。相比較的二個電漿清潔工具配置為:(i)使用最適化的陽極化鋁擋板以及(ii)使用鋁氮氧化物塗覆的鋁擋板。
第2圖繪示一柱狀圖,顯示對不同電漿化學物質(O2/FG及O2/FG+CF4)及電漿系統(GES-IP、GPL)的光阻劑移除率,該等電漿系統比較四種檔板片配置:(i)最適化的陽極化鋁擋板及(ii)標準陽極化鋁擋板、(iii)經鋁氮氧化物塗覆的鋁擋板、(iv)經釔氧化物塗覆的鋁擋板。
本文揭露用於電漿構件的表面塗層,其在侵略性(例如,氟系的)電漿環境下對化學與電漿物理攻擊具有耐用的優點。相較於其他習知的表面處理,該塗層亦提供對活性氧、氮、氟、及氫物種而言為低的電漿表面結合速率。該塗層可施用至任一不需蝕刻或電漿清潔的電漿系統構件,包括但不限於石英、鋁、或 陽極化鋁等材料。此外,藉由將一非反應性塗層施用至系統構件而由此增加流到系統的電漿腔室之激發的電漿物種以增進該系統的效率。
本文中所用術語係僅用於說明特定實施態樣之目的且不意欲為限制。如本文中所用,除非內文明確說明,否則單數形式「一(a)」、「一(an)」及「該(the)」係意欲同樣包括複數形式。用語「第一」、「第二」等使用並非隱含任一特定順序,而被包括以辨別個別元件。將進一步理解的是,用語「包含(comprises)」及/或「包含(comprising)」或「包括(includes)」及/或「包括(including)」在使用於本說明書中時,係指明所指特徵、區域、整數、步驟、操作、元件、及/或構件存在,但並不排除一或多個其他特徵、區域、整數、步驟、操作、元件、構件、及/或其組合之存在或添加。
除非另有定義,本文中所使用的所有用語(包括技術用語與科學用語)係具有如本實施態樣所屬領域具通常知識者一般瞭解的相同意涵。將進一步瞭解的是,如在常用字典有定義之用語應被解釋為具有與在本發明及相關領域文章中之該等意涵一致的意涵,且除非本文中明確地如此定義,將不在理想化或過度正式的意義上被解釋。
所提及之包含於本文的「實施態樣」、「本發明的實施態樣」、及「所揭露的實施態樣」係指本專利申請案之說明書(包括申請專利範圍之內文、及圖式),本專利申請案並非被認知的先 前技術。
如本文中所用,用語「經電漿潤濕之構件(plasma-wetted component)」係指與一電漿流接觸的任一構件或物件。此類構件或物件可為電漿腔室的一部分或其可為置於電漿腔室中且經受一電漿流的任一物件。
如本文中所用,用語「電漿灰化(plasma ashing)」係指自一蝕刻晶圓或其他基材移除光阻劑的製程。
如本文中所用,用語「灰化腔室」係指一封閉體(enclosure),包含一如晶圓的基材,其係藉由一電漿流而經受電漿蝕刻。
如本文中所用,用語「灰化構件」係指一欲與一電漿流反應之電漿腔室的構件,例如晶圓的光阻劑。
如本文中所用,用語「非灰化構件」係指一不欲與一電漿流反應之電漿腔室的構件。此類構件包括電漿腔室的構成部分,例如閥、擋板、電極等,以及如晶圓支撐體或載體等置於腔室內的輔助材料。
如本文中所用,用語「形成氣體(forming gas)」係指氫與惰性氣體(通常為氮氣)的混合物,其係用於使表面上的氧化物還原成水。該等氫係稀釋於惰性氣體中以保持氫在低於約4.7體積%,因為在此比例以上的氫係可自燃的。
如上一般性說明的裝置與化合物的各式例示性實施態樣及根據本發明的方法,將參考以下實施例而更容易瞭解,此 等實施例係提供舉例說明且係非意欲以任何形式限制本發明。
以下實施例涉及鋁氮氧化物(AlON)及釔氧化物塗層,其係由麻州貝德福德安堤格里斯公司(Entegris Inc.,in Bedford MA)所製造之獨特的物理氣相沉積(PVD)塗層。此等塗層尤其為4至5微米厚且具有如表1所定義的化學組成。
Figure 103140393-A0305-02-0014-1
實施例1
在此實施例中,塗覆於200毫米矽基材上的光阻劑係暴露於由氧與形成氣體(在氮中有3%氫)所形成的電漿,使用可商購自亞舍立科技公司(Axcelis Technologies)的Radiant Strip 220ES-IP電漿灰化工具。可商購的i線光阻劑(i-line photoresist)以約1.8微米的厚度沉積於矽基材上。O2/FG電漿化學物質係藉由使90%氧與10%形成氣體在每分鐘約3.5標準升(slm)下流到壓力為約1托、溫度為約270℃、及功率設定為2000瓦的電漿灰化工具 中而形成。
在光阻劑暴露於相應電漿15秒後,量測光阻劑移除速率(亦稱作灰化速率)及O2/FG電漿剝除製程(stripping process)的橫跨面晶圓均勻性(cross wafer uniformity)。比較以下二腔室擋板片配置的灰化速率:
(i)經最適化表面修整(surface finish)的陽極化鋁擋板,由此提供由陽極化擋板配置可獲得的最高的灰化速率。
(ii)經鋁氮氧化物塗覆的鋁擋板,其可商購自麻州01730貝德福德的安堤格里斯特用塗料公司(Entegris Specialty Coatings)。
除了表面修整或表面塗覆組成之外,該二擋板配置係在幾何形狀、尺寸及在電漿清潔系統中的安置上皆相同。
在各配置中對六片晶圓量測灰化速率與非均勻性,該六片晶圓係置於在25片晶圓試運行(25-wafer test run)的第1、5、10、15、20及25時隙(slot)中。藉由測定基於晶圓上49個測量點之光阻劑厚度的差異(灰化後(post-ash)減灰化前(pre-ash))且扣除光阻劑收縮的量(僅暴露於溫度下而造成之光阻劑厚度的變化)而計算灰化速率。由一獨立的試運行所量測而觀察到的光阻劑收縮為4600埃(Å),於該試運行中晶圓係經零電漿功率(zero plasma power)處理。藉由考量灰化速率測試的時間而以微米/分鐘(μm/min)的速率來表示無收縮之厚度的最終改變。
參考第1圖,自實施例1灰化速率測試所得的結果係 為本發明實施態樣而展現。注意到利用AlON-塗覆的鋁擋板片之配置係吻合地產生高於以最適化陽極化鋁擋板配置所獲得的灰化速率約20%之灰化速率。較高的灰化速率暗示,更多的活性氧、氫及氮物種之至少一者係通過擋板而能與光阻劑反應。較高的灰化速率是所欲的,因為其表示有較多反應性物種流到腔室,且因此可較快地清潔基材。
實施例2
在此實施例中,塗覆於200毫米矽基材上的光阻劑係暴露於各種電漿(i)O2/FG電漿,藉由使90%氧與10%形成氣體流至壓力為約1托、溫度為約270℃、及功率設定為2000瓦的電漿灰化工具中而形成;(ii)O2/FG+CF4電漿,藉由使90%氧與10%形成氣體、加上約15%的CF4流到壓力為約1托、溫度為約270℃、及功率設定為2000瓦的電漿灰化工具中而形成。
且在二個不同的電漿清潔系統中:(i)可商購自亞舍立科技公司的Radiant Strip 220ES-IP電漿灰化工具;(ii)可商購自亞舍立科技公司的Radiant Strip 220電漿灰化工具,以及用於四種不同的電漿工具擋板配置:(i)最適化的陽極化鋁擋板;(ii)標準陽極化鋁擋板; (iii)經鋁氮氧化物塗覆的鋁擋板;(iv)經釔氧化物塗覆的鋁擋板。
除了表面修整或表面塗覆之外,該四擋板配置係在幾何形狀、尺寸及在電漿清潔系統中的安置上皆相同。
在各配置中對2片晶圓測定灰化速率與非均勻性。以如實施例1所說明的相同方法計算灰化速率。
參考第2圖,自實施例2灰化速率測試所得的結果係為本發明實施態樣而展現。結果指出AlON-塗覆之鋁擋板的配置係吻合地顯示最高的灰化速率,其量係高於以標準陽極化鋁擋板或釔氧化物-塗覆的鋁擋板之任一者所獲得的灰化速率約50%且高於以最適化的陽極化鋁擋板所獲得的灰化速率約20%。較高的灰化速率暗示,由於減少了與非灰化構件的交互作用,更多的活性氧、氫、氟及氮物種之至少一者係通過擋板,且因此有較多激發物種的流到灰化構件。此使得與光阻劑的反應增加。較高的灰化速率是所欲的,因為其可較快地清潔基材。
以下自1連續列舉至46之分段係提供本發明的各種態樣。在一實施態樣中,在第一分段(1)中,本發明提供:
1.一種用於降低一經電漿潤濕之表面系統構件之反應性的塗層,包含:釔氧化物,約具有以下組成:釔,含量為約60%至約80%;氧,含量為約20%至約40%; 及/或鋁氮氧化物,約具有以下組成:鋁,含量介於約25%至約60%之間;氧,含量介於約20%至約40%之間;氮,含量介於約20%至約40%之間;其中該塗層係施用至電漿潤濕系統的構件。
2.如分段1的塗層,其中該塗層係釔氧化物,包含:釔,含量為約60%至約80%;氧,含量為約20%至約40%。
3.如分段1的塗層,其中該塗層係鋁氮氧化物,包含:鋁,含量介於約25%至約60%之間;氧,含量介於約20%至約40%之間;氮,含量介於約20%至約40%之間。
4.如分段1至3的塗層,其中該電漿包含以下之一或多者:原子氧、分子氧、原子氫、分子氫、原子氮、分子氮、分子氬、原子氬、原子氟、及分子氟。
5.如分段1至4的塗層,其中該電漿包含以下之一或多者:含氟電漿、含氧電漿、含氫電漿及含氮電漿。
6.如分段1至5的塗層,其中該含氟電漿包含:CF4、CHF3、CF3H、C2F6、C4F8、SF6、NF3、F2及C4F8O。
7.如分段1至5的塗層,其中該含氧電漿包含:O2、O3、N2O、CO、CO2、C4F8O、H2O及H2O2
8.如分段1至5的塗層,其中該含氫電漿包含:H2、CH4、NH3、N2H2、C2H2、H2O、H2O2、N2/H2、He/H2及Ar/H2
9.如分段1至5的塗層,其中該含氮電漿包含N2、N2O、NH3、NF3、N2/H2及NO。
10.如分段1至9之任一分段的塗層,其中該塗層係藉由氣相沉積、濺鍍沉積、熱噴塗塗覆、溶膠-凝膠塗覆、大氣電漿沉積、磁控濺鍍、電子束沉積或脈衝雷射沉積而施用。
11.如分段1至10的塗層,其中該氣相沉積係電漿輔助化學氣相沉積(PECVD);物理氣相沉積(PVD);以及化學氣相沉積(CVD)。
12.如分段1至11的塗層,其中該塗層在介於約-150℃與約+600℃之間的溫度下為穩定的。
13.如分段1至12的塗層,其中該電漿系統係一順流式遠端電漿系統、一感應耦合電漿系統、一電容耦合電漿系統、一反應性離子蝕刻電漿系統、一大氣電漿系統、以及一離子蝕刻電漿系統。
14.如分段1至13的塗層,其中該塗層具有介於約3吉帕至約10吉帕之間的硬度。
15.如分段1至14的塗層,其中該塗層具有介於約100吉帕至約200吉帕之間的彈性係數(楊氏係數)。
16.如分段1至15之任一分段的塗層,其中該構件係由石英、鋁、陽極化鋁或其組合所製造。
17.一種增加電漿系統之效率的方法,包含將一表面塗層施用至不需電漿蝕刻的系統構件,該塗層減少該非蝕刻構件對一電漿流 的反應性,其中該表面塗層係:釔氧化物,約具有以下之組成:釔,含量為約60%至約80%;氧,含量為約20%至約40%;及/或鋁氮氧化物,約具有以下之組成:鋁,含量介於約25%至約60%之間;氧,含量介於約20%至約40%之間;氮,含量介於約20%至約40%之間;其中該塗層係施用至經電漿潤濕系統的構件。
18.如分段17的方法,其中該電漿包含以下之一或多者:原子氧、分子氧、原子氫、分子氫、原子氮、分子氮、分子氬、原子氬、原子氟及分子氟。
19.如分段17至18的方法,其中該電漿包含以下之一或多者:含氟電漿、含氧電漿、含氫電漿及含氮電漿。
20.如分段17至19的方法,其中該含氟電漿包含:CF4、CHF3、CF3H、C2F6、C4F8、SF6、NF3、F2及C4F8O。
21.如分段17至19的方法,其中該含氧電漿包含:O2、O3、N2O、CO、CO2、C4F8O、H2O及H2O2
22.如分段17至19的方法,其中該含氫電漿包含:H2、CH4、NH3、N2H2、C2H2、H2O、H2O2、N2/H2、He/H2及Ar/H2
23.如分段17至19的方法,其中該含氮電漿包含N2、N2O、NH3、 NF3、N2/H2及NO。
24.如分段17至23的方法,其中該塗層係藉由氣相沉積、濺鍍沉積、熱噴塗塗覆、溶膠-凝膠塗覆、大氣電漿沉積、磁控濺鍍、電子束沉積或脈衝雷射沉積而施用。
25.如分段17至24的方法,其中該氣相沉積係電漿輔助化學氣相沉積(PECVD);物理氣相沉積(PVD);以及化學氣相沉積(CVD)。
26.如分段17至25的方法,其中該塗層在介於約-150℃與約+600℃之間的溫度下為穩定的。
27.如分段17至26的方法,其中該塗層具有介於約3吉帕至約10吉帕之間的硬度。
28.如分段17至27的方法,其中該塗層具有介於約100吉帕至約200吉帕之間的彈性係數(楊氏係數)。
29.如分段17至28之任一分段的方法,其中該塗層係釔氧化物,包含:釔,含量為約60%至約80%;氧,含量為約20%至約40%。
30.如分段17至29之任一分段的方法,其中該塗層係鋁氮氧化物,包含:鋁,含量介於約25%至約60%之間;氧,含量介於約20%至約40%之間;氮,含量介於約20%至約40%之間。
31.如分段17至30之任一分段的方法,其中該構件係由石英、鋁、 陽極化鋁或其組合所製造。
32.一種增加電漿系統構件之生命週期的方法,包含將一表面塗層施用至系統構件,該塗層減少該構件對一電漿流的反應性,其中該表面塗層係:釔氧化物,約具有以下之組成:釔,含量為約60%至約80%;氧,含量為約20%至約40%;及/或鋁氮氧化物,約具有以下之組成:鋁,含量介於約25%至約60%之間;氧,含量介於約20%至約40%之間;氮,含量介於約20%至約40%之間;其中該塗層係施用至經電漿潤濕系統的構件。
33.如分段32的方法,其中該電漿包含以下之一或多者:原子氧、分子氧、原子氫、分子氫、原子氮、分子氮、分子氬、原子氬、原子氟及分子氟。
34.如分段32至33的方法,其中該電漿包含以下之一或多者:含氟電漿、含氧電漿、含氫電漿及含氮電漿。
35.如分段32至34的方法,其中該含氟電漿包含:CF4、CHF3、CF3H、C2F6、C4F8、SF6、NF3、F2及C4F8O。
36.如分段32至34的方法,其中該含氧電漿包含:O2、O3、N2O、CO、CO2、C4F8O、H2O及H2O2
37.如分段32至34的方法,其中該含氫電漿包含:H2、CH4、NH3、N2H2、C2H2、H2O、H2O2、N2/H2、He/H2及Ar/H2
38.如分段32至34的方法,其中該含氮電漿包含N2、N2O、NH3、NF3、N2/H2及NO。
39.如分段32至38的方法,該塗層係藉由氣相沉積、濺鍍沉積、熱噴塗塗覆、溶膠-凝膠塗覆、大氣電漿沉積、磁控濺鍍、電子束沉積或脈衝雷射沉積而施用。
40.如分段32至39的方法,其中該氣相沉積係電漿輔助化學氣相沉積(PECVD);物理氣相沉積(PVD);以及化學氣相沉積(CVD)。
41.如分段32至40的方法,其中該塗層在介於約-150℃與約+600℃之間的溫度下為穩定的。
42.如分段32至41的表面塗層,其中該塗層具有介於約3吉帕至約10吉帕的硬度。
43.如分段32至42的表面塗層,其中該塗層具有介於約100吉帕至約200吉帕之間的彈性係數(楊氏係數)。
44.如分段32至43之任一分段的方法,其中該塗層係釔氧化物,包含:釔,含量為約60%至約80%;氧,含量為約20%至約40%。
45.如分段32至44之任一分段的方法,其中該塗層係鋁氮氧化物,包含:鋁,含量介於約25%至約60%之間; 氧,含量介於約20%至約40%之間;氮,含量介於約20%至約40%之間。
46.如分段32至45之任一分段的方法,其中該構件係由石英、鋁、陽極化鋁或其組合所製造。
為詮釋有關本發明實施態樣的請求項之目的,除非各請求項記載特定用語「手段用以(means for)」或「步驟用以(step for)」,否則其明顯意欲不構成美國專利法35 U.S.C.112(f)的要件。
雖然本發明已連同以上概述之各種例示性實施態樣而說明,然而對本領域中至少具通常知識者而言,不論習知或可能為預料之外的各種替代方案、修飾、變化、改良及/或實質均等物可變得明顯。據此,如前述根據本發明之例示性實施態樣係意欲為說明性的而非限制。可在不背離本發明精神與範圍的情形下產生各種變化。因此,本發明意欲涵蓋所有習知或後來開發的此等例示性實施態樣的替代方案、變化、改良及/或實質均等物。

Claims (8)

  1. 一種經施用塗層的電漿潤濕(plasma wetted)系統的構件,其中以EDAX測量,該塗層包含:釔氧化物(yttria),約具有以下組成:釔,含量為約60原子%至約80原子%;氧,含量為約20原子%至約40原子%;及鋁氮氧化物(aluminum oxynitride),約具有以下組成:鋁,含量介於約25原子%至約60原子%之間;氧,含量介於約20原子%至約40原子%之間;氮,含量介於約20原子%至約40原子%之間。
  2. 如請求項1的經施用塗層的電漿潤濕系統的構件,其中該塗層在介於約-150℃與約+600℃之間的溫度下為穩定的。
  3. 如請求項1的經施用塗層的電漿潤濕系統的構件,其中該電漿潤濕系統係順流式遠端電漿系統(downstream,remote plasma system)、感應耦合電漿系統、電容耦合電漿系統、反應性離子蝕刻電漿系統、大氣電漿系統、或離子蝕刻電漿系統。
  4. 如請求項1至3之任一項的經施用塗層的電漿潤濕系統的構件,其中該塗層具有介於約3吉帕(GPa)至約10吉帕之間的硬度。
  5. 如請求項1至3之任一項的經施用塗層的電漿潤濕系統的構件,其中該塗層具有介於約100吉帕至約200吉帕之間的彈性係 數(楊氏係數)。
  6. 如請求項1至3之任一項的經施用塗層的電漿潤濕系統的構件,其中該構件係由石英、鋁、陽極化鋁(anodized aluminum)或其組合所製造。
  7. 如請求項1至3之任一項的經施用塗層的電漿潤濕系統的構件,其中該塗層增加活性電漿物種流到該電漿潤濕系統。
  8. 一種塗層之用途,其係用以增加活性電漿物種流到電漿潤濕系統,其中以EDAX測量,該塗層包含:釔氧化物,約具有以下組成:釔,含量為約60原子%至約80原子%;氧,含量為約20原子%至約40原子%;及鋁氮氧化物,約具有以下組成:鋁,含量介於約25原子%至約60原子%之間;氧,含量介於約20原子%至約40原子%之間;氮,含量介於約20原子%至約40原子%之間。
TW103140393A 2013-11-21 2014-11-21 經施用塗層的電漿潤濕系統的構件及塗層之用途 TWI751098B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201361907214P 2013-11-21 2013-11-21
US61/907,214 2013-11-21

Publications (2)

Publication Number Publication Date
TW201522712A TW201522712A (zh) 2015-06-16
TWI751098B true TWI751098B (zh) 2022-01-01

Family

ID=52278737

Family Applications (2)

Application Number Title Priority Date Filing Date
TW110117952A TW202208651A (zh) 2013-11-21 2014-11-21 用於電漿系統之腔室構件的表面塗層
TW103140393A TWI751098B (zh) 2013-11-21 2014-11-21 經施用塗層的電漿潤濕系統的構件及塗層之用途

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW110117952A TW202208651A (zh) 2013-11-21 2014-11-21 用於電漿系統之腔室構件的表面塗層

Country Status (8)

Country Link
US (1) US11764037B2 (zh)
EP (1) EP3071726B1 (zh)
JP (2) JP2016540889A (zh)
KR (3) KR20230044030A (zh)
CN (2) CN115094377A (zh)
SG (1) SG10201804237VA (zh)
TW (2) TW202208651A (zh)
WO (1) WO2015077601A1 (zh)

Families Citing this family (245)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN108425090A (zh) 2011-08-10 2018-08-21 恩特格里斯公司 具有视需要氧化钇覆盖层的经AlON涂布的基质
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
TWI683888B (zh) 2015-03-18 2020-02-01 美商恩特葛瑞斯股份有限公司 塗佈有經氟退火膜之物品
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
WO2019053925A1 (ja) * 2017-09-12 2019-03-21 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置及びプログラム
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
CN113261073A (zh) * 2018-12-15 2021-08-13 恩特格里斯公司 利用非钨材料的氟离子植入系统和其使用方法
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112899617B (zh) * 2019-12-04 2023-03-31 中微半导体设备(上海)股份有限公司 形成耐等离子体涂层的方法、装置、零部件和等离子体处理装置
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102676989A (zh) * 2011-03-11 2012-09-19 鸿富锦精密工业(深圳)有限公司 镀膜件及其制备方法
US20130284373A1 (en) * 2012-04-27 2013-10-31 Applied Materials, Inc. Plasma resistant ceramic coated conductive article

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU2211488A (en) 1987-10-01 1989-04-06 Gte Laboratories Incorporated Oxidation resistant, high temperature thermal cyling resistant coatings on silicon-based substrates and process for the production thereof
US4950558A (en) * 1987-10-01 1990-08-21 Gte Laboratories Incorporated Oxidation resistant high temperature thermal cycling resistant coatings on silicon-based substrates and process for the production thereof
US4931756A (en) 1988-04-08 1990-06-05 Energy Conversion Devices, Inc. High power microwave transmissive window assembly
US5616208A (en) * 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
US20080213496A1 (en) 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US7311797B2 (en) * 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
JP3650772B2 (ja) 2002-12-17 2005-05-25 松下電器産業株式会社 プラズマ処理装置
JP4031732B2 (ja) * 2003-05-26 2008-01-09 京セラ株式会社 静電チャック
US20050241669A1 (en) * 2004-04-29 2005-11-03 Tokyo Electron Limited Method and system of dry cleaning a processing chamber
JP5046480B2 (ja) * 2004-09-24 2012-10-10 京セラ株式会社 耐食性部材とその製造方法、およびこれを用いた半導体・液晶製造装置用部材
US20070028842A1 (en) * 2005-08-02 2007-02-08 Makoto Inagawa Vacuum chamber bottom
US7446284B2 (en) * 2005-12-21 2008-11-04 Momentive Performance Materials Inc. Etch resistant wafer processing apparatus and method for producing the same
JP5031259B2 (ja) * 2006-04-27 2012-09-19 京セラ株式会社 耐食性部材とその製造方法およびこれを用いた半導体・液晶製造装置
US20100136169A1 (en) 2007-04-20 2010-06-03 Dsm Ip Assets B.V. Novel asparaginases and uses thereof
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
JP2009280483A (ja) 2008-04-25 2009-12-03 Kyocera Corp 耐食性部材およびその製造方法ならびに処理装置
CN108425090A (zh) 2011-08-10 2018-08-21 恩特格里斯公司 具有视需要氧化钇覆盖层的经AlON涂布的基质
TWI539018B (zh) 2011-08-26 2016-06-21 Toyo Boseki 阻氣性薄膜
US9034199B2 (en) * 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9138864B2 (en) * 2013-01-25 2015-09-22 Kennametal Inc. Green colored refractory coatings for cutting tools
US9123651B2 (en) * 2013-03-27 2015-09-01 Lam Research Corporation Dense oxide coated component of a plasma processing chamber and method of manufacture thereof
US11346006B2 (en) * 2019-11-27 2022-05-31 University Of Central Florida Research Foundation, Inc. Rare-earth doped thermal barrier coating bond coat for thermally grown oxide luminescence sensing

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102676989A (zh) * 2011-03-11 2012-09-19 鸿富锦精密工业(深圳)有限公司 镀膜件及其制备方法
US20130284373A1 (en) * 2012-04-27 2013-10-31 Applied Materials, Inc. Plasma resistant ceramic coated conductive article

Also Published As

Publication number Publication date
CN115094377A (zh) 2022-09-23
EP3071726B1 (en) 2022-12-28
JP2016540889A (ja) 2016-12-28
JP2021130875A (ja) 2021-09-09
WO2015077601A1 (en) 2015-05-28
TW202208651A (zh) 2022-03-01
EP3071726A1 (en) 2016-09-28
JP7269278B2 (ja) 2023-05-08
TW201522712A (zh) 2015-06-16
US11764037B2 (en) 2023-09-19
US20170032942A1 (en) 2017-02-02
KR20220002721A (ko) 2022-01-06
KR20160088357A (ko) 2016-07-25
SG10201804237VA (en) 2018-06-28
KR20230044030A (ko) 2023-03-31
CN106414789A (zh) 2017-02-15

Similar Documents

Publication Publication Date Title
TWI751098B (zh) 經施用塗層的電漿潤濕系統的構件及塗層之用途
JP7408273B2 (ja) チャンバコンポーネント用金属オキシフッ化物膜
US20080216958A1 (en) Plasma Reaction Apparatus Having Pre-Seasoned Showerheads and Methods for Manufacturing the Same
CN107112223B (zh) 硅化合物用蚀刻气体组合物及蚀刻方法
TWI716378B (zh) 蝕刻方法
CN109461648A (zh) 一种碳化硅器件制造方法
JP2022116000A (ja) 空隙を形成するためのシステム及び方法
KR101953149B1 (ko) 플라스마 처리 방법
CN110211870B (zh) 晶圆减薄方法
EP2148361A1 (en) Dry etching apparatus and dry etching method
US20230386795A1 (en) Surface coating for chamber components used in plasma systems
KR102439193B1 (ko) 에칭 챔버에서의 에칭량의 신속한 복구를 위해 알루미늄 옥시-플루오라이드 층을 증착하기 위한 방법
US11837448B2 (en) High-temperature chamber and chamber component cleaning and maintenance method and apparatus
TWI753494B (zh) 一種在無晶圓的真空反應腔内鍍膜的方法及晶圓處理方法
Dictus et al. Impact of metal etch residues on etch species density and uniformity
TW201505091A (zh) 玻璃基底的蝕刻方法
CN108389780B (zh) 氮化硅薄膜及其制备方法
TW202347443A (zh) 用於電漿蝕刻的側壁鈍化
CN105304465B (zh) 工艺室、制备工艺室的方法和操作工艺室的方法