CN107112223B - 硅化合物用蚀刻气体组合物及蚀刻方法 - Google Patents

硅化合物用蚀刻气体组合物及蚀刻方法 Download PDF

Info

Publication number
CN107112223B
CN107112223B CN201580055015.0A CN201580055015A CN107112223B CN 107112223 B CN107112223 B CN 107112223B CN 201580055015 A CN201580055015 A CN 201580055015A CN 107112223 B CN107112223 B CN 107112223B
Authority
CN
China
Prior art keywords
etching
vol
gas composition
sio
sin
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201580055015.0A
Other languages
English (en)
Other versions
CN107112223A (zh
Inventor
高桥至直
加藤惟人
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Kanto Denka Kogyo Co Ltd
Original Assignee
Kanto Denka Kogyo Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kanto Denka Kogyo Co Ltd filed Critical Kanto Denka Kogyo Co Ltd
Publication of CN107112223A publication Critical patent/CN107112223A/zh
Application granted granted Critical
Publication of CN107112223B publication Critical patent/CN107112223B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

提供在薄膜形成时可以对对象基板等目标物有效地进行精密加工,且可通过无等离子体蚀刻有效地去除沉积或附着于除该对象基板等目标物以外的硅系化合物的蚀刻气体组合物及蚀刻方法。一种蚀刻气体组合物,其特征在于,包含(1)XF(X为Cl、Br或I)所示的氟化卤素化合物作为主要成分,还包含:(2)F2、(3)XFn(X为Cl、Br或I,n为3以上的整数)所示的氟化卤素化合物、(4)HF、(5)O2以及(6)选自Cl2、Br2和I2中的1种以上的卤素气体单质。

Description

硅化合物用蚀刻气体组合物及蚀刻方法
技术领域
本发明涉及蚀刻气体组合物及蚀刻方法,特别是涉及不使用等离子体,而用于对沉积于半导体基板上的硅化合物选择性地进行蚀刻的蚀刻气体组合物及蚀刻方法。
背景技术
近年来,在取得了惊人的发展的半导体产业中,使用CVD、真空蒸镀等的薄膜形成工艺构成了不可缺少的重要的制造工序的一部分,目前多数薄膜形成装置、蚀刻装置、清洁装置持续运作中。使用这些装置时,最大问题是在作为对象的基板以外的位置生成大量的沉积物、附着物,对目标基板以外发生蚀刻。
这些沉积物、附着物的去除通常以利用强酸的湿式法、利用ClF3或者F2/N2的干式法来进行。
例如,专利文献1中公开了,使用卤间化合物气体(interhalogen gas)(作为例子,为ClF、ClF3、ClF5、BrF、BrF5、IF、IF3、IF5、以及IF7)、由氧与卤素的化合物构成的气体(作为例子,为OF2气体)、含氢原子的化合物(作为例子,为H2O气体、醇气体、甲烷气体、氢气),对表面生成有硅氧化膜的被处理体的硅氧化膜进行蚀刻,然后通过卤间化合物气体、由氧和卤素构成的化合物中的任意气体对被处理体进行蚀刻的技术。作为卤间化合物气体的ClF3由于硅氧化膜的蚀刻速率非常慢,因此在如生成硅的自然氧化膜那样的被处理体的蚀刻中需要使用稀氢氟酸的湿式蚀刻的前处理,但除了卤间化合物气体以外还使用包含氢的气体,且通过冷却被处理体可对被处理体在接近湿润的状态下进行蚀刻,有在无前处理的情况下,即可对生成硅自然氧化膜的被处理体进行蚀刻的优点,但另一方面,需要冷却被处理体,且也有通过蚀刻被处理体而生成的脱附物无法气化而残留的担心。
另外,专利文献2中公开了,使用选自ClF3、ClF、BrF、BrF3、IF、IF3的氟化卤素气体,对被处理体照射光(紫外光、激光),来对被处理体的钨硅化物、钼硅化物进行各向异性蚀刻的技术。由于通过紫外光、激光使氟化卤素气体激发,因此无需使用等离子体,因此有可进行不会对半导体元件赋予等离子体损伤的蚀刻的优点,但存在该光会对被处理体赋予损伤的问题。
进而,专利文献3公开了,使用选自ClF3、ClF、NF3、F2、HF的氟系气体与选自Cl2、HCl的氯系气体的混合气体,对基板照射紫外线予以激发,来对氮化硅膜和硅膜连续地进行蚀刻的技术。氟系气体以硅、氮化硅、氧化硅的顺序蚀刻速率依次变高,而另一方面,氯系气体有虽然蚀刻硅,但完全无法蚀刻氮化硅及氧化硅这样的特征,其优点在于通过控制氟系气体与氯系气体的分压,可在不对基底赋予损伤的情况下对氮化硅膜和硅膜连续地进行蚀刻。而且,该方法也会产生因照射紫外线导致的对被处理体的损伤。
另一方面,专利文献4中公开了,使用作为氟化卤素的ClF、ClF3、BrF、BrF3、IF、IF3仅对硅支撑基板进行蚀刻,而将在硅基板上形成的半导体集成电路剥离的技术;还公开了一种方法,其特征在于,在使用这些气体的无等离子体蚀刻中由于硅氧化膜未完全被蚀刻,因此能够选择地仅对硅支撑基板进行蚀刻,可以不对半导体集成电路赋予损伤地进行剥离。
另外,干式法中,从蚀刻速率的迅速性、操作的容易性等的观点出发,大体上使用选自NF3、SF6、CF4等各种氟系气体的蚀刻气体。然而,对于使用NF3、SF6等的蚀刻,通常需要等离子体气氛,因此装置上的限制较大,而且也会产生气体本身(气体中所含的杂质、或者加工、去除时产生的副产物)对于目标基板成为新的污染源的问题。
现有技术文献
专利文献
专利文献1:日本特开平7-193055号公报
专利文献2:日本特开平8-167597号公报
专利文献3:日本特开平9-321025号公报
专利文献4:日本特开2007-311827号公报
发明内容
发明要解决的问题
本发明的目的在于解决如上述的问题,提供在薄膜形成时可以对对象基板等目标物有效地进行精密加工的蚀刻气体组合物及蚀刻方法。
另外,本发明的目的在于,提供可通过无等离子体蚀刻更有效地去除沉积或附着于除该对象基板等目标物以外的硅系化合物的蚀刻气体组合物及蚀刻方法。
用于解决问题的方案
本发明人等为了实现上述目的,进行了深入研究,结果发现:为了对薄膜形成时生成的硅系化合物的沉积物有效且精密地进行加工,作为氟化卤素化合物,将ClF、BrF、以及IF等的分子内具有1个氟原子的氟化卤素化合物(卤素为除氟原子以外的卤素)作为主要成分、且含有F2作为副成分的气体组合物是有效的,从而完成了本发明。
根据本发明,提供以下方案。
[1]一种蚀刻气体组合物,其特征在于,包含(1)XF(X为Cl、Br或I)所示的氟化卤素化合物作为主要成分,还包含:(2)F2、(3)XFn(X为Cl、Br或I,n为3以上的整数)所示的氟化卤素化合物、(4)HF、(5)O2以及(6)选自Cl2、Br2和I2中的1种以上的卤素气体单质。
[2]根据[1]所述的蚀刻气体组合物,其包含:(1)XF(X为Cl、Br或I)所示的氟化卤素化合物90.00vol%~99.999vol%、(2)F2和(6)卤素气体单质的总计为0.000005vol%~0.20vol%、(3)XFn(X为Cl、Br或I,n为3以上的整数)所示的氟化卤素化合物0.000005vol%~0.10vol%、(4)HF0.000003vol%~0.30vol%以及(5)余量O2
[3]根据[1]所述的蚀刻气体组合物,其包含:(1)XF(X为Cl、Br或I)所示的氟化卤素化合物90.00vol%~99.999vol%、(2)F2和(6)卤素气体单质的总计为0.000005vol%~0.20vol%、(3)XFn(X为Cl、Br或I,n为3以上的整数)所示的氟化卤素化合物0.000005vol%~0.10vol%、(4)HF0.000003vol%~0.30vol%、(5)余量O2以及稀释剂。
[4]一种蚀刻方法,其特征在于,其为通过由热能引起的蚀刻气体的激发对半导体基板进行蚀刻或加工的方法,不使用等离子体,而使用[1]~[3]中任一项所述的蚀刻气体组合物,对选自晶体硅、非晶硅、多晶硅、SiC、SiOC、SiOCN、SiON、SiCN、SiN、SiOm(m为自然数)Poly-Si、以及Poly-SiOm(m为自然数)的硅化合物的沉积物或薄膜进行加工或蚀刻。
[5]一种蚀刻方法,其特征在于,其为通过由热能引起的蚀刻气体的激发对半导体基板进行蚀刻或加工的方法,不使用等离子体,而以-10℃~800℃的蚀刻温度使用[1]~[3]中任一项所述的蚀刻气体组合物,对选自晶体硅、非晶硅、多晶硅、SiC、SiOC、SiOCN、SiON、SiCN、SiN、SiOm(m为自然数)、Poly-Si、以及Poly-SiOm(m为自然数)的硅化合物的沉积物或薄膜进行加工或蚀刻。
[6]根据[5]所述的蚀刻方法,其特征在于,蚀刻温度为0℃~400℃。
发明的效果
根据本发明,可以消除现有的蚀刻气体组合物及蚀刻方法中的问题,可提供不使用等离子体,即可选择性地进行蚀刻的蚀刻气体组合物及蚀刻方法。
本发明的蚀刻气体组合物及蚀刻方法可发挥以下效果。
1)可以控制高温(200℃以上)条件下的蚀刻速率。
2)由于蚀刻气体组合物为反应性低的气体,因此,在各种温度区域呈现出优异的蚀刻选择性。因此,可仅对沉积于除目标半导体基板以外的位置的硅系化合物选择性地进行蚀刻而有效且经济性地去除。
3)也可以实现低温(100℃以下)条件下的蚀刻,可提高生产率,经济上也有利。
附图说明
图1为示出实施例1和比较例1中的蚀刻速率的图。
图2为示出实施例2和比较例2中的对SiN的蚀刻速率的图。
图3为示出实施例2和比较例2中的对SiO2的蚀刻速率的图。
图4为示出实施例3和比较例3中的对poly-Si的蚀刻速率的图。
具体实施方式
本发明的蚀刻气体组合物的特征在于,包含(1)XF(X为Cl、Br或I)所示的氟化卤素化合物作为主要成分,还包含:(2)F2、(3)XFn(X为Cl、Br或I,n为3以上的整数)所示的氟化卤素化合物、(4)HF、(5)O2以及(6)选自Cl2、Br2和I2中的1种以上的卤素气体单质。特别是,优选如下蚀刻气体组合物,包含:(1)XF(X为Cl、Br或I)所示的氟化卤素化合物90.00vol%~99.999vol%、(2)F2和(6)卤素气体单质的总计为0.000005vol%~0.20vol%、(3)XFn(X为Cl、Br或I,n为3以上的整数)所示的氟化卤素化合物0.000005vol%~0.10vol%、(4)HF0.000003vol%~0.30vol%以及(5)余量O2;或者优选如下蚀刻气体组合物,包含:(1)XF(X为Cl、Br或I)所示的氟化卤素化合物90.00vol%~99.999vol%、(2)F2和(6)卤素气体单质的总计为0.000005vol%~0.20vol%、(3)XFn(X为Cl、Br或I,n为3以上的整数)所示的氟化卤素化合物0.000005vol%~0.10vol%、(4)HF0.000003vol%~0.30vol%、(5)余量O2以及稀释剂。进而更优选如下蚀刻气体组合物,包含:(1)XF(X为Cl、Br或I)所示的氟化卤素化合物90.00vol%~99.90vol%、(2)F2和(6)卤素气体单质的总计为0.0005vol%~0.20vol%、(3)XFn(X为Cl、Br或I,n为3以上的整数)所示的氟化卤素化合物0.0005vol%~0.10vol%、(4)HF0.0003vol%~0.30vol%以及(5)余量O2;或者更优选如下蚀刻气体组合物,包含:(1)XF(X为Cl、Br或I)所示的氟化卤素化合物90.00vol%~99.90vol%、(2)F2和(6)卤素气体单质的总计为0.0005vol%~0.20vol%、(3)XFn(X为Cl、Br或I,n为3以上的整数)所示的氟化卤素化合物0.0005vol%~0.10vol%、(4)HF0.0003vol%~0.30vol%、(5)余量O2以及稀释剂。
作为稀释剂,可以使用不影响蚀刻处理的氮气、氩气、氦气等非活性气体(稀有气体、或不与蚀刻对象物反应的气体)。对于稀释浓度没有特别的限制,可以以相对于蚀刻气体组合物整体的稀释剂浓度计为0.001vol%~99.9vol%使用,相对于蚀刻气体组合物优选设为0.01vol%~99.0vol%。
对于本发明的蚀刻气体组合物中作为主要成分所含的XF(X为Cl、Br或I)所示的氟化卤素化合物,与现有的蚀刻气体组合物中作为主要成分所含的ClF3、BrF3相比反应性低,因此在各种温度区域进行蚀刻时不会蚀刻过深,具有对蚀刻对象物质的高选择性,因此蚀刻的控制是容易的。
另外,本发明的蚀刻方法的特征在于,为通过由热能引起的蚀刻气体的激发来对半导体基板进行蚀刻或加工的方法,不使用等离子体,而使用本发明的蚀刻气体组合物,对选自晶体硅、非晶硅、多晶硅、SiC、SiOC、SiOCN、SiON、SiCN、SiN、SiOm(m为自然数)、Poly-Si、以及Poly-SiOm(m为自然数)的硅化合物的沉积物或薄膜进行加工或蚀刻。
作为蚀刻对象物,可举出:成膜有单一的Si膜、SiC膜、SiOm(m为自然数)膜、以及Poly-SiOm(m为自然数)膜(聚二氧化硅膜)等单层的基板;在SiC膜上成膜有SiO2膜的基板;在SiN膜上成膜有SiO2膜的基板;在Si单层膜上成膜有SiN膜、并在其上成膜有SiO2膜的层叠基板;在SiN膜上层叠有Poly-SiOm(m为自然数)膜(聚二氧化硅膜)的基板;或在SiC膜上层叠有SiN膜、并在其上形成SiO2膜、进而在其上形成Poly-SiOm(m为自然数)膜等多个层叠膜的基板等。本发明的蚀刻气体组合物显示出优异的选择性,因此,适用于对多层层叠膜基板的特定层进行蚀刻。
蚀刻温度可以在-10℃~800℃的范围、优选为0℃~600℃的范围、进一步优选为0℃~400℃的范围。在选择蚀刻对象物而有效地实施蚀刻时,特别优选0℃~100℃。此外,另一方面,在控制温度且实施蚀刻时,特别优选为100℃~600℃的范围,进一步特别优选100℃~400℃的范围。本发明的蚀刻气体组合物的蚀刻速率虽然会随着温度上升而增加,但与现有的将ClF3、BrF3作为主要成分的蚀刻气体组合物相比较,伴随温度上升的蚀刻速率上升缓慢,因此,容易通过温度控制来控制蚀刻速率。即,本发明的蚀刻气体组合物对于Si系化合物(以及其沉积物)的蚀刻,在0℃~100℃具有优异的物质选择性。另外,在200℃以上,特别是在200℃~400℃,可发挥温度控制性高的效果。在200℃以上的高温下也可以进行操作,因此,也可以发挥缩减装置的停机时间,而提高装置运转率的效果。进而,在100℃以下的低温下,在不使用等离子体的条件下,也可以对例如SiN等特定的Si系化合物膜物质选择性地进行蚀刻加工,因此,可以减少半导体制造装置等的装置损伤。
作为蚀刻压力,优选0Pa~1.0×105Pa、特别优选1.3×102Pa~5.33×104Pa(1torr~400torr)左右。
实施例
以下,根据实施例和比较例进一步对本发明进行详细说明,但本发明并不限定于这些。
[实施例1-1]
将基板上1063nm的膜厚的SiO2晶圆(基板厚度0.725mm)设置于装置内,填充由ClF:96.500vol%、ClF3:0.038vol%、F2:0.050vol%、HF:0.012vol%、O2:3.400vol%构成的蚀刻气体组合物,在50℃下进行30秒蚀刻处理。蚀刻处理后,测定残留于基板上的SiO2的膜厚,结果为1047nm。基于初始膜厚与处理后膜厚的差计算蚀刻速率,结果为20.1nm/分钟。
重复5次上述蚀刻处理,由蚀刻速率的平均值和标准偏差算出变动系数(标准偏差/平均值×100),结果为0.08%。
[实施例1-2]
将蚀刻温度变更为100℃,除此以外,进行与实施例1-1相同的处理,得到蚀刻速率30.5nm/分钟、变动系数0.06%。
[实施例1-3]
将蚀刻温度变更为200℃,除此以外,进行与实施例1-1相同的处理,得到蚀刻速率58.7nm/分钟、变动系数0.08%。
[实施例1-4]
将蚀刻温度变更为300℃,除此以外,进行与实施例1-1相同的处理,得到蚀刻速率86.1nm/分钟、变动系数0.07%。
[实施例1-5]
将蚀刻温度变更为400℃,除此以外,进行与实施例1-1相同的处理,得到蚀刻速率111.2nm/分钟、变动系数0.09%。
[实施例1-6]
将蚀刻温度变更为800℃,除此以外,进行与实施例1-1相同的处理,得到蚀刻速率243.4nm/分钟、变动系数0.10%。
[比较例1-1]
将蚀刻气体组合物变更为ClF:0.038vol%、ClF3:96.500vol%、F2:0.050vol%、HF:0.012vol%、O2:3.400vol%,除此以外,进行与实施例1-1相同的处理,得到蚀刻速率22.4nm/分钟、变动系数0.09%。
[比较例1-2]
使用与比较例1-1相同的蚀刻气体组合物,除此以外,进行与实施例1-2相同的处理,得到蚀刻速率26.9nm/分钟、变动系数0.13%。
[比较例1-3]
使用与比较例1-1相同的蚀刻气体组合物,除此以外,进行与实施例1-3相同的处理,得到蚀刻速率52.7nm/分钟、变动系数0.10%。
[比较例1-4]
使用与比较例1-1相同的蚀刻气体组合物,除此以外,进行与实施例1-4相同的处理,得到蚀刻速率75.6nm/分钟、变动系数0.29%。
[比较例1-5]
使用与比较例1-1相同的蚀刻气体组合物,除此以外,进行与实施例1-5相同的处理,得到蚀刻速率431.4nm/分钟、变动系数0.86%。
[实施例2-1]
将基板上300nm的膜厚的SiN晶圆(基板厚度:0.775mm)设置于装置内,填充ClF:92.500vol%、ClF3:0.038vol%、F2:0.012vol%、HF:0.050vol%、O2:3.400vol%、N2:4.000vol%的蚀刻气体组合物,在100℃下进行30秒蚀刻处理。蚀刻处理后,残留于基板上的SiN的膜厚为299.3nm。基于初始膜厚与处理后膜厚的差计算蚀刻速率,结果为1.4nm/分钟。
重复5次上述蚀刻处理,由蚀刻速率的平均值和标准偏差算出变动系数(标准偏差/平均值×100),结果为0.05%。
使用实施例2-1中所使用的蚀刻气体组合物进行实施例1-2的蚀刻处理,求出蚀刻速率(实施例2-5),与实施例2-1的蚀刻速率相比,求出对基板物质的选择比SiO2/SiN以及SiN/SiO2,结果分别为22.4及0.04。
[实施例2-2]
将蚀刻温度变更为200℃,除此以外,进行与实施例2-1相同的处理,得到蚀刻速率3.3nm/分钟、变动系数0.06%。
使用实施例2-2中所使用的蚀刻气体组合物进行实施例1-3的蚀刻处理,求出蚀刻速率(实施例2-6),与实施例2-2的蚀刻速率相比,求出对基板物质的选择比SiO2/SiN以及SiN/SiO2,结果分别为17.7及0.06。
[实施例2-3]
将蚀刻温度变更为300℃,除此以外,进行与实施例2-1相同的处理,得到蚀刻速率13.0nm/分钟、变动系数0.08%。
使用实施例2-3中所使用的蚀刻气体组合物进行实施例1-4的蚀刻处理,求出蚀刻速率(实施例2-7),与实施例2-3的蚀刻速率相比,求出对基板物质的选择比SiO2/SiN以及SiN/SiO2,结果分别为6.62及0.15。
[实施例2-4]
将蚀刻温度变更为400℃,除此以外,进行与实施例2-1相同的处理,得到蚀刻速率146.2nm/分钟、变动系数0.09%。
使用实施例2-4中所使用的蚀刻气体组合物进行实施例1-5的蚀刻处理,求出蚀刻速率(实施例2-8),与实施例2-4的蚀刻速率相比,求出对基板物质的选择比SiO2/SiN以及SiN/SiO2,结果分别为0.78及1.28。
[比较例2-1]
将蚀刻气体组合物变更为ClF:0.038vol%、ClF3:92.500vol%、F2:0.050vol%、HF:0.012vol%、O2:3.400vol%、N:4.000vol%,除此以外,进行与实施例2-1相同的处理,得到蚀刻速率1.9nm/分钟、变动系数0.15%。
使用比较例2-1中使用的蚀刻气体组合物进行比较例1-2的蚀刻处理,求出蚀刻速率(比较例2-5),与比较例2-1的蚀刻速率相比,求出对基板物质的选择比SiO2/SiN以及SiN/SiO2,结果分别为16.8及0.06。
[比较例2-2]
将蚀刻温度变更为200℃,除此以外,进行与比较例2-1相同的处理,得到蚀刻速率3.1nm/分钟、变动系数0.16%。
使用比较例2-2中所使用的蚀刻气体组合物进行比较例1-3的蚀刻处理,求出蚀刻速率(比较例2-6),与比较例2-2的蚀刻速率相比,求出对基板物质的选择比SiO2/SiN以及SiN/SiO2,结果分别为17.1及0.06。
[比较例2-3]
将蚀刻温度变更为300℃,除此以外,进行与比较例2-1相同的处理,得到蚀刻速率84.9nm/分钟、变动系数0.33%。
使用比较例2-3中所使用的蚀刻气体组合物进行比较例1-4的蚀刻处理,求出蚀刻速率(比较例2-7),与比较例2-3的蚀刻速率相比,求出对基板物质的选择比SiO2/SiN以及SiN/SiO2,结果分别为0.89及1.12。
[比较例2-4]
将蚀刻温度变更为400℃,除此以外,进行与比较例2-1相同的处理,得到蚀刻速率731.2nm/分钟、变动系数0.92%。
使用比较例2-4中所使用的蚀刻气体组合物进行比较例1-5的蚀刻处理,求出蚀刻速率(比较例2-8),与比较例2-4的蚀刻速率相比,求出对基板物质的选择比SiO2/SiN以及SiN/SiO2,结果分别为0.59及1.68。
[实施例2-5~2-8]
将蚀刻对象物变更为实施例1的基板上1063nm的膜厚的SiO2晶圆(基板厚度0.725mm),除此以外,与实施例2-1~2-4同样地进行操作,得到表1示出的蚀刻速率、变动系数、以及选择比。
[比较例2-5~2-8]
将蚀刻气体组合物变更为与比较例2-1~2-4相同的蚀刻气体组合物,除此以外,与实施例2-5~2-8同样地进行操作,得到表1示出的蚀刻速率、变动系数、以及选择比。
[实施例3-1]
将基板上300nm的膜厚的poly-Si晶圆(基板厚度:0.725mm)设置于装置内,填充ClF:92.500vol%、ClF3:0.038vol%、F2:0.012vol%、HF:0.050vol%、O2:3.400vol%、N2:4.000vol%的蚀刻气体组合物,在100℃下进行30秒蚀刻处理。蚀刻处理后,残留于基板上的poly-Si的膜厚为299.9nm。基于初始膜厚与处理后膜厚的差计算蚀刻速率,结果为0.2nm/分钟。
重复5次上述蚀刻处理,由蚀刻速率的平均值和标准偏差算出变动系数(标准偏差/平均值×100),结果为0.07%。
实施例3-1中求出的对poly-Si的蚀刻速率与实施例2-1和实施例2-5中求出的对SiO2以及SiN的蚀刻速率相比,求出对基板物质的选择比SiO2/poly-Si、poly-Si/SiO2、SiN/poly-Si以及poly-Si/SiN,结果分别为157.0、0.01、7.0及0.14。
[实施例3-2]
将蚀刻温度变更为200℃,进行与实施例3-1相同的处理,得到蚀刻速率170.9nm/分钟、变动系数0.07%。
实施例3-2中求出的对poly-Si的蚀刻速率与实施例2-2和实施例2-6中求出的对SiO2以及SiN的蚀刻速率相比,求出对基板物质的选择比SiO2/poly-Si、poly-Si/SiO2、SiN/poly-Si以及poly-Si/SiN,结果分别为0.34、2.92、0.02及51.8。
[实施例3-3]
将蚀刻温度变更为300℃,除此以外,进行与实施例3-1相同的处理,得到蚀刻速率375.4nm/分钟、变动系数0.10%。
实施例3-3中求出的对poly-Si的蚀刻速率与实施例2-3和实施例2-7中求出的对SiO2以及SiN的蚀刻速率相比,求出对基板物质的选择比SiO2/poly-Si、poly-Si/SiO2、SiN/poly-Si以及poly-Si/SiN,结果分别为0.23、4.37、0.03及28.9。
[实施例3-4]
将蚀刻温度变更为400℃,除此以外,进行与实施例3-1相同的处理,得到蚀刻速率413.3nm/分钟、变动系数0.12%。
实施例3-4中求出的对poly-Si的蚀刻速率与实施例2-4和实施例2-8中求出的对SiO2以及SiN的蚀刻速率相比,求出对基板物质的选择比SiO2/poly-Si、poly-Si/SiO2、SiN/poly-Si以及poly-Si/SiN,结果分别为0.28、3.61、0.35及2.83。
[比较例3-1]
将蚀刻气体组合物变更为ClF:0.038vol%、ClF3:92.500vol%、F2:0.050vol%、HF:0.012vol%、O2:3.400vol%、N:4.000vol%,除此以外,进行与实施例3-1相同的处理,结果变成过蚀刻。蚀刻速率为604.4nm/分钟。
重复5次上述蚀刻处理,由蚀刻速率的平均值和标准偏差算出变动系数(标准偏差/平均值×100),结果为0.56%。
比较例3-1中求出的对poly-Si的蚀刻速率与比较例2-1和比较例2-5中求出的对SiO2以及SiN的蚀刻速率相比,求出对基板物质的选择比SiO2/poly-Si、poly-Si/SiO2、SiN/poly-Si以及poly-Si/SiN,结果分别为0.05、18.9、0.003及318.1。
[比较例3-2]
将蚀刻温度变更为200℃,除此以外,进行与比较例3-1相同的处理,结果变成过蚀刻。算出蚀刻速率为604.3nm/分钟、变动系数为0.63%。
比较例3-2中求出的对poly-Si的蚀刻速率与比较例2-2和比较例2-6中求出的对SiO2以及SiN的蚀刻速率相比,求出对基板物质的选择比SiO2/poly-Si、poly-Si/SiO2、SiN/poly-Si以及poly-Si/SiN,结果分别为0.09、11.4、0.01及194.9。
将实施例和比较例的结果示于表1及图1-4中。
[表1]
Figure GDA0001266143890000141
通过实施例1-1~1-6及比较例1-1~1-5的对比、实施例2-1~2-8及比较例2-1~2-8的对比、和实施例3-1~3-4及比较例3-1~3-2的对比,可知:本发明的蚀刻气体组合物与包含三氟化物气体作为主要成分的现有的蚀刻气体组合物相比,对于特定的Si系化合物膜物质在特定温度范围、例如对于SiO2在100℃~800℃的范围、对于SiN在300℃~400℃的范围、对于poly-Si在100℃~300℃的温度范围,反应性线性且缓慢地增加,容易通过温度控制来控制蚀刻速率。另外,本发明的蚀刻气体组合物由于变动系数小、蚀刻处理的不均少,因此,适于精密加工。
本发明的蚀刻气体组合物发挥如下效果:在Si系化合物(以及其沉积物)的蚀刻中,在0~100℃具有优异的物质选择性,另外,在200℃以上,温度控制性高。在不使用等离子体的条件下,对特定的Si系化合物膜物质能够在低温下选择性地进行加工,因此,能够减少半导体制造装置等的装置损伤。另外,即使在更高温下也能进行操作,因此,也可发挥缩减装置的停机时间,提高装置运转率的效果。

Claims (8)

1.一种蚀刻气体组合物,其特征在于,包含(1)XF所示的氟化卤素化合物90.00vol%~99.999vol%,还包含:(2)F2、(3)XFn所示的氟化卤素化合物、(4)HF、(5)O2以及(6)选自Cl2、Br2和I2中的1种以上的卤素气体单质,其中,X为Cl、Br或I,n为3以上的整数。
2.根据权利要求1所述的蚀刻气体组合物,其包含:(1)XF所示的氟化卤素化合物90.00vol%~99.999vol%、(2)F2和(6)卤素气体单质的总计为0.000005vol%~0.20vol%、(3)XFn所示的氟化卤素化合物0.000005vol%~0.10vol%、(4)HF0.000003vol%~0.30vol%以及(5)余量O2,其中,X为Cl、Br或I,n为3以上的整数。
3.根据权利要求1所述的蚀刻气体组合物,其包含:(1)XF所示的氟化卤素化合物90.00vol%~99.999vol%、(2)F2和(6)卤素气体单质的总计为0.000005vol%~0.20vol%、(3)XFn所示的氟化卤素化合物0.000005vol%~0.10vol%、(4)HF0.000003vol%~0.30vol%、(5)余量O2以及稀释剂,其中,X为Cl、Br或I,n为3以上的整数。
4.一种蚀刻方法,其特征在于,其为通过由热能引起的蚀刻气体的激发对半导体基板进行蚀刻或加工的方法,不使用等离子体,而使用权利要求1~3中任一项所述的蚀刻气体组合物,对选自晶体硅、非晶硅、SiC、SiOC、SiOCN、SiON、SiCN、SiN以及SiOm的硅化合物的沉积物或薄膜进行加工或蚀刻,其中,m为自然数。
5.一种蚀刻方法,其特征在于,其为通过由热能引起的蚀刻气体的激发对半导体基板进行蚀刻或加工的方法,不使用等离子体,而以-10℃~800℃的蚀刻温度使用权利要求1~3中任一项所述的蚀刻气体组合物,对选自晶体硅、非晶硅、SiC、SiOC、SiOCN、SiON、SiCN、SiN以及SiOm的硅化合物的沉积物或薄膜进行加工或蚀刻,其中,m为自然数。
6.根据权利要求4或5所述的蚀刻方法,其特征在于,所述硅化合物为Poly-Si。
7.根据权利要求4或5所述的蚀刻方法,其特征在于,所述硅化合物为Poly-SiOm
8.根据权利要求5所述的蚀刻方法,其特征在于,蚀刻温度为0℃~400℃。
CN201580055015.0A 2014-10-10 2015-08-12 硅化合物用蚀刻气体组合物及蚀刻方法 Active CN107112223B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2014-208668 2014-10-10
JP2014208668 2014-10-10
PCT/JP2015/072827 WO2016056300A1 (ja) 2014-10-10 2015-08-12 ケイ素化合物用エッチングガス組成物及びエッチング方法

Publications (2)

Publication Number Publication Date
CN107112223A CN107112223A (zh) 2017-08-29
CN107112223B true CN107112223B (zh) 2021-05-07

Family

ID=55652925

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201580055015.0A Active CN107112223B (zh) 2014-10-10 2015-08-12 硅化合物用蚀刻气体组合物及蚀刻方法

Country Status (7)

Country Link
US (1) US10287499B2 (zh)
JP (1) JP6280655B2 (zh)
KR (1) KR101953044B1 (zh)
CN (1) CN107112223B (zh)
GB (1) GB2551017B (zh)
TW (1) TWI648429B (zh)
WO (1) WO2016056300A1 (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6689159B2 (ja) * 2016-08-22 2020-04-28 東京エレクトロン株式会社 エッチング方法およびdramキャパシタの製造方法
CN107845574B (zh) * 2017-10-31 2018-11-23 长鑫存储技术有限公司 半导体上刻蚀去除氧化物的方法
JP7072440B2 (ja) * 2018-05-16 2022-05-20 東京エレクトロン株式会社 シリコン含有膜のエッチング方法、コンピュータ記憶媒体、及びシリコン含有膜のエッチング装置
CN108847391B (zh) * 2018-06-01 2021-06-08 北京北方华创微电子装备有限公司 一种非等离子干法刻蚀方法
EP3901991A4 (en) * 2018-12-21 2022-08-17 Showa Denko K.K. ETCHING METHOD USING HALOGEN FLUORIDE AND SEMICONDUCTOR PRODUCTION METHOD
JPWO2021079780A1 (zh) 2019-10-25 2021-04-29
JPWO2021106602A1 (zh) * 2019-11-27 2021-06-03
CN113906541A (zh) * 2020-04-14 2022-01-07 昭和电工株式会社 蚀刻方法和半导体元件的制造方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1136599A (zh) * 1994-11-26 1996-11-27 株式会社半导体能源研究所 腐蚀设备
CN1411040A (zh) * 2001-09-21 2003-04-16 旺宏电子股份有限公司 一种用于制造半导体组件的干式蚀刻方法
CN101263582A (zh) * 2005-09-14 2008-09-10 东京毅力科创株式会社 用于利用基于sf6的化学物质刻蚀掺杂硅的方法和系统
CN103003925A (zh) * 2010-07-12 2013-03-27 中央硝子株式会社 干蚀刻剂以及干蚀刻方法
CN103782369A (zh) * 2011-09-07 2014-05-07 中央硝子株式会社 干蚀刻方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5350480A (en) * 1993-07-23 1994-09-27 Aspect International, Inc. Surface cleaning and conditioning using hot neutral gas beam array
JPH07193055A (ja) 1993-12-27 1995-07-28 Toshiba Corp ドライエッチング方法
JP3234117B2 (ja) 1994-12-12 2001-12-04 株式会社半導体エネルギー研究所 エッチング方法
JPH09321025A (ja) * 1996-05-30 1997-12-12 Fujitsu Ltd 半導体装置の製造方法
US20050241671A1 (en) * 2004-04-29 2005-11-03 Dong Chun C Method for removing a substance from a substrate using electron attachment
US8901699B2 (en) * 2005-05-11 2014-12-02 Cree, Inc. Silicon carbide junction barrier Schottky diodes with suppressed minority carrier injection
JP4799509B2 (ja) 2007-08-16 2011-10-26 株式会社半導体エネルギー研究所 剥離方法
KR101830170B1 (ko) * 2011-05-17 2018-02-21 삼성디스플레이 주식회사 산화물 반도체 소자, 산화물 반도체 소자의 제조 방법, 산화물 반도체소자를 포함하는 표시 장치 및 산화물 반도체 소자를 포함하는 표시 장치의 제조 방법
JP6097192B2 (ja) * 2013-04-19 2017-03-15 東京エレクトロン株式会社 エッチング方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1136599A (zh) * 1994-11-26 1996-11-27 株式会社半导体能源研究所 腐蚀设备
CN1411040A (zh) * 2001-09-21 2003-04-16 旺宏电子股份有限公司 一种用于制造半导体组件的干式蚀刻方法
CN101263582A (zh) * 2005-09-14 2008-09-10 东京毅力科创株式会社 用于利用基于sf6的化学物质刻蚀掺杂硅的方法和系统
CN103003925A (zh) * 2010-07-12 2013-03-27 中央硝子株式会社 干蚀刻剂以及干蚀刻方法
CN103782369A (zh) * 2011-09-07 2014-05-07 中央硝子株式会社 干蚀刻方法

Also Published As

Publication number Publication date
TW201614105A (en) 2016-04-16
TWI648429B (zh) 2019-01-21
CN107112223A (zh) 2017-08-29
KR20170066603A (ko) 2017-06-14
GB2551017A (en) 2017-12-06
GB2551017B (en) 2020-03-11
GB201705722D0 (en) 2017-05-24
JP6280655B2 (ja) 2018-02-14
JPWO2016056300A1 (ja) 2017-07-27
WO2016056300A1 (ja) 2016-04-14
KR101953044B1 (ko) 2019-02-27
US20180251679A1 (en) 2018-09-06
US10287499B2 (en) 2019-05-14

Similar Documents

Publication Publication Date Title
CN107112223B (zh) 硅化合物用蚀刻气体组合物及蚀刻方法
TWI381438B (zh) 半導體處理裝置之清潔方法及矽基板之蝕刻方法
US8435419B2 (en) Methods of processing substrates having metal materials
US9058988B2 (en) Methods for depositing layers having reduced interfacial contamination
US11164767B2 (en) Integrated system for semiconductor process
US20220139720A1 (en) Etching of Metal Oxides Using Fluorine and Metal Halides
CN110462790B (zh) 干蚀刻方法或干式清洗方法
TWI808274B (zh) 含有具有不飽和鍵之含硫氟碳化合物的乾式蝕刻氣體組成物及使用其之乾式蝕刻方法
JP7445150B2 (ja) ドライエッチング方法及び半導体デバイスの製造方法
US20110303639A1 (en) Methods for processing substrates having metal hard masks
JP2003309105A5 (zh)
TWI769118B (zh) 金屬硬式罩幕蝕刻方法
US9653282B2 (en) Silicon-containing substrate cleaning procedure
CN118103950A (zh) 选择性硅沉积
CN117581332A (zh) 在半导体基板的顶表面和底表面上的选择性碳沉积

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant