KR101953044B1 - 규소 화합물용 에칭 가스 조성물 및 에칭 방법 - Google Patents

규소 화합물용 에칭 가스 조성물 및 에칭 방법 Download PDF

Info

Publication number
KR101953044B1
KR101953044B1 KR1020177012477A KR20177012477A KR101953044B1 KR 101953044 B1 KR101953044 B1 KR 101953044B1 KR 1020177012477 A KR1020177012477 A KR 1020177012477A KR 20177012477 A KR20177012477 A KR 20177012477A KR 101953044 B1 KR101953044 B1 KR 101953044B1
Authority
KR
South Korea
Prior art keywords
etching
vol
silicon
sio
gas composition
Prior art date
Application number
KR1020177012477A
Other languages
English (en)
Other versions
KR20170066603A (ko
Inventor
요시나오 다카하시
고레히토 가토
Original Assignee
칸토 덴카 코교 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 칸토 덴카 코교 가부시키가이샤 filed Critical 칸토 덴카 코교 가부시키가이샤
Publication of KR20170066603A publication Critical patent/KR20170066603A/ko
Application granted granted Critical
Publication of KR101953044B1 publication Critical patent/KR101953044B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Abstract

박막 형성시에 대상 기판 등의 목적물에 대하여, 효율적으로 정밀 가공할 수 있고, 또 당해 대상 기판 등의 목적물 이외에 퇴적 혹은 부착된 규소계 화합물을 플라즈마리스 에칭에 의해 효율적으로 제거할 수 있는 에칭 가스 조성물 및 에칭 방법을 제공한다. (1) XF (X 는 Cl, Br 또는 I) 로 나타내는 불화할로겐 화합물을 주성분으로서 함유하고, 또한 (2) F2 와, (3) XFn (X 는 Cl, Br 또는 I, n 은 3 이상의 정수) 으로 나타내는 불화할로겐 화합물과, (4) HF 와, (5) O2 와, (6) Cl2, Br2, 및 I2 에서 선택되는 1 종 이상의 할로겐 가스 단체를 함유하는 것을 특징으로 하는 에칭 가스 조성물.

Description

규소 화합물용 에칭 가스 조성물 및 에칭 방법{ETCHING GAS COMPOSITION FOR SILICON COMPOUND, AND ETCHING METHOD}
본 발명은 에칭 가스 조성물 및 에칭 방법에 관한 것으로, 특히, 플라즈마를 사용하지 않고, 반도체 기판 상에 퇴적되어 있는 규소 화합물을 선택적으로 에칭하기 위한 에칭 가스 조성물 및 에칭 방법에 관한 것이다.
최근, 눈부신 발전을 이루고 있는 반도체 산업에 있어서, CVD 나 진공 증착 등을 사용하는 박막 형성 프로세스는, 빼놓을 수 없는 중요한 제조 공정의 일부를 구성하고 있고, 현재 다수의 박막 형성 장치나 에칭 장치, 클리닝 장치가 가동되고 있다. 이들 장치를 사용하는 경우에, 가장 문제가 되는 것은, 대상이 되는 기판 이외의 장소에 다량의 퇴적물이나 부착물이 생성되는 것이나, 목적하는 기판 이외에 대해 에칭이 일어나는 것이다.
이들 퇴적물이나 부착물의 제거는, 강산에 의한 웨트법이나, ClF3, 혹은 F2/N2 에 의한 드라이법에 의해 실시되고 있는 것이 일반적이다.
예를 들어, 특허문헌 1 에는, 인터 할로겐 가스 (예로서, ClF, ClF3, ClF5, BrF, BrF5, IF, IF3, IF5, 그리고 IF7) 와, 산소와 할로겐의 화합물로 이루어지는 가스 (예로서, OF2 가스), 수소 원자를 함유하는 화합물 (예로서, H2O 가스, 알코올 가스, 메탄 가스, 수소 가스) 을 사용하여 실리콘 산화막이 표면에 생긴 피처리체의 실리콘 산화막을 에칭하고, 그 후 인터 할로겐 가스, 산소와 할로겐으로 이루어지는 화합물 중 어느 하나의 가스에 의해 피처리체를 에칭하는 기술이 개시되어 있다. 인터 할로겐 가스인 ClF3 은, 실리콘 산화막의 에칭 레이트가 매우 느리기 때문에, 실리콘의 자연 산화막이 발생하는 피처리체의 에칭에 있어서는 희불산을 사용한 웨트 에칭의 전처리가 필요해 지지만, 인터 할로겐 가스와 함께 수소를 함유하는 가스를 사용하고 또한, 피처리체를 냉각시킴으로써 피처리체를 웨트에 가까운 상태로 에칭할 수 있는 데다가, 전처리 없이, 실리콘 자연 산화막을 발생시키는 피처리체를 에칭할 수 있는 이점이 있지만, 한편으로, 피처리체를 냉각시킬 필요가 있고, 또 피처리체가 에칭됨으로써 발생하는 탈리물이 가스화되지 않고 잔존할 우려도 있다.
또, 특허문헌 2 에는, ClF3, ClF, BrF, BrF3, IF, IF3 에서 선택되는 불화할로겐 가스를 사용하여, 피처리체에 광 (자외광이나 레이저광) 을 맞게 하여, 피처리체의 텅스텐 실리사이드나 몰리브덴 실리사이드를 이방 에칭하는 기술이 개시되어 있다. 자외광이나 레이저광에 의해 불화할로겐 가스를 여기시키므로, 플라즈마를 사용할 필요가 없고, 그 때문에 반도체 소자에 플라즈마 데미지를 주지 않는 에칭을 실시할 수 있는 이점이 있지만, 그 광이 피처리체에 데미지를 준다는 문제가 있다.
또한 더욱이, 특허문헌 3 에는, ClF3, ClF, NF3, F2, HF 에서 선택되는 불소계 가스와, Cl2, HCl 에서 선택되는 염소계 가스의 혼합 가스를 사용하여, 기판에 자외선을 조사하여 여기시키고, 질화실리콘막과 실리콘막을 연속하여 에칭하는 기술이 개시되어 있다. 불소계 가스는 실리콘, 질화실리콘, 산화실리콘의 순서로 에칭 레이트가 높고, 한편 염소계 가스는, 실리콘은 에칭되기는 하지만, 질화실리콘 및 산화실리콘은 전혀 에칭되지 않는다는 특징이 있어, 불소계 가스와 염소계 가스의 분압을 제어함으로써 질화실리콘막과 실리콘막을 하지 (下地) 에 데미지를 주지 않고 연속적으로 에칭할 수 있는 것이 이점이다. 그러나, 이 방법도, 자외선을 맞히는 것에 의한 피처리체에 대한 데미지가 발생한다.
한편, 특허문헌 4 에는, 불화할로겐으로서 ClF, ClF3, BrF, BrF3, IF, IF3 을 사용하여 실리콘 지지 기판만을 에칭하고, 실리콘 기판 상에 제조된 반도체 집적 회로를 박리하는 기술이 개시되어 있고, 이들 가스를 사용한 논플라즈마 에칭에서는 실리콘 산화막은 전혀 에칭되지 않기 때문에, 선택적으로 실리콘 지지 기판만을 에칭할 수 있어, 반도체 집적 회로에 데미지를 주지 않고 박리할 수 있는 것을 특징으로 하는 방법도 개시되어 있다.
또, 드라이법에 있어서, 에칭 속도의 신속성이나 조작의 용이성 등의 관점에서, 대체로, NF3 이나 SF6, CF4 등 각종 불소계 가스에서 선택된 에칭 가스가 사용되고 있다. 그러나, NF3 이나 SF6 등을 사용한 에칭에 대해서는, 통상적으로 플라즈마 분위기를 필요로 하기 때문에, 장치상의 제약이 크고, 또 가스 그 자체 (가스 중에 함유되는 불순물, 혹은 가공이나 제거시에 발생하는 부생물) 가 목적하는 기판에 대해 새로운 오염원이 된다는 문제도 생기고 있다.
일본 공개특허공보 평7-193055호 일본 공개특허공보 평8-167597호 일본 공개특허공보 평9-321025호 일본 공개특허공보 2007-311827호
본 발명의 목적은, 상기와 같은 문제점을 해결하는 것에 있고, 박막 형성시에 대상 기판 등의 목적물에 대하여, 효율적으로 정밀 가공할 수 있는 에칭 가스 조성물 및 에칭 방법을 제공하는 것에 있다.
또 본 발명의 목적은, 당해 대상 기판 등의 목적물 이외에 퇴적 혹은 부착된 규소계 화합물을 플라즈마리스 에칭에 의해 효율적으로 제거하기 위한 에칭 가스 조성물 및 에칭 방법을 제공하는 것에 있다.
본 발명자들은, 상기 목적을 달성하기 위해서 예의 검토한 결과, 박막 형성시에 생성되는 규소계 화합물의 퇴적물을 효율적으로, 또한, 정밀하게 가공하기 위해서는, 불화할로겐 화합물로서, ClF, BrF, 및 IF 등의 분자 내에 불소 원자를 1 개 갖는 불화할로겐 화합물 (할로겐은 불소 원자 이외의 할로겐) 을 주성분으로 하고, 부성분으로서 F2 를 함유하는 가스 조성물이 유효한 것을 알아내어, 본 발명을 완성하기에 이르렀다.
본 발명에 의하면, 이하의 양태가 제공된다.
[1] (1) XF (X 는 Cl, Br 또는 I) 로 나타내는 불화할로겐 화합물을 주성분으로서 함유하고, 또한 (2) F2 와, (3) XFn (X 는 Cl, Br 또는 I, n 은 3 이상의 정수) 으로 나타내는 불화할로겐 화합물과, (4) HF 와, (5) O2 와, (6) Cl2, Br2, 및 I2 에서 선택되는 1 종 이상의 할로겐 가스 단체를 함유하는 것을 특징으로 하는 에칭 가스 조성물.
[2] (1) XF (X 는 Cl, Br 또는 I) 로 나타내는 불화할로겐 화합물을 90.00 vol% ∼ 99.999 vol% 와, (2) F2 및 (6) 할로겐 가스 단체의 합계로 0.000005 vol% ∼ 0.20 vol% 와, (3) XFn (X 는 Cl, Br 또는 I, n 은 3 이상의 정수) 으로 나타내는 불화할로겐 화합물을 0.000005 vol% ∼ 0.10 vol% 와, (4) HF 를 0.000003 vol% ∼ 0.30 vol% 와, (5) 잔부 O2 를 함유하는 [1] 에 기재된 에칭 가스 조성물.
[3] (1) XF (X 는 Cl, Br 또는 I) 로 나타내는 불화할로겐 화합물을 90.00 vol% ∼ 99.999 vol% 와, (2) F2 및 (6) 할로겐 가스 단체의 합계로 0.000005 vol% ∼ 0.20 vol% 와, (3) XFn (X 는 Cl, Br 또는 I, n 은 3 이상의 정수) 으로 나타내는 불화할로겐 화합물을 0.000005 vol% ∼ 0.10 vol% 와, (4) HF 를 0.000003 vol% ∼ 0.30 vol% 와, (5) 잔부 O2 및 희석제를 함유하는 [1] 에 기재된 에칭 가스 조성물.
[4] 열에너지에 의한 에칭 가스의 여기에 의해 반도체 기판을 에칭 또는 가공하는 방법으로서, 플라즈마를 사용하지 않고, [1] ∼ [3] 중 어느 하나에 기재된 에칭 가스 조성물을 사용하여, 결정 실리콘, 아모르퍼스 실리콘, 다결정 실리콘, SiC, SiOC, SiOCN, SiON, SiCN, SiN, SiOm (m 은 자연수) Poly-Si, 및 Poly-SiOm (m 은 자연수) 에서 선택되는 규소 화합물의 퇴적물 또는 박막을 가공 혹은 에칭하는 것을 특징으로 하는 에칭 방법.
[5] 열에너지에 의한 에칭 가스의 여기에 의해 반도체 기판을 에칭 또는 가공하는 방법으로서, 플라즈마를 사용하지 않고, [1] ∼ [3] 중 어느 하나에 기재된 에칭 가스 조성물을 -10 ℃ ∼ 800 ℃ 의 에칭 온도에서 사용하여, 결정 실리콘, 아모르퍼스 실리콘, 다결정 실리콘, SiC, SiOC, SiOCN, SiON, SiCN, SiN, SiOm (m 은 자연수), Poly-Si, 및 Poly-SiOm (m 은 자연수) 에서 선택되는 규소 화합물의 퇴적물 또는 박막을 가공 혹은 에칭하는 것을 특징으로 하는 에칭 방법.
[6] 에칭 온도는 0 ℃ ∼ 400 ℃ 인 것을 특징으로 하는 [5] 에 기재된 에칭 방법.
본 발명에 의하면, 종래의 에칭 가스 조성물 및 에칭 방법에 있어서의 문제점을 해소하여, 플라즈마를 사용하지 않고, 선택적으로 에칭할 수 있는 에칭 가스 조성물 및 에칭 방법이 제공된다.
본 발명의 에칭 가스 조성물 및 에칭 방법은, 이하의 효과를 나타낸다.
1) 고온 (200 ℃ 이상) 조건하에서의 에칭 속도를 제어할 수 있다.
2) 에칭 가스 조성물은 반응성이 낮은 가스이기 때문에, 각종 온도역에서 우수한 에칭 선택성을 나타낸다. 이 때문에, 목적으로 하는 반도체 기판 이외의 장소에 퇴적된 규소계 화합물만을 선택적으로 에칭하여 효율적 또한 경제적으로 제거할 수 있다.
3) 저온 (100 ℃ 이하) 조건하에서의 에칭도 가능하고, 생산성을 향상시킬 수 있어 경제적으로도 유리하다.
도 1 은 실시예 1 및 비교예 1 에 있어서의 에칭 속도를 나타내는 그래프이다.
도 2 는 실시예 2 및 비교예 2 에 있어서의 SiN 에 대한 에칭 속도를 나타내는 그래프이다.
도 3 은 실시예 2 및 비교예 2 에 있어서의 SiO2 에 대한 에칭 속도를 나타내는 그래프이다.
도 4 는 실시예 3 및 비교예 3 에 있어서의 poly-Si 에 대한 에칭 속도를 나타내는 그래프이다.
본 발명의 에칭 가스 조성물은, (1) XF (X 는 Cl, Br 또는 I) 로 나타내는 불화할로겐 화합물을 주성분으로서 함유하고, 또한 (2) F2 와, (3) XFn (X 는 Cl, Br 또는 I, n 은 3 이상의 정수) 으로 나타내는 불화할로겐 화합물과, (4) HF 와, (5) O2 와, (6) Cl2, Br2, 및 I2 에서 선택되는 1 종 이상의 할로겐 가스 단체를 함유하는 것을 특징으로 한다. 특히, (1) XF (X 는 Cl, Br 또는 I) 로 나타내는 불화할로겐 화합물을 90.00 vol% ∼ 99.999 vol% 와, (2) F2 및 (6) 할로겐 가스 단체의 합계로 0.000005 vol% ∼ 0.20 vol% 와, (3) XFn (X 는 Cl, Br 또는 I, n 은 3 이상의 정수) 으로 나타내는 불화할로겐 화합물을 0.000005 vol% ∼ 0.10 vol% 와, (4) HF 를 0.000003 vol% ∼ 0.30 vol% 와, (5) 잔부 O2 를 함유하는 에칭 가스 조성물, 또는 (1) XF (X 는 Cl, Br 또는 I) 로 나타내는 불화할로겐 화합물을 90.00 vol% ∼ 99.999 vol% 와, (2) F2 및 (6) 할로겐 가스 단체의 합계로 0.000005 vol% ∼ 0.20 vol% 와, (3) XFn (X 는 Cl, Br 또는 I, n 은 3 이상의 정수) 으로 나타내는 불화할로겐 화합물을 0.000005 vol% ∼ 0.10 vol% 와, (4) HF 를 0.000003 vol% ∼ 0.30 vol% 와, (5) 잔부 O2 및 희석제를 함유하는 에칭 가스 조성물인 것이 바람직하다. 또한, (1) XF (X 는 Cl, Br 또는 I) 로 나타내는 불화할로겐 화합물을 90.00 vol% ∼ 99.90 vol% 와, (2) F2 및 (6) 할로겐 가스 단체의 합계로 0.0005 vol% ∼ 0.20 vol% 와, (3) XFn (X 는 Cl, Br 또는 I, n 은 3 이상의 정수) 으로 나타내는 불화할로겐 화합물을 0.0005 vol% ∼ 0.10 vol% 와, (4) HF 를 0.0003 vol% ∼ 0.30 vol% 와, (5) 잔부 O2 를 함유하는 에칭 가스 조성물, 또는 (1) XF (X 는 Cl, Br 또는 I) 로 나타내는 불화할로겐 화합물을 90.00 vol% ∼ 99.90 vol% 와, (2) F2 및 (6) 할로겐 가스 단체의 합계로 0.0005 vol% ∼ 0.20 vol% 와, (3) XFn (X 는 Cl, Br 또는 I, n 은 3 이상의 정수) 으로 나타내는 불화할로겐 화합물을 0.0005 vol% ∼ 0.10 vol% 와, (4) HF 를 0.0003 vol% ∼ 0.30 vol% 와, (5) 잔부 O2 및 희석제를 함유하는 에칭 가스 조성물인 것이 보다 바람직하다.
희석제로는, 에칭 처리에 영향을 미치지 않는 질소 가스, 아르곤 가스, 헬륨 가스 등의 불활성인 가스 (희가스, 또는 에칭 대상물과 반응하지 않는 가스) 를 사용할 수 있다. 희석 농도는 특별히 한정되지 않지만, 에칭 가스 조성물 전체에 대한 희석제 농도로서 0.001 vol% ∼ 99.9 vol% 로 사용할 수 있고, 에칭 가스 조성물에 대해 0.01 vol% ∼ 99.0 vol% 로 하는 것이 바람직하다.
본 발명의 에칭 가스 조성물에 주성분으로서 함유되는 XF (X 는 Cl, Br 또는 I) 로 나타내는 불화할로겐 화합물은, 종래의 에칭 가스 조성물에 주성분으로서 함유되는 ClF3 이나 BrF3 보다 반응성이 낮기 때문에, 각종 온도역에서 에칭할 때 지나치게 깊게 파지 않아, 에칭 대상 물질에 대한 높은 선택성을 갖기 때문에 에칭의 제어가 용이하다.
또, 본 발명의 에칭 방법은, 열에너지에 의한 에칭 가스의 여기에 의해 반도체 기판을 에칭 또는 가공하는 방법으로서, 플라즈마를 사용하지 않고, 본 발명의 에칭 가스 조성물을 사용하여, 결정 실리콘, 아모르퍼스 실리콘, 다결정 실리콘, SiC, SiOC, SiOCN, SiON, SiCN, SiN, SiOm (m 은 자연수), Poly-Si, 및 Poly-SiOm (m 은 자연수) 에서 선택되는 규소 화합물의 퇴적물 또는 박막을 가공 혹은 에칭하는 것을 특징으로 한다.
에칭 대상물로는, 단일한 Si 막, SiC 막, SiOm (m 은 자연수) 막, 그리고 Poly-SiOm (m 은 자연수) 막 (폴리실리카막) 등의 단층이 성막된 기판, SiC 막 상에 SiO2 막이 성막되어 있는 기판, SiN 막 상에 SiO2 막이 성막되어 있는 기판, Si 단층막 상에 SiN 막이 성막되고, 그 위에 SiO2 막이 성막되어 있는 적층 기판, SiN 막 상에 Poly-SiOm (m 은 자연수) 막 (폴리실리카막) 이 적층되어 있는 기판, 또는 SiC 막 상에 SiN 막이 적층되고, 그 위에 SiO2 막, 또한 그 위에 Poly-SiOm (m 은 자연수) 막 등, 많은 적층막이 형성된 기판 등을 들 수 있다. 본 발명의 에칭 가스 조성물은 우수한 선택성을 나타내는 것으로부터, 다중 적층막 기판의 특정한 층을 에칭하기 위해서 적절하다.
에칭 온도는 -10 ℃ ∼ 800 ℃ 의 범위에서 가능하고, 0 ℃ ∼ 600 ℃ 의 범위가 바람직하며, 또한 0 ℃ ∼ 400 ℃ 의 범위가 바람직하다. 에칭 대상물을 선택하여 효율적으로 에칭을 실행하는 경우에는, 특히 0 ℃ ∼ 100 ℃ 가 바람직하다. 또 한편, 온도를 제어하면서 에칭을 실행하는 경우에는, 특히 100 ℃ ∼ 600 ℃ 의 범위가 바람직하고, 나아가서는 100 ℃ ∼ 400 ℃ 의 범위가 특히 바람직하다. 본 발명의 에칭 가스 조성물에 의한 에칭 속도는 온도 상승과 함께 증가하지만, 종래의 ClF3 이나 BrF3 을 주성분으로 하는 에칭 가스 조성물과 비교하여, 온도 상승에 수반하는 에칭 속도 상승이 완만하기 때문에, 온도 제어에 의한 에칭 속도 제어가 용이하다. 즉, 본 발명의 에칭 가스 조성물은, Si 계 화합물 (및 그 퇴적물) 의 에칭에 있어서, 0 ℃ ∼ 100 ℃ 에 있어서 우수한 물질 선택성을 갖는다. 또, 200 ℃ 이상에 있어서, 특히 200 ℃ ∼ 400 ℃ 에 있어서는, 온도 제어성이 높다는 효과를 나타낸다. 200 ℃ 이상의 고온에서도 작업을 실시할 수 있기 때문에, 장치의 다운 타임을 삭감하고, 장치 가동률을 향상시킨다는 효과도 나타낸다. 또한, 100 ℃ 이하의 저온에서도, 플라즈마를 사용하지 않는 조건으로, 예를 들어 SiN 등 특정한 Si 계 화합물막종에 대해 선택적인 에칭 가공이 가능해지기 때문에, 반도체 제조 장치 등의 장치 데미지를 저감시킬 수 있다.
에칭 압력으로는 0 ∼ 1.0 ㎩ × 105 ㎩, 특히 1.3 × 102 ㎩ ∼ 5.33 × 104 ㎩ (1 torr ∼ 400 torr) 정도가 바람직하다.
실시예
이하, 실시예 및 비교예에 의해 본 발명을 더욱 상세하게 설명하지만, 본 발명은 이들에 한정되는 것은 아니다.
[실시예 1-1]
기판 상 1063 ㎚ 의 막 두께의 SiO2 웨이퍼 (기판 두께 0.725 ㎜) 를 장치 내에 설치하고, ClF : 96.500 vol%, ClF3 : 0.038 vol%, F2 : 0.050 vol%, HF : 0.012 vol%, O2 : 3.400 vol% 로 이루어지는 에칭 가스 조성물을 충전하여, 50 ℃ 에서 30 초간 에칭 처리를 실시하였다. 에칭 처리 후, 기판 상에 잔존되어 있는 SiO2 의 막 두께를 측정한 결과 1047 ㎚ 였다. 초기 막 두께와 처리 후 막 두께의 차이에 기초하여 에칭 속도를 계산한 결과, 20.1 ㎚/분이었다.
상기 에칭 처리를 5 회 반복하고, 에칭 속도의 평균값과 표준 편차로부터 변동 계수 (표준 편차/평균값 × 100) 를 산출한 결과, 0.08 % 였다.
[실시예 1-2]
에칭 온도를 100 ℃ 로 변경한 것 이외에는 실시예 1-1 과 동일한 처리를 실시하여, 에칭 속도 30.5 ㎚/분, 변동 계수 0.06 % 를 얻었다.
[실시예 1-3]
에칭 온도를 200 ℃ 로 변경한 것 이외에는 실시예 1-1 과 동일한 처리를 실시하여, 에칭 속도 58.7 ㎚/분, 변동 계수 0.08 % 를 얻었다.
[실시예 1-4]
에칭 온도를 300 ℃ 로 변경한 것 이외에는 실시예 1-1 과 동일한 처리를 실시하여, 에칭 속도 86.1 ㎚/분, 변동 계수 0.07 % 를 얻었다.
[실시예 1-5]
에칭 온도를 400 ℃ 로 변경한 것 이외에는 실시예 1-1 과 동일한 처리를 실시하여, 에칭 속도 111.2 ㎚/분, 변동 계수 0.09 % 를 얻었다.
[실시예 1-6]
에칭 온도를 800 ℃ 로 변경한 것 이외에는 실시예 1-1 과 동일한 처리를 실시하여, 에칭 속도 243.4 ㎚/분, 변동 계수 0.10 % 를 얻었다.
[비교예 1-1]
에칭 가스 조성물을 ClF : 0.038 vol%, ClF3 : 96.500 vol%, F2 : 0.050 vol%, HF : 0.012 vol%, O2 : 3.400 vol% 로 변경한 것 이외에는 실시예 1-1 과 동일한 처리를 실시하여, 에칭 속도 22.4 ㎚/분, 변동 계수 0.09 % 를 얻었다.
[비교예 1-2]
비교예 1-1 과 동일한 에칭 가스 조성물을 사용한 것 이외에는 실시예 1-2 와 동일한 처리를 실시하여, 에칭 속도 26.9 ㎚/분, 변동 계수 0.13 % 를 얻었다.
[비교예 1-3]
비교예 1-1 과 동일한 에칭 가스 조성물을 사용한 것 이외에는 실시예 1-3 과 동일한 처리를 실시하여, 에칭 속도 52.7 ㎚/분, 변동 계수 0.10 % 를 얻었다.
[비교예 1-4]
비교예 1-1 과 동일한 에칭 가스 조성물을 사용한 것 이외에는 실시예 1-4 와 동일한 처리를 실시하여, 에칭 속도 75.6 ㎚/분, 변동 계수 0.29 % 를 얻었다.
[비교예 1-5]
비교예 1-1 과 동일한 에칭 가스 조성물을 사용한 것 이외에는 실시예 1-5 와 동일한 처리를 실시하여, 에칭 속도 431.4 ㎚/분, 변동 계수 0.86 % 를 얻었다.
[실시예 2-1]
기판 상 300 ㎚ 의 막 두께의 SiN 웨이퍼 (기판 두께 : 0.775 ㎜) 를 장치 내에 설치하고, ClF : 92.500 vol%, ClF3 : 0.038 vol%, F2 : 0.012 vol%, HF : 0.050 vol%, O2 : 3.400 vol%, N2 : 4.000 vol% 의 에칭 가스 조성물을 충전하여, 100 ℃ 에서 30 초간 에칭 처리를 실시하였다. 에칭 처리 후, 기판 상에 잔존되어 있는 SiN 의 막 두께는 299.3 ㎚ 였다. 초기 막 두께와 처리 후 막 두께의 차이에 기초하여 에칭 속도를 계산한 결과, 1.4 ㎚/분이었다.
상기 에칭 처리를 5 회 반복하고, 에칭 속도의 평균값과 표준 편차로부터 변동 계수 (표준 편차/평균값 × 100) 를 산출한 결과, 0.05 % 였다.
실시예 2-1 에서 사용한 에칭 가스 조성물을 사용하여 실시예 1-2 의 에칭 처리를 실시하고, 에칭 속도를 구하여 (실시예 2-5), 실시예 2-1 의 에칭 속도와 비교하고, 기판 물질에 대한 선택비 SiO2/SiN 그리고 SiN/SiO2 를 구한 결과, 각각 22.4 및 0.04 였다.
[실시예 2-2]
에칭 온도를 200 ℃ 로 변경한 것 이외에는 실시예 2-1 과 동일한 처리를 실시하여, 에칭 속도 3.3 ㎚/분, 변동 계수 0.06 % 를 얻었다.
실시예 2-2 에서 사용한 에칭 가스 조성물을 사용하여 실시예 1-3 의 에칭 처리를 실시하고, 에칭 속도를 구하여 (실시예 2-6), 실시예 2-2 의 에칭 속도와 비교하고, 기판 물질에 대한 선택비 SiO2/SiN 그리고 SiN/SiO2 를 구한 결과, 각각 17.7 및 0.06 이었다.
[실시예 2-3]
에칭 온도를 300 ℃ 로 변경한 것 이외에는 실시예 2-1 과 동일한 처리를 실시하여, 에칭 속도 13.0 ㎚/분, 변동 계수 0.08 % 를 얻었다.
실시예 2-3 에서 사용한 에칭 가스 조성물을 사용하여 실시예 1-4 의 에칭 처리를 실시하고, 에칭 속도를 구하여 (실시예 2-7), 실시예 2-3 의 에칭 속도와 비교하고, 기판 물질에 대한 선택비 SiO2/SiN 그리고 SiN/SiO2 를 구한 결과, 각각 6.62 및 0.15 였다.
[실시예 2-4]
에칭 온도를 400 ℃ 로 변경한 것 이외에는 실시예 2-1 과 동일한 처리를 실시하여, 에칭 속도 146.2 ㎚/분, 변동 계수 0.09 % 를 얻었다.
실시예 2-4 에서 사용한 에칭 가스 조성물을 사용하여 실시예 1-5 의 에칭 처리를 실시하고, 에칭 속도를 구하여 (실시예 2-8), 실시예 2-4 의 에칭 속도와 비교하고, 기판 물질에 대한 선택비 SiO2/SiN 그리고 SiN/SiO2 를 구한 결과, 각각 0.78 및 1.28 이었다.
[비교예 2-1]
에칭 가스 조성물을 ClF : 0.038 vol%, ClF3 : 92.500 vol%, F2 : 0.050 vol%, HF : 0.012 vol%, O2 : 3.400 vol%, N : 4.000 vol% 로 변경한 것 이외에는 실시예 2-1 과 동일한 처리를 실시하여, 에칭 속도 1.9 ㎚/분, 변동 계수 0.15 % 를 얻었다.
비교예 2-1 에서 사용한 에칭 가스 조성물을 사용하여 비교예 1-2 의 에칭 처리를 실시하고, 에칭 속도를 구하여 (비교예 2-5), 비교예 2-1 의 에칭 속도와 비교하고, 기판 물질에 대한 선택비 SiO2/SiN 그리고 SiN/SiO2 를 구한 결과, 각각 16.8 및 0.06 이었다.
[비교예 2-2]
에칭 온도를 200 ℃ 로 변경한 것 이외에는 비교예 2-1 과 동일한 처리를 실시하여, 에칭 속도 3.1 ㎚/분, 변동 계수 0.16 % 를 얻었다.
비교예 2-2 에서 사용한 에칭 가스 조성물을 사용하여 비교예 1-3 의 에칭 처리를 실시하고, 에칭 속도를 구하여 (비교예 2-6), 비교예 2-2 의 에칭 속도와 비교하고, 기판 물질에 대한 선택비 SiO2/SiN 그리고 SiN/SiO2 를 구한 결과, 각각 17.1 및 0.06 이었다.
[비교예 2-3]
에칭 온도를 300 ℃ 로 변경한 것 이외에는 비교예 2-1 과 동일한 처리를 실시하여, 에칭 속도 84.9 ㎚/분, 변동 계수 0.33 % 를 얻었다.
비교예 2-3 에서 사용한 에칭 가스 조성물을 사용하여 비교예 1-4 의 에칭 처리를 실시하고, 에칭 속도를 구하여 (비교예 2-7), 비교예 2-3 의 에칭 속도와 비교하고, 기판 물질에 대한 선택비 SiO2/SiN 그리고 SiN/SiO2 를 구한 결과, 각각 0.89 및 1.12 였다.
[비교예 2-4]
에칭 온도를 400 ℃ 로 변경한 것 이외에는 비교예 2-1 과 동일한 처리를 실시하여, 에칭 속도 731.2 ㎚/분, 변동 계수 0.92 % 를 얻었다.
비교예 2-4 에서 사용한 에칭 가스 조성물을 사용하여 비교예 1-5 의 에칭 처리를 실시하고, 에칭 속도를 구하여 (비교예 2-8), 비교예 2-4 의 에칭 속도와 비교하고, 기판 물질에 대한 선택비 SiO2/SiN 그리고 SiN/SiO2 를 구한 결과, 각각 0.59 및 1.68 이었다.
[실시예 2-5 ∼ 2-8]
에칭 대상물을 실시예 1 의 기판 상 1063 ㎚ 의 막 두께의 SiO2 웨이퍼 (기판 두께 0.725 ㎜) 로 변경한 것 이외에는, 실시예 2-1 ∼ 2-4 와 동일하게 실시하여, 표 1 에 나타내는 에칭 속도, 변동 계수, 및 선택비를 얻었다.
[비교예 2-5 ∼ 2-8]
에칭 가스 조성물을 비교예 2-1 ∼ 2-4 와 동일한 에칭 가스 조성물로 변경한 것 이외에는, 실시예 2-5 ∼ 2-8 과 동일하게 실시하여, 표 1 에 나타내는 에칭 속도, 변동 계수, 및 선택비를 얻었다.
[실시예 3-1]
기판 상 300 ㎚ 의 막 두께의 poly-Si 웨이퍼 (기판 두께 : 0.725 ㎜) 를 장치 내에 설치하고, ClF : 92.500 vol%, ClF3 : 0.038 vol%, F2 : 0.012 vol%, HF : 0.050 vol%, O2 : 3.400 vol%, N2 : 4.000 vol% 의 에칭 가스 조성물을 충전하여, 100 ℃ 에서 30 초간 에칭 처리를 실시하였다. 에칭 처리 후, 기판 상에 잔존되어 있는 poly-Si 의 막 두께는 299.9 ㎚ 였다. 초기 막 두께와 처리 후 막 두께의 차이에 기초하여 에칭 속도를 계산한 결과, 0.2 ㎚/분이었다.
상기 에칭 처리를 5 회 반복하고, 에칭 속도의 평균값과 표준 편차로부터 변동 계수 (표준 편차/평균값 × 100) 를 산출한 결과, 0.07 % 였다.
실시예 3-1 에서 구한 poly-Si 에 대한 에칭 속도를 실시예 2-1 및 실시예 2-5 에서 구한 SiO2 및 SiN 에 대한 에칭 속도와 비교하여, 기판 물질에 대한 선택비 SiO2/poly-Si, poly-Si/SiO2, SiN/poly-Si 그리고 poly-Si/SiN 을 구한 결과, 각각 157.0, 0.01, 7.0 및 0.14 였다.
[실시예 3-2]
에칭 온도를 200 ℃ 로 변경한 것 이외에는 실시예 3-1 과 동일한 처리를 실시하여, 에칭 속도 170.9 ㎚/분, 변동 계수 0.07 % 를 얻었다.
실시예 3-2 에서 구한 poly-Si 에 대한 에칭 속도를 실시예 2-2 및 실시예 2-6 에서 구한 SiO2 및 SiN 에 대한 에칭 속도와 비교하여, 기판 물질에 대한 선택비 SiO2/poly-Si, poly-Si/SiO2, SiN/poly-Si 그리고 poly-Si/SiN 을 구한 결과, 각각 0.34, 2.92, 0.02 및 51.8 이었다.
[실시예 3-3]
에칭 온도를 300 ℃ 로 변경한 것 이외에는 실시예 3-1 과 동일한 처리를 실시하여, 에칭 속도 375.4 ㎚/분, 변동 계수 0.10 % 를 얻었다.
실시예 3-3 에서 구한 poly-Si 에 대한 에칭 속도를 실시예 2-3 및 실시예 2-7 에서 구한 SiO2 및 SiN 에 대한 에칭 속도와 비교하여, 기판 물질에 대한 선택비 SiO2/poly-Si, poly-Si/SiO2, SiN/poly-Si 그리고 poly-Si/SiN 을 구한 결과, 각각 0.23, 4.37, 0.03 및 28.9 였다.
[실시예 3-4]
에칭 온도를 400 ℃ 로 변경한 것 이외에는 실시예 3-1 과 동일한 처리를 실시하여, 에칭 속도 413.3 ㎚/분, 변동 계수 0.12 % 를 얻었다.
실시예 3-4 에서 구한 poly-Si 에 대한 에칭 속도를 실시예 2-4 및 실시예 2-8 에서 구한 SiO2 및 SiN 에 대한 에칭 속도와 비교하여, 기판 물질에 대한 선택비 SiO2/poly-Si, poly-Si/SiO2, SiN/poly-Si 그리고 poly-Si/SiN 을 구한 결과, 각각 0.28, 3.61, 0.35 및 2.83 이었다.
[비교예 3-1]
에칭 가스 조성물을 ClF : 0.038 vol%, ClF3 : 92.500 vol%, F2 : 0.050 vol%, HF : 0.012 vol%, O2 : 3.400 vol%, N : 4.000 vol% 로 변경한 것 이외에는 실시예 3-1 과 동일한 처리를 실시한 결과, 오버 에칭이 되었다. 에칭 속도는 604.4 ㎚/분이었다.
상기 에칭 처리를 5 회 반복하고, 에칭 속도의 평균값과 표준 편차로부터 변동 계수 (표준 편차/평균값 × 100) 를 산출한 결과, 0.56 % 였다.
비교예 3-1 에서 구한 poly-Si 에 대한 에칭 속도를 비교예 2-1 및 비교예 2-5 에서 구한 SiO2 및 SiN 에 대한 에칭 속도와 비교하여, 기판 물질에 대한 선택비 SiO2/poly-Si, poly-Si/SiO2, SiN/poly-Si 그리고 poly-Si/SiN 을 구한 결과, 각각 0.05, 18.9, 0.003 및 318.1 이었다.
[비교예 3-2]
에칭 온도를 200 ℃ 로 변경한 것 이외에는 비교예 3-1 과 동일한 처리를 실시한 결과, 오버 에칭이 되었다. 에칭 속도 604.3 ㎚/분, 변동 계수는 0.63 % 로 산출되었다.
비교예 3-2 에서 구한 poly-Si 에 대한 에칭 속도를 비교예 2-2 및 비교예 2-6 에서 구한 SiO2 및 SiN 에 대한 에칭 속도와 비교하여, 기판 물질에 대한 선택비 SiO2/poly-Si, poly-Si/SiO2, SiN/poly-Si 그리고 poly-Si/SiN 을 구한 결과, 각각 0.09, 11.4, 0.01 및 194.9 였다.
실시예 및 비교예의 결과를 표 1 및 도 1 ∼ 4 에 나타낸다.
Figure 112017043840236-pct00001
실시예 1-1 ∼ 1-6 및 비교예 1-1 ∼ 1-5 의 대비, 실시예 2-1 ∼ 2-8 및 비교예 2-1 ∼ 2-8 의 대비, 그리고 실시예 3-1 ∼ 3-4 및 비교예 3-1 ∼ 3-2 의 대비에 의해, 본 발명의 에칭 가스 조성물은, 삼불화물 가스를 주성분으로서 함유하는 종래의 에칭 가스 조성물과 대비하여, 특정한 Si 계 화합물막종에 대해 특정 온도 범위, 예를 들어 SiO2 에 대해서는 100 ℃ ∼ 800 ℃ 의 범위, SiN 에 대해서는 300 ℃ ∼ 400 ℃ 의 범위, poly-Si 에 대해서는 100 ℃ ∼ 300 ℃ 의 온도 범위에 있어서 반응성이 선형적으로 또한 완만하게 증가하여, 온도 제어에 의한 에칭 속도 제어가 용이하다는 것을 알 수 있다. 또, 본 발명의 에칭 가스 조성물은 변동 계수가 작고, 에칭 처리의 편차가 적은 것으로부터, 정밀 가공에 적합한 것을 알 수 있다.
본 발명의 에칭 가스 조성물은, Si 계 화합물 (및 그 퇴적물) 의 에칭에 있어서, 0 ∼ 100 ℃ 에 있어서 우수한 물질 선택성을 갖고, 또, 200 ℃ 이상에 있어서는, 온도 제어성이 높다는 효과를 나타낸다. 플라즈마를 사용하지 않는 조건으로, 특정한 Si 계 화합물막종에 대해 저온에서의 선택적인 가공이 가능해지기 때문에, 반도체 제조 장치 등의 장치 데미지를 저감시킬 수 있다. 또, 보다 고온에서도 작업을 실시할 수 있기 때문에, 장치의 다운 타임을 삭감하고, 장치 가동률을 향상시킨다는 효과도 나타낸다.

Claims (6)

  1. (1) XF (X 는 Cl, Br 또는 I) 로 나타내는 불화할로겐 화합물을 주성분으로서 함유하고, 또한 (2) F2 와, (3) XFn (X 는 Cl, Br 또는 I, n 은 3 이상의 정수) 으로 나타내는 불화할로겐 화합물과, (4) HF 와, (5) O2 와, (6) Cl2, Br2, 및 I2 에서 선택되는 1 종 이상의 할로겐 가스 단체를 함유하는 것을 특징으로 하는 규소 화합물용 에칭 가스 조성물.
  2. 제 1 항에 있어서,
    (1) XF (X 는 Cl, Br 또는 I) 로 나타내는 불화할로겐 화합물을 90.00 vol% ∼ 99.999 vol% 와, (2) F2 및 (6) 할로겐 가스 단체의 합계로 0.000005 vol% ∼ 0.20 vol% 와, (3) XFn (X 는 Cl, Br 또는 I, n 은 3 이상의 정수) 으로 나타내는 불화할로겐 화합물을 0.000005 vol% ∼ 0.10 vol% 와, (4) HF 를 0.000003 vol% ∼ 0.30 vol% 와, (5) 잔부 O2 를 함유하는 규소 화합물용 에칭 가스 조성물.
  3. 제 1 항에 있어서,
    (1) XF (X 는 Cl, Br 또는 I) 로 나타내는 불화할로겐 화합물을 90.00 vol% ∼ 99.999 vol% 와, (2) F2 및 (6) 할로겐 가스 단체의 합계로 0.000005 vol% ∼ 0.20 vol% 와, (3) XFn (X 는 Cl, Br 또는 I, n 은 3 이상의 정수) 으로 나타내는 불화할로겐 화합물을 0.000005 vol% ∼ 0.10 vol% 와, (4) HF 를 0.000003 vol% ∼ 0.30 vol% 와, (5) 잔부 O2 및 희석제를 함유하는 규소 화합물용 에칭 가스 조성물.
  4. 열에너지에 의한 에칭 가스의 여기에 의해 반도체 기판을 에칭하는 방법으로서, 플라즈마를 사용하지 않고, 제 1 항 내지 제 3 항 중 어느 한 항에 기재된 규소 화합물용 에칭 가스 조성물을 사용하여, 결정 실리콘, 아모르퍼스 실리콘, 다결정 실리콘, SiC, SiOC, SiOCN, SiON, SiCN, SiN, SiOm (m 은 자연수), Poly-Si, 및 Poly-SiOm (m 은 자연수) 에서 선택되는 규소 화합물의 퇴적물 또는 박막을 에칭하는 것을 특징으로 하는 에칭 방법.
  5. 열에너지에 의한 에칭 가스의 여기에 의해 반도체 기판을 에칭하는 방법으로서, 플라즈마를 사용하지 않고, 제 1 항 내지 제 3 항 중 어느 한 항에 기재된 규소 화합물용 에칭 가스 조성물을 -10 ℃ ∼ 800 ℃ 의 에칭 온도에서 사용하여, 결정 실리콘, 아모르퍼스 실리콘, 다결정 실리콘, SiC, SiOC, SiOCN, SiON, SiCN, SiN, SiOm (m 은 자연수), Poly-Si, 및 Poly-SiOm (m 은 자연수) 에서 선택되는 규소 화합물의 퇴적물 또는 박막을 에칭하는 것을 특징으로 하는 에칭 방법.
  6. 제 5 항에 있어서,
    에칭 온도는 0 ℃ ∼ 400 ℃ 인 것을 특징으로 하는 에칭 방법.
KR1020177012477A 2014-10-10 2015-08-12 규소 화합물용 에칭 가스 조성물 및 에칭 방법 KR101953044B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2014208668 2014-10-10
JPJP-P-2014-208668 2014-10-10
PCT/JP2015/072827 WO2016056300A1 (ja) 2014-10-10 2015-08-12 ケイ素化合物用エッチングガス組成物及びエッチング方法

Publications (2)

Publication Number Publication Date
KR20170066603A KR20170066603A (ko) 2017-06-14
KR101953044B1 true KR101953044B1 (ko) 2019-02-27

Family

ID=55652925

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020177012477A KR101953044B1 (ko) 2014-10-10 2015-08-12 규소 화합물용 에칭 가스 조성물 및 에칭 방법

Country Status (7)

Country Link
US (1) US10287499B2 (ko)
JP (1) JP6280655B2 (ko)
KR (1) KR101953044B1 (ko)
CN (1) CN107112223B (ko)
GB (1) GB2551017B (ko)
TW (1) TWI648429B (ko)
WO (1) WO2016056300A1 (ko)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6689159B2 (ja) * 2016-08-22 2020-04-28 東京エレクトロン株式会社 エッチング方法およびdramキャパシタの製造方法
CN107845574B (zh) * 2017-10-31 2018-11-23 长鑫存储技术有限公司 半导体上刻蚀去除氧化物的方法
JP7072440B2 (ja) * 2018-05-16 2022-05-20 東京エレクトロン株式会社 シリコン含有膜のエッチング方法、コンピュータ記憶媒体、及びシリコン含有膜のエッチング装置
CN108847391B (zh) * 2018-06-01 2021-06-08 北京北方华创微电子装备有限公司 一种非等离子干法刻蚀方法
US20220051898A1 (en) * 2018-12-21 2022-02-17 Showa Denko K.K. Etching method using halogen fluoride and method for producing semiconductor
KR20220028071A (ko) 2019-10-25 2022-03-08 쇼와 덴코 가부시키가이샤 질화규소의 에칭 방법 및 반도체 소자의 제조 방법
US20220214323A1 (en) * 2019-11-27 2022-07-07 Showa Denko K.K. Method for measuring concentration of fluorine gas contained in halogen fluoride-containing gas by ultraviolet spectroscopy
WO2021210368A1 (ja) * 2020-04-14 2021-10-21 昭和電工株式会社 エッチング方法及び半導体素子の製造方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100313386B1 (ko) 1994-11-26 2003-06-12 가부시키가이샤 한도오따이 에네루기 켄큐쇼 에칭장치
JP2012114402A (ja) 2010-07-12 2012-06-14 Central Glass Co Ltd ドライエッチング剤
JP2013070012A (ja) 2011-09-07 2013-04-18 Central Glass Co Ltd ドライエッチング方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5350480A (en) * 1993-07-23 1994-09-27 Aspect International, Inc. Surface cleaning and conditioning using hot neutral gas beam array
JPH07193055A (ja) 1993-12-27 1995-07-28 Toshiba Corp ドライエッチング方法
JP3234117B2 (ja) 1994-12-12 2001-12-04 株式会社半導体エネルギー研究所 エッチング方法
JPH09321025A (ja) * 1996-05-30 1997-12-12 Fujitsu Ltd 半導体装置の製造方法
CN1411040A (zh) * 2001-09-21 2003-04-16 旺宏电子股份有限公司 一种用于制造半导体组件的干式蚀刻方法
US20050241671A1 (en) * 2004-04-29 2005-11-03 Dong Chun C Method for removing a substance from a substrate using electron attachment
US8901699B2 (en) * 2005-05-11 2014-12-02 Cree, Inc. Silicon carbide junction barrier Schottky diodes with suppressed minority carrier injection
US7531461B2 (en) * 2005-09-14 2009-05-12 Tokyo Electron Limited Process and system for etching doped silicon using SF6-based chemistry
JP4799509B2 (ja) 2007-08-16 2011-10-26 株式会社半導体エネルギー研究所 剥離方法
KR101830170B1 (ko) * 2011-05-17 2018-02-21 삼성디스플레이 주식회사 산화물 반도체 소자, 산화물 반도체 소자의 제조 방법, 산화물 반도체소자를 포함하는 표시 장치 및 산화물 반도체 소자를 포함하는 표시 장치의 제조 방법
JP6097192B2 (ja) * 2013-04-19 2017-03-15 東京エレクトロン株式会社 エッチング方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100313386B1 (ko) 1994-11-26 2003-06-12 가부시키가이샤 한도오따이 에네루기 켄큐쇼 에칭장치
JP2012114402A (ja) 2010-07-12 2012-06-14 Central Glass Co Ltd ドライエッチング剤
JP2013070012A (ja) 2011-09-07 2013-04-18 Central Glass Co Ltd ドライエッチング方法

Also Published As

Publication number Publication date
TWI648429B (zh) 2019-01-21
US10287499B2 (en) 2019-05-14
GB2551017A (en) 2017-12-06
CN107112223A (zh) 2017-08-29
JPWO2016056300A1 (ja) 2017-07-27
JP6280655B2 (ja) 2018-02-14
GB201705722D0 (en) 2017-05-24
GB2551017B (en) 2020-03-11
CN107112223B (zh) 2021-05-07
KR20170066603A (ko) 2017-06-14
TW201614105A (en) 2016-04-16
WO2016056300A1 (ja) 2016-04-14
US20180251679A1 (en) 2018-09-06

Similar Documents

Publication Publication Date Title
KR101953044B1 (ko) 규소 화합물용 에칭 가스 조성물 및 에칭 방법
TWI381438B (zh) 半導體處理裝置之清潔方法及矽基板之蝕刻方法
US8435419B2 (en) Methods of processing substrates having metal materials
US11658037B2 (en) Method of atomic layer etching of oxide
JP2007150305A (ja) 二フッ化キセノンを用いた窒化チタンの選択的エッチング
US9058988B2 (en) Methods for depositing layers having reduced interfacial contamination
US11164767B2 (en) Integrated system for semiconductor process
JP7332961B2 (ja) ドライエッチング方法
JP7348964B2 (ja) フッ素および金属ハロゲン化物を使用した金属酸化物のエッチング
TWI808274B (zh) 含有具有不飽和鍵之含硫氟碳化合物的乾式蝕刻氣體組成物及使用其之乾式蝕刻方法
KR20200005506A (ko) 플라즈마 처리 동안 파티클 형성을 감소시키기 위한 척의 보호 층
JP2003309105A5 (ko)
KR102662111B1 (ko) 부착물 제거 방법 및 성막 방법
TWI833930B (zh) 乾式蝕刻方法及半導體裝置之製造方法
JP7367703B2 (ja) 付着物除去方法及び成膜方法
JP2011100822A (ja) 半導体素子加工方法
US9653282B2 (en) Silicon-containing substrate cleaning procedure
CN113906155A (zh) 附着物除去方法和成膜方法
JP2002261077A (ja) ドライエッチング方法
JPH10214827A (ja) ケイ素含有被膜の除去方法
JP2007066928A (ja) エッチング方法,エッチング装置及び半導体装置

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant