JP2007150305A - 二フッ化キセノンを用いた窒化チタンの選択的エッチング - Google Patents
二フッ化キセノンを用いた窒化チタンの選択的エッチング Download PDFInfo
- Publication number
- JP2007150305A JP2007150305A JP2006314452A JP2006314452A JP2007150305A JP 2007150305 A JP2007150305 A JP 2007150305A JP 2006314452 A JP2006314452 A JP 2006314452A JP 2006314452 A JP2006314452 A JP 2006314452A JP 2007150305 A JP2007150305 A JP 2007150305A
- Authority
- JP
- Japan
- Prior art keywords
- xenon
- fluorine compound
- xenon difluoride
- tin
- xef
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Withdrawn
Links
- 238000005530 etching Methods 0.000 title claims abstract description 30
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 title claims description 47
- BLIQUJLAJXRXSG-UHFFFAOYSA-N 1-benzyl-3-(trifluoromethyl)pyrrolidin-1-ium-3-carboxylate Chemical compound C1C(C(=O)O)(C(F)(F)F)CCN1CC1=CC=CC=C1 BLIQUJLAJXRXSG-UHFFFAOYSA-N 0.000 title claims description 13
- 238000000034 method Methods 0.000 claims abstract description 60
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims abstract description 46
- 230000008021 deposition Effects 0.000 claims abstract description 30
- 235000012239 silicon dioxide Nutrition 0.000 claims abstract description 27
- 239000000377 silicon dioxide Substances 0.000 claims abstract description 18
- 150000002222 fluorine compounds Chemical class 0.000 claims abstract description 17
- 239000004065 semiconductor Substances 0.000 claims abstract description 16
- 238000006243 chemical reaction Methods 0.000 claims abstract description 8
- 239000007789 gas Substances 0.000 claims description 36
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 31
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 31
- 230000008569 process Effects 0.000 claims description 29
- 238000004140 cleaning Methods 0.000 claims description 12
- 238000011065 in-situ storage Methods 0.000 claims description 11
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 claims description 10
- 229910052724 xenon Inorganic materials 0.000 claims description 8
- 229910052786 argon Inorganic materials 0.000 claims description 7
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 claims description 7
- 238000010952 in-situ formation Methods 0.000 claims description 5
- 239000010453 quartz Substances 0.000 abstract description 9
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 abstract 3
- 229910004298 SiO 2 Inorganic materials 0.000 description 38
- 238000000151 deposition Methods 0.000 description 25
- 239000010408 film Substances 0.000 description 21
- 239000000758 substrate Substances 0.000 description 19
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 13
- 239000000463 material Substances 0.000 description 13
- 239000010703 silicon Substances 0.000 description 13
- 229910052710 silicon Inorganic materials 0.000 description 12
- 125000001153 fluoro group Chemical group F* 0.000 description 7
- 238000005229 chemical vapour deposition Methods 0.000 description 6
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 5
- 238000002474 experimental method Methods 0.000 description 5
- 229910052731 fluorine Inorganic materials 0.000 description 5
- 239000010936 titanium Substances 0.000 description 5
- 229910052719 titanium Inorganic materials 0.000 description 5
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 5
- 229910052721 tungsten Inorganic materials 0.000 description 5
- 239000010937 tungsten Substances 0.000 description 5
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 4
- 230000000694 effects Effects 0.000 description 4
- 239000011737 fluorine Substances 0.000 description 4
- 238000012545 processing Methods 0.000 description 4
- IGELFKKMDLGCJO-UHFFFAOYSA-N xenon difluoride Chemical compound F[Xe]F IGELFKKMDLGCJO-UHFFFAOYSA-N 0.000 description 4
- 238000005137 deposition process Methods 0.000 description 3
- 238000004519 manufacturing process Methods 0.000 description 3
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 3
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- 230000007547 defect Effects 0.000 description 2
- 238000010894 electron beam technology Methods 0.000 description 2
- 229910052734 helium Inorganic materials 0.000 description 2
- 239000011261 inert gas Substances 0.000 description 2
- 239000000203 mixture Substances 0.000 description 2
- 229910052750 molybdenum Inorganic materials 0.000 description 2
- 239000011733 molybdenum Substances 0.000 description 2
- -1 perfluoro compounds Chemical class 0.000 description 2
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 2
- 239000003870 refractory metal Substances 0.000 description 2
- 229910021332 silicide Inorganic materials 0.000 description 2
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 2
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 2
- 229910010271 silicon carbide Inorganic materials 0.000 description 2
- 229910052814 silicon oxide Inorganic materials 0.000 description 2
- 239000010409 thin film Substances 0.000 description 2
- 235000012431 wafers Nutrition 0.000 description 2
- ZQXCQTAELHSNAT-UHFFFAOYSA-N 1-chloro-3-nitro-5-(trifluoromethyl)benzene Chemical compound [O-][N+](=O)C1=CC(Cl)=CC(C(F)(F)F)=C1 ZQXCQTAELHSNAT-UHFFFAOYSA-N 0.000 description 1
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 1
- VYZAMTAEIAYCRO-UHFFFAOYSA-N Chromium Chemical compound [Cr] VYZAMTAEIAYCRO-UHFFFAOYSA-N 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- 229910000881 Cu alloy Inorganic materials 0.000 description 1
- 229910000990 Ni alloy Inorganic materials 0.000 description 1
- 229910018503 SF6 Inorganic materials 0.000 description 1
- HZEWFHLRYVTOIW-UHFFFAOYSA-N [Ti].[Ni] Chemical compound [Ti].[Ni] HZEWFHLRYVTOIW-UHFFFAOYSA-N 0.000 description 1
- NIXOWILDQLNWCW-UHFFFAOYSA-N acrylic acid group Chemical group C(C=C)(=O)O NIXOWILDQLNWCW-UHFFFAOYSA-N 0.000 description 1
- WPPDFTBPZNZZRP-UHFFFAOYSA-N aluminum copper Chemical compound [Al].[Cu] WPPDFTBPZNZZRP-UHFFFAOYSA-N 0.000 description 1
- 230000000903 blocking effect Effects 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 239000003795 chemical substances by application Substances 0.000 description 1
- 239000000460 chlorine Substances 0.000 description 1
- 229910052801 chlorine Inorganic materials 0.000 description 1
- 229910052804 chromium Inorganic materials 0.000 description 1
- 239000011651 chromium Substances 0.000 description 1
- 239000011538 cleaning material Substances 0.000 description 1
- 230000000052 comparative effect Effects 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 239000000356 contaminant Substances 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 239000010949 copper Substances 0.000 description 1
- 230000007423 decrease Effects 0.000 description 1
- 239000010432 diamond Substances 0.000 description 1
- 229910003460 diamond Inorganic materials 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- 238000010494 dissociation reaction Methods 0.000 description 1
- 230000005593 dissociations Effects 0.000 description 1
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 description 1
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 1
- 229910052737 gold Inorganic materials 0.000 description 1
- 239000010931 gold Substances 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- 230000003993 interaction Effects 0.000 description 1
- 238000010849 ion bombardment Methods 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 229910052743 krypton Inorganic materials 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 229910052751 metal Inorganic materials 0.000 description 1
- 239000002184 metal Substances 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 238000005459 micromachining Methods 0.000 description 1
- QKCGXXHCELUCKW-UHFFFAOYSA-N n-[4-[4-(dinaphthalen-2-ylamino)phenyl]phenyl]-n-naphthalen-2-ylnaphthalen-2-amine Chemical compound C1=CC=CC2=CC(N(C=3C=CC(=CC=3)C=3C=CC(=CC=3)N(C=3C=C4C=CC=CC4=CC=3)C=3C=C4C=CC=CC4=CC=3)C3=CC4=CC=CC=C4C=C3)=CC=C21 QKCGXXHCELUCKW-UHFFFAOYSA-N 0.000 description 1
- 239000002245 particle Substances 0.000 description 1
- 239000013618 particulate matter Substances 0.000 description 1
- 229920002120 photoresistant polymer Polymers 0.000 description 1
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 1
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 230000005855 radiation Effects 0.000 description 1
- 239000000376 reactant Substances 0.000 description 1
- 230000008439 repair process Effects 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 150000003463 sulfur Chemical class 0.000 description 1
- SFZCNBIFKDRMGX-UHFFFAOYSA-N sulfur hexafluoride Chemical compound FS(F)(F)(F)(F)F SFZCNBIFKDRMGX-UHFFFAOYSA-N 0.000 description 1
- 229960000909 sulfur hexafluoride Drugs 0.000 description 1
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 1
- 238000012360 testing method Methods 0.000 description 1
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 1
- 229910021341 titanium silicide Inorganic materials 0.000 description 1
- WQJQOUPTWCFRMM-UHFFFAOYSA-N tungsten disilicide Chemical compound [Si]#[W]#[Si] WQJQOUPTWCFRMM-UHFFFAOYSA-N 0.000 description 1
- 229910021342 tungsten silicide Inorganic materials 0.000 description 1
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4401—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
- C23C16/4405—Cleaning of reactor or parts inside the reactor by using reactive gases
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B08—CLEANING
- B08B—CLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
- B08B7/00—Cleaning by methods not provided for in a single other subclass or a single group in this subclass
- B08B7/0035—Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32133—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
- H01L21/32135—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32133—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
- H01L21/32135—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
- H01L21/32136—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67028—Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Optics & Photonics (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Plasma & Fusion (AREA)
- Drying Of Semiconductors (AREA)
- ing And Chemical Polishing (AREA)
Abstract
【課題】半導体堆積チャンバーの機器及び用具において通常見出される二酸化ケイ素(石英)及びSiN表面からTiNを選択的にエッチングするための改良された方法を提供する。
【解決手段】その上にTiNを有するSiO2又はSiN表面をXeF2と接触領域において接触させてTiNを揮発性種に選択的に転化し、次いで、該揮発性種を前記接触領域から除去する。XeF2は前もって形成することができるか又はXeとフッ素化合物との反応によってその場形成することができる。
【選択図】図6
【解決手段】その上にTiNを有するSiO2又はSiN表面をXeF2と接触領域において接触させてTiNを揮発性種に選択的に転化し、次いで、該揮発性種を前記接触領域から除去する。XeF2は前もって形成することができるか又はXeとフッ素化合物との反応によってその場形成することができる。
【選択図】図6
Description
エレクトロニクス産業では、半導体などの電子部品を製造するために、選択された材料をターゲット基材上に堆積する種々の堆積技術が開発されている。1つのタイプの堆積プロセスは、ガス状反応体が加熱された処理チャンバーに導入され、結果として膜が所望の基材上に堆積される化学気相成長(CVD)である。1つの下位タイプのCVDは、プラズマがCVDの処理チャンバー中に作られるプラズマCVD(PECVD)に関するものである。
一般的に、すべての堆積法において、ターゲット基材以外の表面に膜及び粒子状物質が蓄積し、即ち、堆積材料はまた、壁、用具表面、サセプタ及び堆積プロセスで用いられる他の機器上にも堆積する。壁、用具表面、サセプタ及び他の機器上に蓄積する任意の材料、膜などは、汚染物質とみなされ、電子製品の部品に欠陥をもたらす場合がある。
堆積チャンバー、用具及び機器は、不要な汚染堆積材料を除去するために定期的に洗浄しなければならないことが十分理解される。堆積チャンバー、用具及び機器を洗浄する一般に好ましい方法は、エッチング剤洗浄物質としてペルフルオロ化合物(PFC)、例えば、C2F6、CF4、C3F8、SF6及びNF3を使用することを伴う。これらの洗浄操作では、通常、プロセスガスにおいて運ばれる化学的に活性なフッ素種により、不要な汚染残留物が揮発性生成物に転化される。次いで、この揮発性生成物がプロセスガスによって反応器から一掃される。
以下の参考文献は、半導体製造における膜の堆積、堆積チャンバー、用具及び機器の洗浄、並びに基材のエッチングのための方法の代表的なものである。
特許文献1は、コールドウォールCVDチャンバーの低温洗浄のための方法を開示している。この方法は、水分のない条件下においてその場で実施される。種々の材料、例えば、エピタキシャルシリコン、ポリシリコン、窒化ケイ素、酸化ケイ素、並びに耐熱金属、チタン、タングステン及びそれらのケイ化物の膜の洗浄は、エッチング剤ガス、例えば、三フッ化窒素、三フッ化塩素、六フッ化硫黄及び四フッ化炭素を用いて達成される。
特許文献2は、イオン増強プラズマにおけるエッチング剤としてフッ素化合物、例えば、NF3及びC2F6を用いた導電性材料の異方性エッチングを開示している。エッチング剤は、フッ素化合物と、He、Ar、Xe及びKrからなる群より選択された希ガスとからなる。試験基材は基材と関連した集積回路を含む。1つの実施態様においては、チタン層が絶縁層の上に形成され、タングステンプラグと接触している。次いで、アルミニウム−銅の合金層がチタン層の上に形成され、窒化チタン層がその上に形成される。
特許文献3は、材料をエッチング若しくは堆積するか又はリソグラフィマスク中の欠陥を修復するための電子ビーム処理の使用を開示している。1つの実施態様においては、二フッ化キセノンが電子ビームで活性化され、タングステン及び窒化タンタルがエッチングされる。
特許文献4は、CVD堆積のハードウェア、ボート、チューブ及び石英製品並びに半導体ウェハのその場洗浄のためのNF3の使用を開示している。NF3が350℃を超えて加熱された反応器に窒化ケイ素、多結晶シリコン、ケイ化チタン、ケイ化タングステン、耐熱金属及びケイ化物を除去するのに十分な時間にわたって導入される。
非特許文献1は、XeF2とSi(100)(2X1)を250Kで相互作用させることを開示しており、F2との比較を与えている。XeF2は室温でSiと速やかにかつ等方的に反応することが見出された。
非特許文献2は、気相で室温の等方性シリコンエッチング剤としてXeF2を使用することを開示しており、微小電気機械システムにおいて用いられる多くの材料、例えば、アルミニウム、フォトレジスト及び二酸化ケイ素に関して高い選択性を有すると述べている。119頁において、XeF2は、シリコン基材上にパターニングされた場合に二酸化ケイ素並びに銅、金、チタン−ニッケル合金及びアクリルに対して1000:1を超える選択性を有すると述べている。
非特許文献3は、シリコンのための等方性気相エッチング剤としてのXeF2の使用を開示している。XeF2は、集積回路の製作において多くの金属、誘電体及びポリマーに対して高い選択性を有すると報告している。著者らはまた、1637頁において、XeF2が、アルミニウム、クロム、窒化チタン、タングステン、二酸化ケイ素及び炭化ケイ素をエッチングしなかったと述べている。有意なエッチングはまた、それぞれモリブデン:シリコン及びチタン:シリコンに関しても観測された。
非特許文献4は、固体シリコンをエッチングする際に、CH4のフッ化炭素プラズマ誘起解離において生成したF原子とCF3ラジカルを用いて揮発性のSiF4種を生成することを開示している。この論文は、XeF2を使用して300K、1.4×10-2Torrでシリコンをエッチングすることに向けられている。他の実験で、XeF2がモリブデン、チタン及び場合によりタングステンを速やかにエッチングすることも示されている。SiO2、Si3N4及びSiCのエッチングはXeF2に関して有効ではなかったが、エッチングは電子又はイオン衝撃の存在下では有効であった。著者らは、これらの材料のエッチングにはF原子だけでなく放射線又は高温も必要とされると結論付けた。
二酸化ケイ素(SiO2)及び窒化ケイ素(SiN)でコーティングされた表面から除去の難しい窒化チタン(TiN)膜を除去するのに使用できる新規のエッチング剤を見出すという産業界の目的がある。これらの表面は、半導体の堆積チャンバー、特に石英チャンバー及び石英製品、半導体ツール及び機器の壁に見出される。TiN膜を攻撃する従来のフッ素系エッチング剤の多くは、同様にSiO2及びSiN表面も攻撃するので、半導体堆積チャンバー及び機器からのTiN堆積生成物の除去には許容できない。
本発明は、二酸化ケイ素(石英)表面、例えば、半導体堆積チャンバー及び半導体ツールにおいて通常見出されるもの、並びに半導体ツールの部品などにおいて通常見出される窒化ケイ素(SiN)表面から窒化チタン(TiN)膜及び堆積生成物を選択的に除去するための改善された方法に関する。表面を汚染する不要な成分を除去するための基本的な方法では、エッチング剤は、接触領域において不要な成分と接触され、不要な成分が揮発性種に転化される。次いで、この揮発性種が接触領域から除去される。接触領域において不要なTiN堆積材料をSiO2及びSiNからなる群より選択される表面から除去するための基本的な方法の改善は、エッチング剤として二フッ化キセノン(XeF2)を用いることにある。条件は、SiO2及びSiNからなる群より選択される表面が揮発性成分に転化されないように制御される。
半導体堆積チャンバー(反応チャンバーと称されることもある)、ツール部品、機器などから除去することが非常に難しい堆積材料及びTiN膜を選択的にエッチングするという観点で有意な利点としては、
石英、即ち、堆積チャンバーの洗浄において見られるSiO2及びSiNでコーティングされた表面からTiN膜を選択的に除去できること、
適度な温度で石英表面からTiN膜を除去できること、
遠隔プラズマ中でフッ素原子の攻撃により通常生じる負の効果なしで、遠隔プラズマ中でペルフルオロエッチング剤を活性化してSiO2及びSiN表面からTiN膜を除去できること
が挙げられる。
石英、即ち、堆積チャンバーの洗浄において見られるSiO2及びSiNでコーティングされた表面からTiN膜を選択的に除去できること、
適度な温度で石英表面からTiN膜を除去できること、
遠隔プラズマ中でフッ素原子の攻撃により通常生じる負の効果なしで、遠隔プラズマ中でペルフルオロエッチング剤を活性化してSiO2及びSiN表面からTiN膜を除去できること
が挙げられる。
窒化チタン(TiN)の堆積は、集積回路、電気部品などの製作においてエレクトロニクス産業で通常実施されている。堆積プロセスでは、TiNの幾らかは、ターゲット基材の表面以外の表面、例えば、堆積チャンバー内の壁や表面に堆積する。XeF2は、二酸化ケイ素(SiO2)及び窒化ケイ素(SiN)表面を汚染するTiNのための選択的エッチング剤として有効であることが見出された。この知見では、表面、例えば、二酸化ケイ素(石英)又は窒化ケイ素でコーティング又はライニングされた半導体の反応器又は堆積チャンバー、ツール、機器、部品及びチップにおいて見出される表面を汚染する不要なTiN膜及び堆積材料を除去するためのエッチング剤として二フッ化キセノン(XeF2)を使用することができる。
SiO2及びSiN表面、例えば、堆積チャンバーの表面からの不要なTiN残留物の除去において、XeF2はTiNを揮発性TiF4に転化するための条件下で接触領域において表面と接触され、次いで、この揮発性種が接触領域から除去される。XeF2は、不活性ガス、例えば、N2、Ar、Heなどとともに添加される場合が多い。
TiN堆積材料をSiN及びSiO2表面から除去するための方法の実施においては、XeF2は接触領域への導入前に前もって形成することができるか、又は本発明の目的のために及び本明細書での規定により、XeF2は2つの方法によってその場で形成することができる。XeF2のその場形成の1つの実施態様では、少なくともこれは、認められる反応から結果として得られる生成物であると考えられ、キセノン(Xe)はフッ素化合物に添加され、遠隔プラズマ発生器に装入される。Xeは得られた遠隔プラズマ中に存在するF原子と反応してXeF2を形成する。その場の実施態様の変形態様では、フッ素化合物が遠隔プラズマ発生器に添加され、次いで、Xeが遠隔プラズマ発生器の下流でF原子を含有する遠隔プラズマに添加される。
その場法によってXeF2を形成するためのこのフッ素化合物の具体例としては、NF3、ペルフルオロカーボン、例えば、C2F6、CF4、C3F8、及び硫黄誘導体、例えば、SF6が挙げられる。好ましい実施態様においては、NF3がXeF2のその場形成のためのフッ素化合物として用いられる。
Xeとフッ素化合物は、広い範囲でXeF2を形成するその場プロセスにおいて使用することができる。Xe:フッ素化合物のモル比は、遠隔プラズマ中のF原子のレベルに対して形成されるXeF2の量に依存している。好ましいモル比は、Xeとフッ素化合物が1:10〜10:1である。任意選択で、不活性ガス、例えば、アルゴンを、SiO2及びSiNに対するTiNの選択的エッチングを調整する手段として、XeF2の遠隔プラズマ発生において含めることができる。
二酸化ケイ素表面(石英)及びSiN表面からTiN膜を選択的にエッチングするための温度は、主としてプロセスが実施される方法に依存している。それは、XeF2が前もって形成されて接触領域に直接的に添加される場合に、温度は、少なくとも100℃、例えば、100〜800℃、好ましくは150〜500℃まで上げるべきであることを意味している。XeF2の圧力は、少なくとも0.1Torr、例えば、0.1〜20Torr、好ましくは0.2〜10Torrであるべきである。エッチング(Siエッチング)の速度が温度の上昇とともに減少する従来技術のプロセスとは対照的に、ここでのエッチング速度は温度の上昇とともに増加する。TiF4はこれらの条件下では揮発性であり、SiO2及びSiN表面から容易に除去されるので、温度を上げるとTiNのエッチング速度が増加すると考えられる。より低い温度では、SiO2及びSiN表面付近のTiF4種がXeF2の攻撃をブロックしたままになってしまう。
XeF2を形成するその場プロセスでは、洗浄又はエッチングは遠隔プラズマの存在下で行われる。遠隔プラズマが存在する場合の温度は、50〜500℃、好ましくは100〜300℃であることができる。
SiO2及びSiN表面からのTiNの除去に適した圧力は、0.5〜50Torr、好ましくは1〜10Torrである。
以下の例は、本発明の種々の実施態様を説明するために与えられるものであり、本発明の範囲を限定することを意図するものではない。
[例1]
[種々の温度及び圧力での堆積材料のエッチングにおけるXeF2の有効性]
本例では、TiN、SiO2及びSiNに関するエッチ速度を、種々の温度及び圧力でエッチング剤としてXeF2を用いて測定した。実験試料は、TiN、SiO2及びSiNの薄膜でコーティングしたSiウェハから調製した。エッチ速度は、最初の膜厚とエッチング又は処理条件に所定時間さらした後の膜厚との間の薄膜の厚さ変化によって計算した。
[種々の温度及び圧力での堆積材料のエッチングにおけるXeF2の有効性]
本例では、TiN、SiO2及びSiNに関するエッチ速度を、種々の温度及び圧力でエッチング剤としてXeF2を用いて測定した。実験試料は、TiN、SiO2及びSiNの薄膜でコーティングしたSiウェハから調製した。エッチ速度は、最初の膜厚とエッチング又は処理条件に所定時間さらした後の膜厚との間の薄膜の厚さ変化によって計算した。
エッチングを達成するために、大部分のXeF2ガスは、シリンダーから未使用の遠隔プラズマ発生器を介して反応器へ導入した。反応器チャンバー中のXeF2ガスの圧力は、所望の圧力に達するとすぐにシリンダーからの流れを止めることにより一定に保持した。
試験片は、異なる基材温度を維持するのに使用する台座加熱器の表面上に置いた。結果を下表1に示す。
上記の結果は、0.5〜1Torrの圧力では、XeF2は、150〜300℃の高温でTiN膜をエッチングするのに有効であり、25℃室温で有効であることを示している。驚くべきことに、XeF2は、用いられたいずれの温度及び圧力でもSiO2又はSiN表面をエッチングしなかったが、このような温度でTiN膜をエッチングした。これらの高温でXeF2がSiO2及びSiN表面をエッチングせず、TiN膜をエッチングしたことから、XeF2はSiO2及びSiN表面からのTiN膜及び粒子のための選択的なエッチング剤として使用することができると結論付けた。
[例2]
[XeとNF3の反応によるXeF2のその場形成]
本例では、MKS Astron遠隔プラズマ発生器を反応チャンバーの上部に取り付けた。Astron発生器の出口と試料片との距離は約6インチであった。遠隔プラズマ発生器をオンにし、しかし、反応チャンバーの台座加熱器はオフにした。チャンバーを室温で維持した。遠隔プラズマを用いたSiとSiO2両方の基材のエッチ速度を比較の目的で測定した。
[XeとNF3の反応によるXeF2のその場形成]
本例では、MKS Astron遠隔プラズマ発生器を反応チャンバーの上部に取り付けた。Astron発生器の出口と試料片との距離は約6インチであった。遠隔プラズマ発生器をオンにし、しかし、反応チャンバーの台座加熱器はオフにした。チャンバーを室温で維持した。遠隔プラズマを用いたSiとSiO2両方の基材のエッチ速度を比較の目的で測定した。
遠隔プラズマに対するプロセスガスはNF3であり、それを種々の量で第2のガス流と混合した。第2のガス流は、Xe、アルゴン(Ar)又はそれらの組み合わせから構成した。反応器チャンバーへの合計のガス流量は400sccmで固定し、NF3の流量を80sccmで固定した。第2のガス流の合計流量を320sccmで維持しながら、Xeの流量と第2のガス流の合計流量の比(Xe/(Ar+Xe))を0(追加のプロセスガスとしてArのみ)と1(追加のプロセスガスとしてXeのみ)の間で変化させた。Si基材のエッチングの結果を図1に示し、SiO2基材のエッチングの結果を図2に示す。
図1に示すように、プロセスガスNF3に対してXeを添加することでSiのエッチ速度が向上した。遠隔プラズマ発生器にNF3とともにXeを添加することでSiのエッチングを向上させるプラズマが発生するということは意外であった。
図2は、NF3/アルゴンプラズマにXeを添加することでSiO2基材のエッチ速度が抑制されたことを示しており、このことは予想外であった。遠隔プラズマ中に存在するF原子はSiO2に基づく基材を攻撃する。図1の分析とともに、プラズマにXeを添加することで、XeF2がその場形成され、結果としてSi基材のエッチングは向上するが、例1で言及したようにSiO2基材のエッチングは低減又は抑制されると考えられる。
図3は、SiO2に対するSiのエッチ選択性に関し、NF3プロセスガスへのXeの添加効果を比較するために与えられる。図1と図2の結果を比較することで分かるように、図3は、SiO2に対するSiのエッチ選択性がプロセスガス中のXe量を増加するにつれて向上したことを示している。具体的には、ガス流中のXeの割合が0%〜100%まで増えたときに、選択性は30〜250まで向上した。
[例3]
[TiNとSiO2のエッチ速度に関する遠隔プラズマ及び温度の効果]
本例では、遠隔プラズマ発生器と台座加熱器の両方をオンにし、種々の基材温度で遠隔プラズマを用いてTiNとSiO2両方のエッチ速度の測定を可能にしたこと以外は、例2の手順に従った。
[TiNとSiO2のエッチ速度に関する遠隔プラズマ及び温度の効果]
本例では、遠隔プラズマ発生器と台座加熱器の両方をオンにし、種々の基材温度で遠隔プラズマを用いてTiNとSiO2両方のエッチ速度の測定を可能にしたこと以外は、例2の手順に従った。
実験の第1の組において、NF3とXeの混合物をプロセスガスとして使用し、TiNとSiO2のエッチ速度を測定した。Xeの流量は320sccmで固定した。温度は100℃から150℃まで変化させた。これらの実験の結果をTiNとSiO2に関してそれぞれ図4と図5に四角の点として示す。
実験の第2の組において、NF3とアルゴン(Ar)の混合物をプロセスガスとして使用し、TiNとSiO2のエッチ速度を測定した。Arの流量は320sccmで固定した。温度は100℃から150℃まで変化させた。これらの実験の結果をTiNとSiO2に関してそれぞれ図4と図5に菱形の点として示す。
図4に示すように、プロセスガスにXeを添加することで、TiNのエッチ速度が一般的に130℃を超える温度で向上した。図5は、NF3にXeを添加することで、NF3へのArの添加に対して検討された全ての温度でSiO2のエッチ速度が抑制されたことを示している。エッチ選択性についてプロセスガスへのXeの添加効果は、図4と図5の結果を比較することで知ることができる。
図6はSiO2に対するTiNのエッチ選択性を示し、このグラフは、Arに比べてNF3プロセスガスへのXeの添加に関し、TiNの選択性が約110℃よりも高い温度で、急激には120℃よりも高い温度で上昇し始めることを示している。
まとめると、例1は、エッチングが高温で実施される場合に、XeF2が二酸化ケイ素及び窒化ケイ素基材に関してTiN膜の選択的なエッチング剤であることを示している。例3は、遠隔プラズマ中のNF3プロセスガスにXeを添加することで、NF3のみをプロセスガスとして用いた場合のエッチ選択性と比べて、高い(上昇した)温度でのSiO2に対するTiNのエッチ選択性を高めることができることを示している。SiO2に対するTiNの向上した選択性は、石英管炉の用途において、また、TiNの堆積物をその上に有するSiO2でコーティングされた部品及び半導体ツールにとって重要である。この方法では、プロセス反応器上に遠隔下流プラズマ装置を連結し、プロセスガスを通すことにより堆積サイクルの間に堆積反応器の洗浄を促進することができる。このような洗浄プロセスのためにXeF2を用いるよりもむしろフッ素含有ガス、例えば、NF3とキセノンを組み合わせることが経済的に有利な場合がある(即ち、所有コストがより低い)。本例で記載される洗浄プロセスは、再使用の前にプロセス反応器の部品を洗浄することが唯一の目的であるオフラインプロセスの反応器においても使用することができる。この場合、遠隔下流プラズマ反応器は、部品(堆積反応器からの部品)が配置されたオフラインプロセス反応器上に連結される。次いで、キセノンとフッ素含有ガス、例えば、NF3を遠隔下流装置に導入した後、洗浄すべき部品を含むチャンバーにプロセスガスが通される。
Claims (19)
- 二酸化ケイ素又は窒化ケイ素を有する表面を二フッ化キセノンから構成されるエッチング剤ガスと接触領域において接触させ、該二酸化ケイ素又は窒化ケイ素が揮発性成分に転化されるのに優先して窒化チタンを揮発性種に選択的に転化する工程、及び
該揮発性種を前記接触領域から除去する工程
を含む、二酸化ケイ素又は窒化ケイ素を有する表面から窒化チタンを選択的にエッチングするための方法。 - 前記二フッ化キセノンが前記接触領域への導入前に前もって形成され、前記接触工程の温度が少なくとも100℃である、請求項1に記載の方法。
- 前記接触領域の圧力が少なくとも0.1Torrである、請求項2に記載の方法。
- 前記表面が二酸化ケイ素でコーティングされている、請求項2に記載の方法。
- 前記接触工程の際の温度が150〜500℃である、請求項2に記載の方法。
- 前記圧力が0.2〜10Torrである、請求項2に記載の方法。
- 前記二フッ化キセノンが、キセノンとフッ素化合物との反応によってその場形成される、請求項1に記載の方法。
- 前記二フッ化キセノンのその場形成が、キセノンをフッ素化合物と遠隔プラズマ発生器において接触させることにより達成される、請求項7に記載の方法。
- 前記フッ素化合物が、NF3、C2F6、CF4、C3F8及びSiF6からなる群より選択される、請求項8に記載の方法。
- 前記接触領域の温度が50〜500℃である、請求項8に記載の方法。
- 前記エッチング剤ガスが、その場形成された二フッ化キセノンとアルゴンから構成される、請求項8に記載の方法。
- Xeとフッ素化合物のモル比が1:10〜10:1である、請求項8に記載の方法。
- 前記接触領域において用いられる温度が100〜300℃である、請求項8に記載の方法。
- 圧力が1〜10Torrである、請求項8に記載の方法。
- 不要な堆積残留物をエッチング剤ガスと接触させて該不要な残留物を揮発性種に転化し、次いで該揮発性種を半導体堆積チャンバーから除去する、不要な堆積残留物から半導体堆積チャンバーを洗浄するためのプロセスにおいて、
窒化チタンから構成される不要な堆積残留物を二酸化ケイ素又は窒化ケイ素の表面を含む半導体堆積チャンバーからエッチング剤ガスとして二フッ化キセノンを用いて除去することを含む、上記プロセスの改良された方法。 - 前記二フッ化キセノンが、前記不要な残留物との接触前に前もって形成される、請求項15に記載の方法。
- 前記接触の際の温度が150〜500℃であり、圧力が0.2〜10Torrである、請求項16に記載の方法。
- 前記二フッ化キセノンがキセノンとフッ素化合物との反応によってその場形成され、該二フッ化キセノンのその場形成がキセノンを前記フッ素化合物と遠隔プラズマ発生器において接触させることにより達成される、請求項15に記載の方法。
- 前記フッ素化合物が、NF3、C2F6、CF4、C3F8及びSiF6からなる群より選択される、請求項18に記載の方法。
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US11/285,056 US20070117396A1 (en) | 2005-11-22 | 2005-11-22 | Selective etching of titanium nitride with xenon difluoride |
Publications (1)
Publication Number | Publication Date |
---|---|
JP2007150305A true JP2007150305A (ja) | 2007-06-14 |
Family
ID=37814206
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2006314452A Withdrawn JP2007150305A (ja) | 2005-11-22 | 2006-11-21 | 二フッ化キセノンを用いた窒化チタンの選択的エッチング |
Country Status (7)
Country | Link |
---|---|
US (1) | US20070117396A1 (ja) |
EP (1) | EP1788120A1 (ja) |
JP (1) | JP2007150305A (ja) |
KR (1) | KR20070054100A (ja) |
CN (1) | CN101192508A (ja) |
SG (1) | SG132627A1 (ja) |
TW (1) | TW200721298A (ja) |
Cited By (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2010177666A (ja) * | 2009-01-27 | 2010-08-12 | Air Products & Chemicals Inc | 選択的エッチングおよび二フッ化キセノンの形成 |
JP2011501874A (ja) * | 2007-09-14 | 2011-01-13 | クォルコム・メムズ・テクノロジーズ・インコーポレーテッド | Mems製造において使用されるエッチングプロセス |
JP2013529764A (ja) * | 2010-07-05 | 2013-07-22 | ソルヴェイ(ソシエテ アノニム) | フッ素容器 |
JP2016151642A (ja) * | 2015-02-17 | 2016-08-22 | 三井化学株式会社 | ペリクル膜の製造方法、ペリクルの製造方法、およびフォトマスクの製造方法 |
JP2018506854A (ja) * | 2015-02-15 | 2018-03-08 | エーシーエム リサーチ (シャンハイ) インコーポレーテッド | サイドウォールの凹部を最小化するためのバリア層除去方法 |
Families Citing this family (177)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8486287B2 (en) * | 2004-03-19 | 2013-07-16 | The Regents Of The University Of California | Methods for fabrication of positional and compositionally controlled nanostructures on substrate |
US7819981B2 (en) * | 2004-10-26 | 2010-10-26 | Advanced Technology Materials, Inc. | Methods for cleaning ion implanter components |
US8278222B2 (en) * | 2005-11-22 | 2012-10-02 | Air Products And Chemicals, Inc. | Selective etching and formation of xenon difluoride |
CN101473073B (zh) | 2006-04-26 | 2012-08-08 | 高级技术材料公司 | 半导体加工系统的清洁 |
US7763546B2 (en) * | 2006-08-02 | 2010-07-27 | Qualcomm Mems Technologies, Inc. | Methods for reducing surface charges during the manufacture of microelectromechanical systems devices |
SG188150A1 (en) | 2008-02-11 | 2013-03-28 | Advanced Tech Materials | Ion source cleaning in semiconductor processing systems |
US7719754B2 (en) * | 2008-09-30 | 2010-05-18 | Qualcomm Mems Technologies, Inc. | Multi-thickness layers for MEMS and mask-saving sequence for same |
US8129270B1 (en) | 2008-12-10 | 2012-03-06 | Novellus Systems, Inc. | Method for depositing tungsten film having low resistivity, low roughness and high reflectivity |
US8703003B2 (en) * | 2009-04-20 | 2014-04-22 | Spts Technologies Limited | Selective etching of semiconductor substrate(s) that preserves underlying dielectric layers |
US9075150B2 (en) * | 2009-07-30 | 2015-07-07 | Carestream Health, Inc. | Radiographic detector formed on scintillator |
US10256142B2 (en) | 2009-08-04 | 2019-04-09 | Novellus Systems, Inc. | Tungsten feature fill with nucleation inhibition |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US20130045605A1 (en) * | 2011-08-18 | 2013-02-21 | Applied Materials, Inc. | Dry-etch for silicon-and-nitrogen-containing films |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
US10381266B2 (en) | 2012-03-27 | 2019-08-13 | Novellus Systems, Inc. | Tungsten feature fill with nucleation inhibition |
US11437269B2 (en) | 2012-03-27 | 2022-09-06 | Novellus Systems, Inc. | Tungsten feature fill with nucleation inhibition |
CN110004429B (zh) | 2012-03-27 | 2021-08-31 | 诺发系统公司 | 钨特征填充 |
CN103367138B (zh) * | 2012-03-30 | 2018-04-13 | 盛美半导体设备(上海)有限公司 | 二氟化氙气相刻蚀阻挡层的工艺 |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) * | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
RU2533740C1 (ru) * | 2013-07-04 | 2014-11-20 | Федеральное государственное автономное образовательное учреждение высшего профессионального образования "Национальный исследовательский университет "МИЭТ" (МИЭТ) | Способ реактивного ионного травления слоя нитрида титана селективно к двуокиси кремния, поликремнию и вольфраму |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
TWI683889B (zh) * | 2013-07-31 | 2020-02-01 | 美商恩特葛瑞斯股份有限公司 | 用於移除金屬硬遮罩及蝕刻後殘餘物之具有Cu/W相容性的水性配方 |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9748137B2 (en) | 2014-08-21 | 2017-08-29 | Lam Research Corporation | Method for void-free cobalt gap fill |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9355862B2 (en) | 2014-09-24 | 2016-05-31 | Applied Materials, Inc. | Fluorine-based hardmask removal |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9997405B2 (en) | 2014-09-30 | 2018-06-12 | Lam Research Corporation | Feature fill with nucleation inhibition |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
JP6452401B2 (ja) * | 2014-11-20 | 2019-01-16 | 日機装株式会社 | 透析液供給システム |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US20180033643A1 (en) * | 2015-02-25 | 2018-02-01 | Applied Materials, Inc. | Methods and apparatus for using alkyl amines for the selective removal of metal nitride |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
NL2014497B1 (en) * | 2015-03-20 | 2017-01-19 | Asm Int Nv | Method for cleaning deposition apparatus. |
US10170320B2 (en) | 2015-05-18 | 2019-01-01 | Lam Research Corporation | Feature fill with multi-stage nucleation inhibition |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US9972504B2 (en) | 2015-08-07 | 2018-05-15 | Lam Research Corporation | Atomic layer etching of tungsten for enhanced tungsten deposition fill |
US9978610B2 (en) | 2015-08-21 | 2018-05-22 | Lam Research Corporation | Pulsing RF power in etch process to enhance tungsten gapfill performance |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10504746B2 (en) * | 2016-04-12 | 2019-12-10 | Applied Materials, Inc. | HKMG integration |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
WO2017210518A1 (en) * | 2016-06-03 | 2017-12-07 | Entegris, Inc. | Vapor phase etching of hafnia and zirconia |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10573522B2 (en) | 2016-08-16 | 2020-02-25 | Lam Research Corporation | Method for preventing line bending during metal fill process |
US10566211B2 (en) | 2016-08-30 | 2020-02-18 | Lam Research Corporation | Continuous and pulsed RF plasma for etching metals |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10211099B2 (en) | 2016-12-19 | 2019-02-19 | Lam Research Corporation | Chamber conditioning for remote plasma process |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
SG11202106002VA (en) | 2018-12-05 | 2021-07-29 | Lam Res Corp | Void free low stress fill |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
CN115461846B (zh) | 2020-03-31 | 2023-07-25 | 玛特森技术公司 | 使用氟碳等离子体的工件的加工 |
Family Cites Families (13)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5002632A (en) * | 1989-11-22 | 1991-03-26 | Texas Instruments Incorporated | Method and apparatus for etching semiconductor materials |
US5302240A (en) * | 1991-01-22 | 1994-04-12 | Kabushiki Kaisha Toshiba | Method of manufacturing semiconductor device |
US5384009A (en) * | 1993-06-16 | 1995-01-24 | Applied Materials, Inc. | Plasma etching using xenon |
US5421957A (en) * | 1993-07-30 | 1995-06-06 | Applied Materials, Inc. | Low temperature etching in cold-wall CVD systems |
JPH0864559A (ja) * | 1994-06-14 | 1996-03-08 | Fsi Internatl Inc | 基板面から不要な物質を除去する方法 |
TW473857B (en) * | 1996-04-26 | 2002-01-21 | Hitachi Ltd | Method of manufacturing semiconductor device |
US6051502A (en) * | 1997-10-20 | 2000-04-18 | Micron Technology, Inc. | Methods of forming conductive components and methods of forming conductive lines |
WO2002050883A1 (fr) * | 2000-12-18 | 2002-06-27 | Sumitomo Precision Products Co., Ltd | Procede de nettoyage et procede d'attaque |
JP2004537758A (ja) * | 2001-07-27 | 2004-12-16 | エフ・イ−・アイ・カンパニー | 電子ビーム処理 |
US7026235B1 (en) * | 2002-02-07 | 2006-04-11 | Cypress Semiconductor Corporation | Dual-damascene process and associated floating metal structures |
US6818566B2 (en) * | 2002-10-18 | 2004-11-16 | The Boc Group, Inc. | Thermal activation of fluorine for use in a semiconductor chamber |
WO2005001920A1 (ja) * | 2003-06-27 | 2005-01-06 | Tokyo Electron Limited | プラズマ発生方法、クリーニング方法および基板処理方法 |
US20060134920A1 (en) * | 2004-12-17 | 2006-06-22 | Ted Liang | Passivating metal etch structures |
-
2005
- 2005-11-22 US US11/285,056 patent/US20070117396A1/en not_active Abandoned
-
2006
- 2006-11-15 KR KR1020060112517A patent/KR20070054100A/ko active IP Right Grant
- 2006-11-16 TW TW095142485A patent/TW200721298A/zh unknown
- 2006-11-16 SG SG200607954-5A patent/SG132627A1/en unknown
- 2006-11-17 EP EP06255881A patent/EP1788120A1/en not_active Withdrawn
- 2006-11-21 JP JP2006314452A patent/JP2007150305A/ja not_active Withdrawn
- 2006-11-22 CN CNA2006101624313A patent/CN101192508A/zh active Pending
Cited By (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2011501874A (ja) * | 2007-09-14 | 2011-01-13 | クォルコム・メムズ・テクノロジーズ・インコーポレーテッド | Mems製造において使用されるエッチングプロセス |
US8308962B2 (en) | 2007-09-14 | 2012-11-13 | Qualcomm Mems Technologies, Inc. | Etching processes used in MEMS production |
US8323516B2 (en) | 2007-09-14 | 2012-12-04 | Qualcomm Mems Technologies, Inc. | Etching processes used in MEMS production |
JP2010177666A (ja) * | 2009-01-27 | 2010-08-12 | Air Products & Chemicals Inc | 選択的エッチングおよび二フッ化キセノンの形成 |
JP2013529764A (ja) * | 2010-07-05 | 2013-07-22 | ソルヴェイ(ソシエテ アノニム) | フッ素容器 |
JP2018506854A (ja) * | 2015-02-15 | 2018-03-08 | エーシーエム リサーチ (シャンハイ) インコーポレーテッド | サイドウォールの凹部を最小化するためのバリア層除去方法 |
JP2016151642A (ja) * | 2015-02-17 | 2016-08-22 | 三井化学株式会社 | ペリクル膜の製造方法、ペリクルの製造方法、およびフォトマスクの製造方法 |
Also Published As
Publication number | Publication date |
---|---|
CN101192508A (zh) | 2008-06-04 |
SG132627A1 (en) | 2007-06-28 |
KR20070054100A (ko) | 2007-05-28 |
US20070117396A1 (en) | 2007-05-24 |
TW200721298A (en) | 2007-06-01 |
EP1788120A1 (en) | 2007-05-23 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP2007150305A (ja) | 二フッ化キセノンを用いた窒化チタンの選択的エッチング | |
US8278222B2 (en) | Selective etching and formation of xenon difluoride | |
KR102398461B1 (ko) | 다중 적층을 에칭하기 위한 화학물질 | |
CA1235630A (en) | Etching techniques | |
TWI475611B (zh) | 選擇性蝕刻及二氟化氙的形成 | |
JP2005159364A (ja) | 蒸着チェンバーから誘電率が大きな材料を除去する方法 | |
JP2006035213A (ja) | 窒化チタンの除去方法 | |
JP2004146787A (ja) | 高誘電率材料のエッチング方法及び高誘電率材料の堆積チャンバーのクリーニング方法 | |
JP2006041523A (ja) | フッ素利用率を増大させる方法 | |
KR100299488B1 (ko) | 침적물제거용가스및이를이용한침적물제거방법 | |
JP2006324663A (ja) | 汚染されたツール部品の清浄化方法 | |
JP2008244292A (ja) | プラズマ処理装置の処理性能安定化方法 | |
WO2016181723A1 (ja) | ドライエッチング方法、ドライエッチング剤及び半導体装置の製造方法 | |
JP5850043B2 (ja) | エッチングガスおよびエッチング方法 | |
JP4219091B2 (ja) | 半導体材料のエッチング方法 | |
JP2005109492A (ja) | 処理室の清掃方法 | |
WO2018181104A1 (ja) | ドライエッチング方法またはドライクリーニング方法 | |
JP4320389B2 (ja) | Cvdチャンバーのクリーニング方法およびそれに用いるクリーニングガス | |
TWI828964B (zh) | 蝕刻方法 | |
EP1475822A1 (en) | Cleaning gas and etching gas |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A761 | Written withdrawal of application |
Free format text: JAPANESE INTERMEDIATE CODE: A761 Effective date: 20080611 |