JP2005159364A - 蒸着チェンバーから誘電率が大きな材料を除去する方法 - Google Patents

蒸着チェンバーから誘電率が大きな材料を除去する方法 Download PDF

Info

Publication number
JP2005159364A
JP2005159364A JP2004340600A JP2004340600A JP2005159364A JP 2005159364 A JP2005159364 A JP 2005159364A JP 2004340600 A JP2004340600 A JP 2004340600A JP 2004340600 A JP2004340600 A JP 2004340600A JP 2005159364 A JP2005159364 A JP 2005159364A
Authority
JP
Japan
Prior art keywords
group
reactor
gas mixture
transition metal
boron
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2004340600A
Other languages
English (en)
Inventor
Dingjun Wu
ディンジュン ウー
Bing Ji
ビン ジ
Stephen Andrew Motika
スティーブン アンドリュー モティカ
Eugene J Karwacki Jr
ジョセフ カーワキ,ジュニア ユージン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Air Products and Chemicals Inc
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of JP2005159364A publication Critical patent/JP2005159364A/ja
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Detergent Compositions (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

【課題】 二酸化ケイ素よりも大きな誘電率を持つ材料を反応装置の表面の少なくとも一部から除去する方法を提供すること。
【解決手段】 ホウ素含有反応剤を含む第1のガス混合物を反応装置に導入することにより、その第1のガス混合物をその反応装置に含まれている上記材料と反応させて揮発性生成物とホウ素含有副生成物を生成させるステップと;フッ素含有反応剤を含む第2のガス混合物を反応装置に導入することにより、その第2のガス混合物をその反応装置に含まれているホウ素含有副生成物と反応させて揮発性生成物を形成するステップと;上記揮発性生成物を反応装置から除去するステップとを含むように構成する。
【選択図】 図1

Description

半導体集積回路(IC)を製造する際には、誘電材料(例えば二酸化ケイ素(SiO2)、窒化ケイ素(Si3N4)、シリコンオキシナイトライド(SiON))がトランジスタのゲートの絶縁体として広く使用されている。このような絶縁体はしばしばゲート絶縁膜と呼ばれる。ICデバイスの幾何学的サイズが小さくなるにつれ、ゲート絶縁膜もますます薄くなってきた。ゲート絶縁膜が数ナノメートル以下になると、従来のSiO2、Si3N4、SiONといった材料は絶縁破壊を起こし、もはや絶縁できなくなる。非常に薄い状態(<10nm)で十分な降伏電圧を維持するためには、ゲート絶縁膜として誘電率の大きな材料を使用するとよい。本願明細書で用いる“誘電率の大きな材料”という表現は、誘電率が約4.1より大きい材料、すなわち二酸化ケイ素の誘電率よりも大きい材料を意味する。さらに、誘電率の大きな材料は、半導体メモリ・チップのディープ・トレンチ・キャパシタを作る際に障壁層としても使用することができる。IC産業では、誘電率の大きな多数の材料が試されてきた。誘電率の大きい最新かつ最も有望な材料は、金属酸化物、例えばAl2O3、HfO2、ZrO2とその混合物、金属ケイ酸塩、例えばHfSixOy、ZrSixOyとその混合物である。場合によっては、金属酸化物や金属ケイ酸塩からなる誘電率の大きなこれら材料に窒素を組み込んで誘電率を増大させ、誘電率の大きな材料の結晶化を抑制することができる。例えば誘電率の大きなHfO2などの材料が結晶化すると、大きなリーク電流が発生して装置が故障する。したがって窒素を組み込むと、デバイスの信頼性を劇的に向上させることができる。別の場合には、2種類以上の上記材料で構成されたラミネート構造をkが大きな絶縁膜として堆積させる。例えばAl2O3の後にHfO2を堆積させたラミネート構造が、ディープ・トレンチ・キャパシタの障壁層として使用されるようになっている。
誘電率の大きな材料、例えばAl2O3、HfO2、ZrO2は非常に安定であり、ほとんどのエッチング反応に対する耐性がある。そのためこれらの材料は、他の材料をプラズマ・エッチングする際のエッチング停止層やハードマスク層として利用されている。例えば非特許文献1〜3及び特許文献1〜4を参照のこと。
誘電率が大きなこれらの材料は、一般に、化学的前躯体が蒸着チェンバー内で反応して化学的気相堆積(CVD)プロセスによって薄膜を形成することによって堆積される。場合によっては、誘電率が大きなこれらの材料は、原子層堆積(ALD)法によって半導体基板(ウエハ)上に堆積される。この方法では、薄膜が、制御された状態でほぼ一原子の層として堆積される。ALDを実施するための装置と方法は、例えば特許文献5〜10に開示されている。Genus社に譲渡されたこれら一連の特許文献には、「その場で生成させたプラズマでクリーニングすることにより、次にメンテナンスのためのクリーニングを行なうまでの時間を非常に長くすることができる」と記載されている(例えば、特許文献8の第7欄、27〜28行を参照のこと)。しかし一連の上記特許文献には、ALDチェンバーをプラズマ・クリーニングする方法についての詳細は記載されていない。
原子層堆積プロセス(PE-ALD)を促進するのにプラズマ源が使用されてきた。例えば特許文献11には、プラズマ源を用いて励起した反応種を生成させることにより基板表面が準備される/活性化するため、その後に行なうALDが容易になることが記載されている。特許文献12には、ALDプロセスを実現するため、前躯体化学物質を交互に流す代わりにパルス式プラズマを利用することが記載されている。やはりこれらの特許文献にも、ウエハを処理した後のALD残留物を除去する方法はまったく開示されていない。
堆積プロセスによって誘電率が大きな薄膜が基板(一般にシリコン・ウエハ)上に生成することが望ましいが、蒸着チェンバーの内部に露出している他の表面上でも、この薄膜を形成する反応が無駄に起こる。堆積残留物が蓄積すると、粒子が遮られたり、堆積の均一性が低下したり、処理のドリフトが起こったりする。このような効果によってウエハに欠陥ができ、その結果としてデバイスが故障する可能性がある。したがってあらゆるCVDチェンバー、とりわけALDチェンバーは、定期的にクリーニングする必要がある。
プラズマにある種の化合物を添加してAl2O3をエッチングする速度を大きくすることがさまざまな文献に記載されている。非特許文献4及び5には、それぞれフッ素をベースとしたガスまたは塩素をベースとしたガスをArプラズマに添加してAl2O3をエッチングする速度を増大させることが開示されている。しかしこれらの研究はどれも、反応性イオン・エッチング(RIE)条件で行なわれた。イオン照射/スパッタによって誘導される反応は、化学的エッチング反応よりもはるかに重要な役割を果たしている。他の従来技術と同様、このように極端なRIE条件は、接地されたチェンバー表面のクリーニングには適用できない。
従来法には誘電率が大きな残留物を除去することが記載されていないため、ALD反応装置とCVD反応装置は一般に物理的手段(スクラビング又はブラスティング)でクリーニングすることにより、チェンバーの内面と装置の下流(例えばポンプのヘッダや排出用マニホールド)から堆積残留物を一掃している。しかし物理的クリーニング法は時間がかかり、労働集約的であり、クリーニングする表面を傷める。
チェンバーの内面から堆積残留物を一掃するのに物理的手段(スクラビング又はブラスティング)および/または液体状の化学物質を利用する以外に、Cl含有反応剤を用いたドライクリーニング法が開発されている。この場合、BCl3が好ましいCl含有反応剤の1つである。BCl3は、2つの相乗的な化学的メカニズムを持つため、誘電率が大きな残留物を除去するのに特に効果的なクリーニング剤であると考えられている。第1に、ホウ素原子が酸素捕捉剤として機能し、金属-酸素結合を破壊するのに役立つ可能性がある。第2に、塩素原子は、金属原子と反応し、対応する金属酸化物よりも揮発性のある種を形成することができる。この方法によってチェンバー内の誘電率が大きな残留物を効果的に除去できるとしても、固体のホウ素含有副生成物、例えばB2O3も生成する可能性がある。ホウ素含有残留物は、p型ドーパントとして機能することができるため、集積回路に汚染の問題を引き起こす可能性がある。さらに、真空ラインにホウ素含有残留物が堆積すると、真空装置を故障させる可能性もある。したがって製品の品質と装置の完全性を保証するには、ホウ素含有残留物を除去する必要がある。
化学的気相堆積(CVD)反応装置の内部表面からシリコン化合物(多結晶シリコン、SiO2、SiON、Si3O4)やタングステンを除去するのに主としてフッ素含有プラズマを用いる方法(すなわちドライクリーニング法)が一般に利用されている。この方法では、フッ素が上記の残留物と反応して例えばSiF4やWF6といった揮発性のある種が生成するため、クリーニング中に反応装置からポンプで排出させることができる。しかしフッ素をベースとした化学物質だけでは、誘電率が大きな上記のような材料を除去するのに十分でない。例えば、非特許文献6を参照のこと。この論文において、著者は、Al2O3でコーティングしたウエハを、NF3/Arをベースとした誘導結合プラズマに曝露することにより、「パワーを大きくして利用可能なF原子の濃度を大きくするほど、フッ素化された表面がより厚くなり、エッチングよりも堆積が実質的に起こる」ことを見いだした。誘電率が大きな材料の場合、形成される金属フッ化物は不揮発性であるため、反応装置から除去することが難しい。
したがって、誘電率が大きな材料、例えばAl2O3、HfO2、ZrO2、HfSixOy、ZrSixOyおよびその混合物の残留物、誘電率が大きな材料、例えばHfO2とAl2O3(HfAlOとも呼ばれる)を含むラミネートの残留物、窒素を含む誘電率が大きな材料、例えばHfON、AlONの残留物、HfONとAlON(HfAlON)からなるラミネート材料を、ALD反応装置を換気/開放することなくその反応装置から化学的にドライクリーニングする方法が強く望まれている。効果的な化学的ドライクリーニング法だと、ALDをベースとした堆積プロセスの生産性を著しく向上させ、所有者コスト(Cost-of-Ownership;CoO)を低下させるであろう。
本願明細書で引用するすべての文献は、参照したことでその全体がこの明細書に組み込まれているものとする。
Visokayらに付与されたアメリカ合衆国特許第5,972,722号 Moiseらに付与されたアメリカ合衆国特許第6,211,035 B1号 Moiseらによるアメリカ合衆国特許出願公開第2001/0055852 A1号 Moiseらに付与されたヨーロッパ特許第1,001,459 A2号 Gadgilらに付与されたアメリカ合衆国特許第5,879,459号 Doeringらに付与されたアメリカ合衆国特許第6,174,377 B1号 Doeringらによるアメリカ合衆国特許出願公開第2001/0011526 A1号 Doeringらに付与されたアメリカ合衆国特許第6,387,185 B2号 DoeringらによるWO 00/40772のパンフレット GadgilらによるWO 00/79019 A1のパンフレット PomaredeらのWO 02/43115 A2のパンフレット NguyenらのWO 02/43114 A2のパンフレット K.K. Shih他、「位相シフト・マスクのための二酸化ハフニウム・エッチング停止層」、J. Vac. Sci. Technol. B、第11巻(6)、2130〜2131ページ、1993年 J. A. Britten他、「多層誘電体格子に応用するためのSc2O3フィルムとHfO2フィルムのエッチング停止特性」、J. Vac. Sci. Technol. A、第14巻(5)、2973〜2975ページ、1996年 J. Hong他、「NiMnSb薄膜を誘導結合プラズマでエッチングするためのCl2とF2をベースとした化学物質の比較」、J. Vac. Sci. Technol. A、第17巻(4)、1326〜1330ページ、1999年 W.G.M. Van Den Hoek、「フッ素と塩素をベースとしたRFドライエッチング・プラズマによるAl2O3のエッチング・メカニズム」、Met. Res. Soc. Symp. Proc.、第68巻、71〜78ページ、1986年 Heiman他、「Al2O3とSiの反応性イオン高速エッチング」、J. Vac. Sci. Tech.、第17巻(3)、5月/6月、731〜734ページ、1980年 J. Hong他、J. Vac. Sci. Technol. A、第17巻、1326〜1330ページ、1999年
基板から材料を複数ステップで除去する方法がここに開示される。本発明の1つの面によれば、二酸化ケイ素よりも大きな誘電率を持つ材料を反応装置の表面の少なくとも一部から除去する方法であって、ホウ素含有反応剤を含む第1のガス混合物を反応装置に導入することにより、その第1のガス混合物をその反応装置に含まれている上記材料と反応させて揮発性生成物とホウ素含有副生成物を生成させるステップと;フッ素含有反応剤を含む第2のガス混合物を反応装置に導入することにより、その第2のガス混合物をその反応装置に含まれているホウ素含有副生成物と反応させて揮発性生成物を形成するステップと;上記揮発性生成物を反応装置から除去するステップとを含む方法が提供される。
本発明の別の面によれば、反応装置の表面の少なくとも一部から材料を除去する方法であって、誘電率が4.1よりも大きく、遷移金属の酸化物、遷移金属のケイ酸塩、13族金属の酸化物、13族金属のケイ酸塩、窒素を含む13族金属の酸化物、窒素を含む13族金属のケイ酸塩、窒素を含む遷移金属の酸化物および窒素を含む遷移金属のケイ酸塩から選択される少なくとも1種類の材料であるか、あるいは、遷移金属の酸化物、遷移金属のケイ酸塩、13族金属の酸化物、13族金属のケイ酸塩、窒素を含む13族金属の酸化物、窒素を含む13族金属のケイ酸塩、窒素を含む遷移金属の酸化物、窒素を含む遷移金属のケイ酸塩およびその混合物からなる群から選択される材料の少なくとも1層を含むラミネートである材料で、表面の少なくとも一部が少なくとも部分的に覆われている反応装置を用意するステップと;ホウ素含有反応剤を含む第1のガス混合物を上記反応装置に導入するステップと;上記第1のガス混合物を1つ以上のエネルギー源に曝露して活性種を十分に生成させ、その活性種を上記材料と反応させることにより揮発性生成物とホウ素含有副生成物を形成するステップと;フッ素含有反応剤を含む第2のガス混合物を上記反応装置に導入するステップと;上記第2のガス混合物を1つ以上のエネルギー源に曝露して活性種を十分に生成させ、その活性種を上記ホウ素含有副生成物と反応させることにより揮発性生成物を形成するステップと;上記揮発性生成物を反応装置から除去するステップとを含む方法が提供される。
本発明のこれらの面及びその他の面は、以下の詳細な説明から明らかになるであろう。
本発明の方法は、誘電率の大きな材料をドライエッチングすることと、ウエハの表面に誘電率の大きな材料を堆積させるのに使用する化学的気相堆積(CVD)反応装置(さらに特定するならば、ALD反応装置)をドライクリーニングすることに役立つ。エッチングされる表面またはクリーニングされる表面から除去する材料は、不揮発性固体材料を、堆積させる誘電率の大きな材料よりも揮発性のある材料に変換した後、例えば反応装置の真空ポンプで除去することができる。本発明による複数ステップ法には、ホウ素含有反応剤を含む第1のガス混合物を除去材料と反応させることにより、揮発性生成物とホウ素含有副生成物を形成する操作が含まれる。次に、フッ素含有反応剤を含む第2のガス混合物をそのホウ素含有副生成物と反応させることにより、揮発性生成物を形成する。したがって、少なくとも2種類の反応剤を用いて材料および/または反応副生成物を揮発化させることにより、材料が除去される。
特定の実施態様では、本願明細書に記載した方法を、基板の表面の少なくとも一部から材料をエッチングするのに役立てることができる。これらの実施態様では、適切な基板として、例えば半導体ウエハなどが挙げられる。別の実施態様では、この明細書に記載した方法は、基板、例えばCVD法および/またはALD法のための反応チェンバーまたは反応装置の少なくとも一部から材料を除去するのに適している可能性がある。本発明は、反応装置または反応チェンバーの露出した表面、例えば加工物のプラットフォーム、接地された側壁、典型的な反応チェンバーのシャワーヘッドに堆積した誘電率の大きな材料を除去するのに特に適している。
特定の実施態様では、除去する材料として、遷移金属の酸化物、遷移金属のケイ酸塩、13族金属の酸化物、13族金属のケイ酸塩(無機化学のIUPAC命名規則、推奨1990に従う。13族金属には、Al、Ga、In、Tlが含まれ、遷移金属は3族〜12族を占める)が可能である。この材料としては、二酸化ケイ素よりも誘電率が大きな材料(すなわち誘電率が約4.1よりも大きい材料)、すなわち誘電率が5よりも大きいかもしくは少なくとも7である材料が可能である。材料は、Al2O3、HfO2、ZrO2、HfSixOy、ZrSixOy、ならびにこれらの混合物からなる群から選択した少なくとも1種類の材料であることが好ましい。当業者であれば、一般式HfSixOy(と一般式ZrSixOy)が、HfO2(ZrO2)とSiO2の混合物を表わすことが理解できるであろう(式中、xは0よりも大きく、yは2x+2である)。
本発明の別の実施態様では、材料は、遷移金属の酸化物、遷移金属のケイ酸塩、13族金属の酸化物、13族金属のケイ酸塩、窒素を含む遷移金属の酸化物、窒素を含む遷移金属のケイ酸塩、窒素を含む13族金属の酸化物、窒素を含む13族金属のケイ酸塩からなる群から選択した少なくとも1種類の材料を含むラミネートが可能である。このラミネートは、少なくとも1種類の上記材料と、必要に応じて用いる絶縁材料などの他の材料が交互になった構成であることが好ましい。例えばラミネートは、HfO2層とAl2O3層が交互になった構成にすることができる。ラミネートは、第1の材料からなる所定数の層と、第2の材料からなる所定数の層で構成すること、あるいは少なくとも1種類の第1の材料からなる外側層と、少なくとも1種類の第2の材料からなる内側層で構成することもできる。
本発明のさらに別の一実施態様では、材料は、窒素を含む材料にすることができ、例えば窒素を含む遷移金属の酸化物、窒素を含む遷移金属のケイ酸塩、窒素を含む13族金属の酸化物、窒素を含む13族金属のケイ酸塩などが挙げられる。このタイプの材料の一例は、HfAlONである。
すでに述べたように、除去する材料を反応剤と反応させ、揮発性生成物を形成する。揮発性生成物は、基板から容易に除去することができる。好ましいいくつかの実施態様では、反応剤を1つ以上のエネルギー源に曝露して活性種、例えばイオン、ラジカル、励起した中性物質などを十分に生成させる。この活性種は、上記材料または反応副生成物と反応して揮発性生成物を形成する。適切な反応剤の具体例としては、ホウ素含有ガスやフッ素含有ガスなどが挙げられる。本発明で使用する反応剤はときに“ガス状”と記述することがあるが、反応剤は、ガスとして反応装置に直接供給すること、および/または気化した液体として供給すること、および/または昇華した固体として供給すること、および/または不活性な希釈ガスによって反応装置内に運ぶことができるという意味であると理解するものとする。
反応剤は、さまざまな手段で反応チェンバーに供給することができる。例えば、従来のシリンダー、安全供給システム、真空供給システム、固体または液体をベースとしていて使用現場で反応剤を作り出す生成装置などが挙げられる。本発明の一実施態様では、少なくとも1つの反応剤を液体状またはガス状の非反応性希釈剤に添加し、除去する材料が付着している基板にスプレーその他の手段で付着させることが可能である。反応剤は、1つ以上のエネルギー源に曝露されたとき、基板と反応して揮発性生成物を形成することができる。チェンバーのクリーニングに応用するなどの別の一実施態様では、反応剤を非反応性支持体の表面に堆積させてその支持体を反応チェンバーに入れるとよい。非反応性支持体を構成する材料は、1つ以上のエネルギー源に曝露する前、あるいは曝露中に反応剤と反応しないものにする。いくつかの好ましい実施態様では、非反応性支持体が複数の細孔を備えている。反応剤は、1つ以上のエネルギー源に曝露されたときに放出され、除去する材料と反応して揮発性生成物を形成することができる。
本願明細書に記載しているように、本発明の方法では複数ステップからなる方法によって誘電率の大きな材料が基板から除去される。さらに詳しく説明すると、ホウ素含有反応剤を含む第1のガス混合物を用い、誘電率の大きな不揮発性材料を比較的揮発性のある種に変換する。この変換は、除去する材料をホウ素含有反応剤を含む第1のガス混合物と接触させることによって実現する。好ましいホウ素含有反応剤の具体例としては、BCl3、BBr3、BI3、BF3、ならびにこれらの混合物が挙げられる。これらのうちで、BCl3が最も好ましい反応剤である。これらの実施態様では、BCl3は室温において液化ガスであるため、反応チェンバーに容易に供給することができる。
以下に示す第1表〜第10表には、ホウ素含有反応剤の熱化学に関する計算値が示してある。これらの表において、Keqは、記載してある反応の平衡定数を表わす。この平衡定数が大きいほど、反応が進みやすくなる。
Figure 2005159364
Figure 2005159364
Figure 2005159364
Figure 2005159364
Figure 2005159364
Figure 2005159364
Figure 2005159364
Figure 2005159364
Figure 2005159364
Figure 2005159364
第1表〜第10表に示してあるように、kが大きな材料とホウ素含有反応剤の反応によって生成する副生成物の1つはB2O3である。B2O3などのホウ素含有副生成物や、他の形態のホウ素含有固体残留物は、最終生成物の中で問題になったり、処理装置の故障を引き起こしたりする可能性がある。この問題を解決するため、フッ素含有反応剤を含む第2のガス混合物をホウ素含有副生成物と反応させて揮発性のある種、例えばガス状のBF3を形成する。フッ素含有反応剤の具体例としては、NF3(三フッ化窒素)、ClF3(三フッ化塩素)、ClF(フッ化塩素)、SF6(六フッ化イオウ)、ペルフルオロカーボン、例えばCF4、C2F6など、ヒドロフルオロカーボン、例えばCHF3、C3F7Hなど、オキシフルオロカーボン、例えばC4F8O(ペルフルオロテトラヒドロフラン)など、次亜フッ素酸塩、例えばCF3-OF(フルオロオキシトリフルオロメタン(FTM))、FO-CF2-OF(ビス-ジフルオロキシ-ジフルオロメタン(BDM))など、フルオロ過酸化物、例えばCF3-O-O-CF3、F-O-O-Fなど、フルオロ三酸化物、CF3-O-O-O-CF3など、COF2(フッ化カルボニル)、NOF、NFxCl3-x(式中、xは1〜2)、F2などが挙げられる。いくつかの実施態様では、NF3を使用するとよい。というのも、NF3は非腐食性ガスであり、プラズマまたは熱処理によって容易に活性化させることができ、温めることによる放出を全体としてほぼゼロにすることができるからである。しかもNF3は、他の固体汚染物質の生成を最少にすることができる。以下の第11表には、B2O3とNF3の反応の熱化学に関する計算値が示してある。
Figure 2005159364
本願明細書に記載した反応剤に加え、不活性な希釈剤ガス、例えば窒素、CO、ヘリウム、ネオン、アルゴン、クリプトン、キセノンなども第1のガス混合物および/または第2のガス混合物に添加することができる。不活性な希釈剤ガスを用い、例えばプラズマの特性やクリーニング法を特定の用途により適したように変更することができる。このような実施態様では、不活性ガスの濃度は0〜100%、あるいは5〜100%の範囲にすることができる。一実施態様では、BCl3の濃度が100%(すなわち不活性な希釈剤ガスが0%)であり、NF3の濃度が15%(すなわち不活性な希釈剤ガスが85%)であった。別の一実施態様では、不活性な希釈剤ガスは還元性のガスである。
第1および第2のガス混合物を1つ以上のエネルギー源に曝露して活性な種を十分に生成させ、その少なくとも一部を材料および/またはホウ素含有副生成物と反応させて揮発性の種を形成する。曝露ステップのためのエネルギー源としては、α粒子源、β線源、γ線源、X線源、高エネルギー電子源、電子ビーム源;紫外線(波長が10〜400nm)、可視光線(波長が400〜750nm)、赤外線(波長が750〜105nm)、マイクロ波(周波数>109Hz)、ラジオ周波数(周波数>106Hz)の波動を発生させるエネルギー源;熱エネルギー源;RF放電、DC放電、アーク放電、コロナ放電の発生源;音波、超音波、メガソニックのエネルギー源;これらの組み合わせが挙げられるが、これだけに限定されることはない。
特定の実施態様では、熱またはプラズマによる活性化および/または促進により、誘電率の大きな材料のドライエッチングとドライクリーニングの効率を顕著に大きくすることができる。熱による活性化では、材料を600℃まで、あるいは400℃まで、あるいは300℃まで加熱することができる。圧力の範囲は、一般に10ミリトル〜760トル、あるいは1トル〜760トルである。
特定の実施態様では、その場で生成させたプラズマで反応剤を活性化させる、あるいは除去する材料および/またはホウ素含有副生成物を含む反応装置の中で反応剤を活性化させる。その場で生成させたプラズマによる活性化では、13.56MHzのRF電力を供給し、RF電力の密度を少なくとも0.2W/cm2、あるいは少なくとも0.5W/cm2、あるいは少なくとも1W/cm2にしてプラズマを生成させることができる。13.56MHzよりも小さなRF周波数でプラズマをその場で生成させて操作し、接地されたALDチェンバーの壁面をイオンを利用してクリーニングするのを促進することもできる。動作圧力は、一般に、2.5ミリトル〜100トル、あるいは5ミリトル〜50トル、あるいは10ミリトル〜20トルである。場合によっては、ALDチェンバーの壁面をより効果的にクリーニングするため、熱によるクリーニング促進とプラズマによるクリーニング促進を組み合わせることもできる。
別の実施態様では、その場で発生させるプラズマに加えて、あるいはその場で発生させるプラズマの代わりに、離れたプラズマ源を用いて活性な種を生成させ、反応装置の中に導入する。このような実施態様では、離れたプラズマ源は、RF源またはマイクロ波源によって作り出す。さらに、離れたプラズマ源によって生成した反応性のある種と誘電率の大きな材料の反応は、ALDチェンバーの構成要素を、600℃まで、あるいは400℃まで、あるいは300℃まで加熱することによって活性化/促進することができる。
クリーニングプロセスを活性化および促進するのに他の手段も利用できる。例えば光誘導化学反応を利用して活性な種を生成させ、エッチング/クリーニング反応を促進することができる。
化学反応は、熱力学的に好ましいという理由だけでなく、活性化エネルギー障壁を越えて反応が進むようにするため、外部エネルギー源を必要とすることがしばしばある。外部エネルギー源は、例えば加熱またはプラズマにより活性化させるエネルギー源にすることができる。高温にすると、化学反応を加速させ、反応によって得られる副生成物の揮発性をより大きくすることができる。しかし蒸着チェンバーを製造する際、温度には実際上の限界がある可能性がある。プラズマは、反応を促進する活性な種をより多く生成させることができる。プラズマ層に含まれるイオンは電場によって加速されてエネルギーを獲得する。表面に衝突する高エネルギーのイオンは、反応の活性化エネルギー障壁を越えるのに必要なエネルギーを供給することができる。イオン照射も、反応による副生成物を揮発させて除去するのに役立つ。これらは、プラズマエッチング/クリーニングと反応性イオン・エッチングに共通するメカニズムである。場合によっては、熱による活性化メカニズムとプラズマによる活性化メカニズムを組み合わせ、誘電率の大きな材料のドライエッチング/ドライクリーニングに関して望ましい反応を促進することができる。プラズマをその場で発生させてクリーニングする方法に代わる方法として、離れたプラズマ源を用いて1種類以上の活性種を生成させ、誘電率の大きな残留物を蒸着チェンバーから除去することができる。さらに、離れたプラズマ源によって生成した活性種と誘電率の大きな材料の反応は、CVDチェンバーまたはALDチェンバーを、600℃まで、あるいは400℃まで、あるいは300℃までの高温に加熱することによって活性化および/または促進することができる。
図1には、本発明による方法をチェンバーのクリーニングに応用した場合の一実施態様に関するフローチャートを示してある。ステップ10では、基板、例えば除去する材料を含むCVD反応装置またはALD反応装置を排気して圧力を例えば10-5トル未満にする。次に、ステップ20において、ホウ素含有反応剤を含む第1のガス混合物を反応装置の中に導入する。ステップ30では、第1のガス混合物を1つ以上のエネルギー源、例えば熱エネルギー源および/またはプラズマ・エネルギー源に曝露して除去する材料との反応を誘導し、揮発性生成物とホウ素含有副生成物を形成する。ステップ40とステップ50では、第1のガス混合物流を停止または減少させ、フッ素含有反応剤を含む第2のガス混合物流を開始または増大させる。別の実施態様では、ステップ60において第2のガス混合物流を開始または増大させた後、ステップ70において第1のガス混合物流を停止または減少させる。ホウ素含有副生成物は、第2のガス混合物に含まれるフッ素含有反応剤と反応することによって除去され、揮発性生成物を形成する。次にこの揮発性生成物を反応装置から除去する。ここで再び図1を参照すると、ステップ80において、上記の1つ以上のエネルギー源を停止させる。ステップ90では、第2のガス混合物流を停止または減少させる。最後に、ステップ100において反応装置を排気して圧力を10-5トル未満にすることにより、すべての残留物とプロセス・ガスを反応装置から除去する。
反応装置の中に誘電率の大きな残留物が大量にあるような実施態様では、第1のステップにおいてホウ素含有反応剤を含む第1のガス混合物を長時間にわたって用いることで、誘電率の大きな残留物を除去することができる。誘電率の大きな材料が満足のゆく程度に除去された後、フッ素含有反応剤を含む第2のガス混合物を、第1のクリーニングステップよりは短時間使用し、ホウ素含有残留物をチェンバーと真空マニホールドから実質的に除去する。このようにすると、プロセス・ガスを交換する時間が最少になるため、チェンバーをクリーニングする合計時間を短縮することができる。
さらに別の実施態様では、第1のガス混合物と第2のガス混合物への曝露を短時間行なった後、クリーニングプロセスを複数回にわたって繰り返すとよい。このようにすると、不揮発性のホウ素含有残留物がチェンバーの内面を覆うことが阻止されるため、チェンバーをクリーニングする合計時間を短縮することができる。反応剤の選択や温度といったさまざまな因子に合わせて第1のガス混合物と第2のガス混合物に曝露する時間を最適化することにより、誘電率の大きな残留物を迅速に除去し、ホウ素含有残留物を完全に除去することができる。
以下の実施例を参照して、本発明をより詳細に説明する。しかし本発明がこれらの実施例に限定されるべきではないことを理解する必要がある。
以下に、誘電率の大きな材料を除去するために上記の化学反応を利用した実施例を示す。実施例1〜3の実験は、RFプラズマとカップルした平行板キャパシタの中で実施した。2枚の電極間のギャップ空間は1インチであった。サンプル片は、原子層堆積によって誘電率の大きな材料(Al2O3、HfO2、ZrO2)を堆積させたウエハから調製した。実験1回ごとに、サンプル片をキャリア・ウエハの上に置き、ロードロックを通じて反応装置のチャックに装着した。プロセス・ガスは、頂部に設けられたシャワーヘッドから反応装置内に供給した。次に、チャックに13.56MHzのRF電力を供給してプラズマをその場で生成させた。反応装置をターボ・ポンプ排気ラインに接続した。実施例では、反応装置のチャックを水冷して20℃にした。
1ステップのクリーニング法では、反応装置をベースライン真空圧になるまで排気し;プロセス・ガスを導入して設定圧にし;RF電源を所定の時間にわたって作動させ;プロセス・ガスを停止し;サンプル片/キャリア・ウエハを回収した。2ステップのクリーニング法では、反応装置を排気した後、第1のプロセス・ガスを導入して設定圧にすること以外は同じ操作を実施した後;RF電源を所定の時間にわたって作動させ;第1のプロセス・ガスを停止し;反応装置をベースライン真空圧になるまで排気し;第2のプロセス・ガスを導入して設定圧にし;RF電源を所定の時間にわたって作動させ;第2のプロセス・ガスを停止し;サンプル片/キャリア・ウエハを回収した。
切片表面の誘電率が大きい薄膜の厚さは、処理用のプラズマに所定の時間にわたって曝露する前と後にエリプソメータで測定した。誘電率が大きい薄膜をプラズマ処理することで変化した厚さを用いてエッチング速度を計算する。エッチング速度だけでなく、プラズマDC自己バイアス電圧(Vbias)と、表面の化学組成も測定した。表面の化学組成は、X線光電子分光法(XPS)を利用して測定した。XPS分析の間、テスト片の表面をまず最初に低分解能サーベイ走査によって調べ、どの元素が存在しているかを明らかにした。高分解能スペクトルを取得し、結合エネルギー(すなわち化学的状態)と、サーベイ・スペクトルで観察された元素の濃度を明らかにした。元素の定量は、フィジカル・エレクトロニクス社のモデル5700LSci ESCAスペクトロメータのための原子感度因子を用いて実施した。炭素に属する電子のエスケープ深度(3λsinθ)のおおまかな値は25オングストロームである。ホウ素の最少検出限界は、この装置だと0.1原子%である。
実施例1
BCl3を用いた1ステップでのクリーニング
1ステップでのクリーニング実験を、Al2O3サンプルとHfO2サンプルについて実施した。実験条件と結果を第12表に示してある。B1sについての高分解能XPSスペクトルを図2aと図2bに示してある。BCl3プラズマによるエッチング・ステップの後、Al2O3サンプルとHfO2サンプルの両方でホウ素含有残留物が表面上に残った。ホウ素含有残留物は、B3+として存在していた。エッチング速度は、Al2O3サンプルでは4.1nm/分、HfO2サンプルでは5.4nm/分であった。
実施例2
BCl3とNF3を用いた1ステップでのクリーニング
この実施例は、BCl3とNF3の混合物がホウ素含有残留物を除去できるかどうかを調べるために実施した。実験条件と結果を第12表に示してある。B1sについての高分解能XPSスペクトルを図3aと図3bに示してある。第12表からわかるように、Al2O3サンプルとHfO2サンプルの両方でホウ素含有残留物が表面上に残ったままになった。
実施例3
2ステップでのクリーニング
この実験では、第1のガス混合物に含まれる反応剤としてBCl3を用い、第2のガス混合物に含まれる反応剤としてNF3とHeの混合物を用いた。第12表に実験条件と結果を示してある。図4aと図4bには、B1sについての高分解能XPSスペクトルが示してある。HfO2サンプルでは1分以内に、Al2O3サンプルでは3分以内にホウ素含有残留物が除去されてXPS装置の最少検出限界レベル(0.1原子%)以下になった。第2ステップでのクリーニング時間を1分にしたときには、Al2O3サンプルにホウ素含有残留物が0.2原子%残った。
Figure 2005159364
以上、本発明を具体的な実施例に関して説明してきたが、当業者であれば、本発明の精神および範囲から逸脱することなく、さまざまな変更や改変をなしうることは明らかであろう。
本発明による方法の一実施態様のフローチャートである。 BCl3を用いてAl2O3サンプルをクリーニングした後、XPSにより得られた高分解能スペクトルである。 BCl3を用いてHfO2サンプルをクリーニングした後、XPSにより得られた高分解能スペクトルである。 BCl3とNF3を一度に用いてAl2O3サンプルをクリーニングした後、XPSにより得られた高分解能スペクトルである。 BCl3とNF3を一度に用いてHfO2サンプルをクリーニングした後、XPSにより得られた高分解能スペクトルである。 第1ステップでBCl3を用い、第2ステップでNF3を用いてAl2O3サンプルをクリーニングした後、XPSにより得られた高分解能スペクトルである。 第1ステップでBCl3を用い、第2ステップでNF3を用いてHfO2サンプルをクリーニングした後、XPSにより得られた高分解能スペクトルである。

Claims (21)

  1. 二酸化ケイ素よりも大きな誘電率を持つ材料を反応装置の表面の少なくとも一部から除去する方法であって、
    ホウ素含有反応剤を含む第1のガス混合物を反応装置に導入することにより、その第1のガス混合物をその反応装置に含まれている上記材料と反応させて揮発性生成物とホウ素含有副生成物を生成させることと;
    フッ素含有反応剤を含む第2のガス混合物を反応装置に導入することにより、その第2のガス混合物をその反応装置に含まれているホウ素含有副生成物と反応させて揮発性生成物を形成することと;
    上記揮発性生成物を反応装置から除去することと;
    を含む方法。
  2. 上記反応装置が原子層堆積反応装置である、請求項1に記載の方法。
  3. 上記第2の導入ステップを、上記第1の導入ステップの少なくとも一部を実行している間に実行するか、もしくは上記第1の導入ステップが終了してから実行する、請求項1又は2に記載の方法。
  4. 上記除去ステップを、上記第1の導入ステップおよび/または上記第2の導入ステップの少なくとも一部を実行している間に実行する、請求項1〜3のいずれか1項に記載の方法。
  5. 上記第1および第2の導入ステップを交互に複数回実行する、請求項1〜4のいずれか1項に記載の方法。
  6. 上記材料が、遷移金属の酸化物、遷移金属のケイ酸塩、13族金属の酸化物、13族金属のケイ酸塩、窒素を含む13族金属の酸化物、窒素を含む13族金属のケイ酸塩、窒素を含む遷移金属の酸化物および窒素を含む遷移金属のケイ酸塩から選択される少なくとも1種類の材料であるか、あるいは、遷移金属の酸化物、遷移金属のケイ酸塩、13族金属の酸化物、13族金属のケイ酸塩、窒素を含む13族金属の酸化物、窒素を含む13族金属のケイ酸塩、窒素を含む遷移金属の酸化物、窒素を含む遷移金属のケイ酸塩およびその混合物からなる群から選択される材料の少なくとも1層を含むラミネートである、請求項1〜5のいずれか1項に記載の方法。
  7. 上記材料が、Al2O3、HfO2、ZrO2、HfSixOy、ZrSixOy(式中、xは0より大きな数であり、yは2x+2であり、これらの化合物はどれも窒素を含んでいる)、およびその混合物からなる群から選択される少なくとも1種類の材料である、請求項1〜5のいずれか1項に記載の方法。
  8. 上記ホウ素含有反応剤が、BCl3、BBr3、BI3、BF3およびその混合物からなる群から選択される少なくとも1種類の物質である、請求項1〜7のいずれか1項に記載の方法。
  9. 上記ホウ素含有反応剤がBCl3である、請求項8に記載の方法。
  10. 上記フッ素含有反応剤が、NF3、ClF3、ClF、SF6、ペルフルオロカーボン、ヒドロフルオロカーボン、オキシフルオロカーボン、次亜フッ素酸塩、フルオロ過酸化物、フルオロ三酸化物、COF2、NOF、F2、NFxCl3-x(式中、xは1〜2の数である)およびその混合物からなる群から選択される少なくとも1種類の物質である、請求項1〜9のいずれか1項に記載の方法。
  11. 上記フッ素含有反応剤がNF3である、請求項10に記載の方法。
  12. 上記フッ素含有反応剤がF2である、請求項10に記載の方法。
  13. 上記第1のガス混合物および/または上記第2のガス混合物が、少なくとも1つのガス・シリンダー、安全供給システム又は真空供給システムから反応装置に運ばれる、請求項1〜12のいずれか1項に記載の方法。
  14. 上記第1のガス混合物および/または上記第2のガス混合物を、使用現場での生成装置によってその場で形成する、請求項1〜13のいずれか1項に記載の方法。
  15. 上記第1のガス混合物および/または上記第2のガス混合物が、不活性なガス希釈剤をさらに含む、請求項1〜14のいずれか1項に記載の方法。
  16. 上記不活性なガス希釈剤が、窒素、CO、ヘリウム、ネオン、アルゴン、クリプトン、キセノンおよびその混合物から選択される、請求項15に記載の方法。
  17. 反応装置の表面の少なくとも一部から材料を除去する方法であって、
    誘電率が4.1もしくはそれよりも大きく、遷移金属の酸化物、遷移金属のケイ酸塩、13族金属の酸化物、13族金属のケイ酸塩、窒素を含む13族金属の酸化物、窒素を含む13族金属のケイ酸塩、窒素を含む遷移金属の酸化物および窒素を含む遷移金属のケイ酸塩から選択される少なくとも1種類の材料であるか、あるいは、遷移金属の酸化物、遷移金属のケイ酸塩、13族金属の酸化物、13族金属のケイ酸塩、窒素を含む13族金属の酸化物、窒素を含む13族金属のケイ酸塩、窒素を含む遷移金属の酸化物、窒素を含む遷移金属のケイ酸塩およびその混合物からなる群から選択される材料の少なくとも1層を含むラミネートである材料で、表面の少なくとも一部が少なくとも部分的に覆われている反応装置を用意することと;
    ホウ素含有反応剤を含む第1のガス混合物を上記反応装置に導入することと;
    上記第1のガス混合物を1つ以上のエネルギー源に曝露して活性種を十分に生成させ、その活性種を上記材料と反応させることにより揮発性生成物とホウ素含有副生成物を形成することと;
    フッ素含有反応剤を含む第2のガス混合物を上記反応装置に導入することと;
    上記第2のガス混合物を1つ以上のエネルギー源に曝露して活性種を十分に生成させ、その活性種を上記ホウ素含有副生成物と反応させることにより揮発性生成物を形成することと;
    上記揮発性生成物を反応装置から除去することと;
    を含む方法。
  18. 上記第1のガス混合物を1つ以上のエネルギー源に曝露し、次いで、
    上記第1の曝露ステップを実行した後に上記第2の導入ステップを実行するか、もしくは上記第1の導入ステップの少なくとも一部を実行している間に上記第1の曝露ステップを実行する、請求項17に記載の方法。
  19. 上記第2のガス混合物を1つ以上のエネルギー源に曝露し、上記第2の曝露ステップを、上記第2の導入ステップの少なくとも一部を実行している間に実行する、請求項17又は18に記載の方法。
  20. 上記第1の曝露ステップおよび/または上記第2の曝露ステップの温度が150℃以上である、請求項17〜19のいずれか1項に記載の方法。
  21. 上記第1の曝露ステップおよび/または上記第2の曝露ステップの圧力が10ミリトル以上である、請求項17〜20のいずれか1項に記載の方法。
JP2004340600A 2003-11-25 2004-11-25 蒸着チェンバーから誘電率が大きな材料を除去する方法 Withdrawn JP2005159364A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/721,719 US7055263B2 (en) 2003-11-25 2003-11-25 Method for cleaning deposition chambers for high dielectric constant materials

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2008275609A Division JP2009033202A (ja) 2003-11-25 2008-10-27 蒸着チェンバーから誘電率が大きな材料を除去する方法

Publications (1)

Publication Number Publication Date
JP2005159364A true JP2005159364A (ja) 2005-06-16

Family

ID=34465673

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2004340600A Withdrawn JP2005159364A (ja) 2003-11-25 2004-11-25 蒸着チェンバーから誘電率が大きな材料を除去する方法
JP2008275609A Ceased JP2009033202A (ja) 2003-11-25 2008-10-27 蒸着チェンバーから誘電率が大きな材料を除去する方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2008275609A Ceased JP2009033202A (ja) 2003-11-25 2008-10-27 蒸着チェンバーから誘電率が大きな材料を除去する方法

Country Status (7)

Country Link
US (1) US7055263B2 (ja)
EP (1) EP1536035A3 (ja)
JP (2) JP2005159364A (ja)
KR (1) KR100644176B1 (ja)
CN (1) CN100347815C (ja)
SG (1) SG112099A1 (ja)
TW (1) TWI255862B (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008060171A (ja) * 2006-08-29 2008-03-13 Taiyo Nippon Sanso Corp 半導体処理装置のクリーニング方法
JP2008544575A (ja) * 2005-06-27 2008-12-04 サイマー インコーポレイテッド Euv光源集光器寿命の改善
JP2008544534A (ja) * 2005-06-21 2008-12-04 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 照射ユニット光学面の二段階クリーニング方法
JP2008547214A (ja) * 2005-06-21 2008-12-25 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 照射ユニット内の光学表面の洗浄化処理および後処理の方法
JP2009016611A (ja) * 2007-07-05 2009-01-22 Hitachi High-Technologies Corp プラズマエッチング処理方法
JP2009076590A (ja) * 2007-09-19 2009-04-09 Hitachi Kokusai Electric Inc クリーニング方法
JP2012216718A (ja) * 2011-04-01 2012-11-08 Kaneka Corp Cvd装置のクリーニング方法
JP7504885B2 (ja) 2018-12-07 2024-06-24 カール・ツァイス・エスエムティー・ゲーエムベーハー アルミニウム層をインサイチュで保護する方法及びvuv波長域用の光学装置

Families Citing this family (272)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050142715A1 (en) * 2003-12-26 2005-06-30 Fujitsu Limited Semiconductor device with high dielectric constant insulator and its manufacture
US20050241671A1 (en) * 2004-04-29 2005-11-03 Dong Chun C Method for removing a substance from a substrate using electron attachment
US7581549B2 (en) * 2004-07-23 2009-09-01 Air Products And Chemicals, Inc. Method for removing carbon-containing residues from a substrate
TWI365919B (en) * 2004-12-28 2012-06-11 Tokyo Electron Ltd Film formation apparatus and method of using the same
KR100698068B1 (ko) * 2004-12-30 2007-03-23 동부일렉트로닉스 주식회사 핀 구조 전계 트랜지스터 및 이의 제조방법
US20070006893A1 (en) * 2005-07-08 2007-01-11 Bing Ji Free radical initiator in remote plasma chamber clean
US7569111B2 (en) * 2006-04-19 2009-08-04 United Microelectronics Corp. Method of cleaning deposition chamber
US7877895B2 (en) 2006-06-26 2011-02-01 Tokyo Electron Limited Substrate processing apparatus
US20080047579A1 (en) * 2006-08-25 2008-02-28 Bing Ji Detecting the endpoint of a cleaning process
EP2157601A4 (en) * 2007-05-31 2011-05-18 Ulvac Inc DRY CLEANING METHOD OF PLASMA PROCESSING APPARATUS
US8500913B2 (en) * 2007-09-06 2013-08-06 Micron Technology, Inc. Methods for treating surfaces, and methods for removing one or more materials from surfaces
KR101566029B1 (ko) * 2008-04-10 2015-11-05 램 리써치 코포레이션 High-k 유전체 재료의 선택적 에칭
US8318605B2 (en) * 2008-04-25 2012-11-27 Applied Materials, Inc. Plasma treatment method for preventing defects in doped silicon oxide surfaces during exposure to atmosphere
DE102008037943B4 (de) * 2008-08-14 2018-04-26 Nawotec Gmbh Verfahren und Vorrichtung zum elektronenstrahlinduzierten Ätzen und Halbleiterbauelement mit einer Struktur geätzt mittels eines derartigen Verfahrens
DE102008037951B4 (de) * 2008-08-14 2018-02-15 Nawotec Gmbh Verfahren und Vorrichtung zum elektronenstrahlinduzierten Ätzen von mit Gallium verunreinigten Schichten
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20110079251A1 (en) * 2009-04-28 2011-04-07 Olga Kryliouk Method for in-situ cleaning of deposition systems
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8528224B2 (en) * 2009-11-12 2013-09-10 Novellus Systems, Inc. Systems and methods for at least partially converting films to silicon oxide and/or improving film quality using ultraviolet curing in steam and densification of films using UV curing in ammonia
KR101630234B1 (ko) * 2009-11-17 2016-06-15 주성엔지니어링(주) 공정챔버의 세정방법
DE102009047304B4 (de) * 2009-11-30 2012-04-26 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Leistungssteigerung in PFET-Transistoren mit einem Metallgatestapel mit großem ε durch Verbessern des Dotierstoffeinschlusses
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
DE102011056538A1 (de) * 2011-12-16 2013-06-20 Aixtron Se Verfahren zum Entfernen unerwünschter Rückstände aus einem MOCVD-Reaktor sowie zugehörige Vorrichtung
US8658490B2 (en) * 2012-04-04 2014-02-25 Globalfoundries Inc. Passivating point defects in high-K gate dielectric layers during gate stack formation
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8894870B2 (en) * 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US20180350571A1 (en) * 2017-06-05 2018-12-06 Applied Materials, Inc. Selective in-situ cleaning of high-k films from processing chamber using reactive gas precursor
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111066121B (zh) * 2017-09-11 2024-03-19 应用材料公司 使用反应性气体前驱物从处理腔室选择性原位清洁高介电常数膜
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102504833B1 (ko) 2017-11-16 2023-03-02 삼성전자 주식회사 식각 가스 혼합물과 이를 이용한 패턴 형성 방법과 집적회로 소자의 제조 방법
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
JP6826558B2 (ja) 2018-06-04 2021-02-03 株式会社Kokusai Electric クリーニング方法、半導体装置の製造方法、基板処理装置、およびプログラム
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10964527B2 (en) * 2018-06-21 2021-03-30 Applied Materials, Inc. Residual removal
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN113053718B (zh) * 2021-03-16 2022-10-28 江苏杰太光电技术有限公司 一种沉积掺杂晶硅薄膜后真空腔体的清洁方法
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4786352A (en) * 1986-09-12 1988-11-22 Benzing Technologies, Inc. Apparatus for in-situ chamber cleaning
JPH02185977A (ja) 1989-01-12 1990-07-20 Sanyo Electric Co Ltd 膜形成用真空装置
US5288662A (en) * 1992-06-15 1994-02-22 Air Products And Chemicals, Inc. Low ozone depleting organic chlorides for use during silicon oxidation and furnace tube cleaning
KR100293830B1 (ko) * 1992-06-22 2001-09-17 리차드 에이치. 로브그렌 플라즈마 처리 쳄버내의 잔류물 제거를 위한 플라즈마 정결방법
US5454903A (en) * 1993-10-29 1995-10-03 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using helium for plasma stabilization
JPH08319586A (ja) * 1995-05-24 1996-12-03 Nec Yamagata Ltd 真空処理装置のクリーニング方法
US5756400A (en) * 1995-12-08 1998-05-26 Applied Materials, Inc. Method and apparatus for cleaning by-products from plasma chamber surfaces
US6626185B2 (en) * 1996-06-28 2003-09-30 Lam Research Corporation Method of depositing a silicon containing layer on a semiconductor substrate
US5983906A (en) 1997-01-24 1999-11-16 Applied Materials, Inc. Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
JP3594759B2 (ja) 1997-03-19 2004-12-02 株式会社日立製作所 プラズマ処理方法
US5914050A (en) * 1997-09-22 1999-06-22 Applied Materials, Inc. Purged lower liner
US5972722A (en) * 1998-04-14 1999-10-26 Texas Instruments Incorporated Adhesion promoting sacrificial etch stop layer in advanced capacitor structures
ATE533178T1 (de) * 1998-09-09 2011-11-15 Texas Instruments Inc Integrierter schaltkreis mit kondensator und diesbezügliches herstellungsverfahren
US6238582B1 (en) * 1999-03-30 2001-05-29 Veeco Instruments, Inc. Reactive ion beam etching method and a thin film head fabricated using the method
US6221169B1 (en) * 1999-05-10 2001-04-24 Axcelis Technologies, Inc. System and method for cleaning contaminated surfaces in an ion implanter
WO2000079019A1 (en) 1999-06-24 2000-12-28 Prasad Narhar Gadgil Apparatus for atomic layer chemical vapor deposition
JP2001257197A (ja) * 2000-03-10 2001-09-21 Hitachi Ltd 半導体デバイスの製造方法および製造装置
WO2001071790A1 (fr) * 2000-03-17 2001-09-27 Hitachi, Ltd. Procede de fabrication d'un dispositif a semi-conducteur
CN1336685A (zh) * 2000-08-02 2002-02-20 联华电子股份有限公司 制造半导体电容器的方法
US6689220B1 (en) 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
JP4163395B2 (ja) * 2001-05-17 2008-10-08 株式会社日立国際電気 半導体装置の製造方法および半導体装置の製造装置
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US6686594B2 (en) * 2001-10-29 2004-02-03 Air Products And Chemicals, Inc. On-line UV-Visible light halogen gas analyzer for semiconductor processing effluent monitoring
US20040011380A1 (en) * 2002-07-18 2004-01-22 Bing Ji Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US20040014327A1 (en) * 2002-07-18 2004-01-22 Bing Ji Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008544534A (ja) * 2005-06-21 2008-12-04 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 照射ユニット光学面の二段階クリーニング方法
JP2008547214A (ja) * 2005-06-21 2008-12-25 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 照射ユニット内の光学表面の洗浄化処理および後処理の方法
JP2008544575A (ja) * 2005-06-27 2008-12-04 サイマー インコーポレイテッド Euv光源集光器寿命の改善
JP2008060171A (ja) * 2006-08-29 2008-03-13 Taiyo Nippon Sanso Corp 半導体処理装置のクリーニング方法
JP2009016611A (ja) * 2007-07-05 2009-01-22 Hitachi High-Technologies Corp プラズマエッチング処理方法
JP2009076590A (ja) * 2007-09-19 2009-04-09 Hitachi Kokusai Electric Inc クリーニング方法
JP2012216718A (ja) * 2011-04-01 2012-11-08 Kaneka Corp Cvd装置のクリーニング方法
JP7504885B2 (ja) 2018-12-07 2024-06-24 カール・ツァイス・エスエムティー・ゲーエムベーハー アルミニウム層をインサイチュで保護する方法及びvuv波長域用の光学装置

Also Published As

Publication number Publication date
JP2009033202A (ja) 2009-02-12
CN100347815C (zh) 2007-11-07
US20050108892A1 (en) 2005-05-26
KR100644176B1 (ko) 2006-11-10
TWI255862B (en) 2006-06-01
CN1638027A (zh) 2005-07-13
EP1536035A2 (en) 2005-06-01
KR20050050569A (ko) 2005-05-31
EP1536035A3 (en) 2005-07-13
TW200517523A (en) 2005-06-01
US7055263B2 (en) 2006-06-06
SG112099A1 (en) 2005-06-29

Similar Documents

Publication Publication Date Title
JP2005159364A (ja) 蒸着チェンバーから誘電率が大きな材料を除去する方法
KR100667723B1 (ko) 고유전율 물질의 에칭 방법 및 고유전율 물질용 증착챔버의 세정 방법
KR100656770B1 (ko) 고유전율 물질의 에칭 방법 및 고유전율 물질용 증착챔버의 세정 방법
TWI381438B (zh) 半導體處理裝置之清潔方法及矽基板之蝕刻方法
US7371688B2 (en) Removal of transition metal ternary and/or quaternary barrier materials from a substrate
CN111052318A (zh) 用于蚀刻多个堆叠层的化学过程
JP7242837B2 (ja) 選択的な酸化アルミニウム膜の堆積
US20040011380A1 (en) Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
JP2009050854A (ja) 窒化チタンの除去方法
JP2007150305A (ja) 二フッ化キセノンを用いた窒化チタンの選択的エッチング
JP2006060237A (ja) 化学反応室及び半導体プロセスチャンバー
JP2006041523A (ja) フッ素利用率を増大させる方法
TWI475611B (zh) 選擇性蝕刻及二氟化氙的形成
JP2007531289A (ja) 表面堆積物を除去するための遠隔チャンバ方法
US20060254613A1 (en) Method and process for reactive gas cleaning of tool parts
JP2009503271A (ja) Cvd/pecvd−プラズマチャンバーの内部から表面沈着物を除去するためのフッ化硫黄を使用する遠隔チャンバー方法
EP1892047A2 (en) Detecting the Endpoint of a Cleaning Process
JP2008060171A (ja) 半導体処理装置のクリーニング方法
KR100575847B1 (ko) 반도체 및 평판디스플레이 설비의 부산물 포집방법
JP5214316B2 (ja) プラズマ成膜装置のクリーニング方法
JP2006173301A (ja) 非シリコン系膜の成膜装置のクリーニング方法
JP2011249405A (ja) ドライエッチング装置のプラズマクリーニング方法

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20071010

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20071016

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080115

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080118

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080415

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20080729

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081027

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20081204

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20090311

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20090313