JP2006035213A - 窒化チタンの除去方法 - Google Patents

窒化チタンの除去方法 Download PDF

Info

Publication number
JP2006035213A
JP2006035213A JP2005209698A JP2005209698A JP2006035213A JP 2006035213 A JP2006035213 A JP 2006035213A JP 2005209698 A JP2005209698 A JP 2005209698A JP 2005209698 A JP2005209698 A JP 2005209698A JP 2006035213 A JP2006035213 A JP 2006035213A
Authority
JP
Japan
Prior art keywords
titanium nitride
substrate
process gas
gas
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2005209698A
Other languages
English (en)
Inventor
Dingjun Wu
ディンジュン ウー
Bing Ji
ジー ビン
Eugene J Karwacki Jr
ジョセフ カーワッキ,ジュニア. ユージーン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Air Products and Chemicals Inc
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of JP2006035213A publication Critical patent/JP2006035213A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G5/00Cleaning or de-greasing metallic material by other methods; Apparatus for cleaning or de-greasing metallic material with organic solvents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas

Abstract

【課題】 反応器内の内壁や部品に付着した窒化チタン残留物の効果的な除去方法を提供する。
【解決手段】 (a)フッ素含有物質及び塩素含有物質からなる群より選ばれる少なくとも一種の反応体を含む処理ガスを供給すること、(b)当該処理ガスを、少なくとも一種の反応体からなる少なくとも一種の反応性化学種で富化して富化された処理ガスを生成させ、この富化を第一の場所で行うこと、(c)基体表面の少なくとも一部が窒化チタンで被覆されている基体を、50℃を超える基体温度で供給すること、そして(d)当該基体表面の窒化チタンを、富化された処理ガスと接触させて基体表面から窒化チタンを揮発、除去し、この接触を第一の場所とは異なる第二の場所で行うこと、を含む基体表面から窒化チタンを除去する方法。
【選択図】 図1

Description

本発明は、窒化チタンの除去方法に関し、更に詳細には、反応器内の内壁や部品に付着する窒化チタン残留物の効果的な除去方法に関する。
窒化チタンは、通常、導電性物質が絶縁体やトランジスタの活性領域に拡散又は移行して入り込むことを防止するために働く、集積回路における拡散バリアとして使用されている。それは、また、導電体とそれを囲む絶縁、誘電体との間の離層や空隙を排除する定着剤としても使われている。最終物質並びに反応体を含むTiN残留物の析出中に、それが反応器内の内壁に沿って、また部品表面に析出する。これら残留物の付着から粒子の発生を軽減させるために、反応器内のチェンバーや部品は、定期的に洗浄しなければならない。したがって、かかる窒化チタンに係る効果的な除去方法が必要とされている。
半導体の製造における有用性に加えて、窒化チタンは、屡、航空宇宙や自動車の用途における塗膜として使用される。窒化チタンの薄膜を析出させるために使われる反応器は、工程の均一性を確保するために、一定のスケジュールで洗浄しなければならない。その結果として、これらの反応器を洗浄する迅速で経済的な手段を講じることが必要となる。
また、窒化チタンは、種々の機械部品を被覆するためにも使われている。時には、塗工の作業中あるいはその後に、塗膜が剥離したり、部品に要求される均一性が損なわれたりすることがある。度々、かかる「欠陥のある」部品は廃棄するか、低価格で欠陥部品として売却しなければならない。仮に、当該塗膜が、内在する部品表面や形態を損傷すること無しに部品から取り除くことができるならば、そのときは「下塗」部品として再塗工され、使用することが可能となる。
現在、スクラビングやブラスチングのような機械的手段、又は湿式化学溶液法が、通常、窒化チタンの処理チェンバー及び当該チェンバーから外された部品を洗浄するために使われている。
湿式洗浄又は機械洗浄と比較すると、反応性ガス洗浄では、反応器の真空を存続しているので、結果として、チェンバーの停止時間を極度に最小限度に抑え、またウェファーの処理量を増大させることが可能となる。反応性ガス洗浄法の特徴は、他の場面でも有効であることが知られているが、かかる方法は、表面からの窒化チタンの除去にとって完全に満足するということはなかった。例えば、C26及びO2のような酸素とフッ素を含有するガス混合物源を励起させる遠隔プラズマを用いて、W/TiNゲート構造から窒化チタンの薄膜を除去する乾式エッチング法を教示する米国特許第5,948,702号明細書には、約110nm/分未満の窒化チタンのエッチング速度しか開示されていない。
他の材料に関する窒化チタンのエッチング選択性を高めるか、あるいは窒化チタンのエッチング速度を高める目的で、高温にされた基体温度を使用することが示唆されてきた。例えば、基体が最初50〜200℃に加熱され、次いでCF4、C26、及びCHF3のようなハロカーボンの供給ガスから発生するプラズマに曝されるプラズマエッチングによって、耐熱珪化金属の下層に対して耐熱窒化金属層を選択的にエッチングする方法を開示する米国特許第5,419,805号明細書、及び、例えば、高温エッチングがRF動力源電極の裏面側へのヘリウム冷却を止めることで発生するパッドエッチングによって、集積回路の導体を覆う反射防止膜を除去する方法を教示する米国特許第6,177,355号明細書を参照されたい。高温を使用するにも拘わらず、これらの方法によって達成されるエッチング速度には、改良の余地が残されている。
処理チェンバーから生じる薄膜を洗浄する方法が、日本国特許第2,833,684号明細書において論じられている。この特許には、薄膜処理装置内で生じる窒化チタンを含む化学物質の析出物を洗浄する方法が開示されている。特に、当該特許には、150〜600℃の温度下で、三フッ化窒素に対してフッ素を添加する方法が教示されている。この特許には、単に熱的加熱を用いること以外に、プラズマの使用については教示がない。
同一反応系でプラズマを使用する窒化チタンのプラズマエッチングを教示する参考文献には、WO98/42020、WO00/19491及びWO02/013241号公報が含まれる。当該処理ガスは、Cl含有又はF含有化合物のいずれか、例えば、Cl2、HCl、BCl3、CF4、SF6、CHF3、もしくはNF3であってよい。
WO00/19491号公報には、窒化チタンの析出チェンバーを洗浄することの関係で、高温を使用することが教示されている。当該出願では、現場でのプラズマ活性化を用い、あるいは用いないで、高温下で塩素ガスをチェンバー内に導入することによる現場での処理チェンバーの洗浄に焦点を合わせている。本願で教示されている500〜700℃という高温は、実質的に、処理チェンバーに係る熱的予算を増加させ、また所有コストを増加させることになる。
米国特許第5,948,702号明細書 米国特許第5,419,805号明細書 米国特許第6,177,355号明細書 日本国特許第2,833,684号明細書 WO98/42020号公報 WO00/19491号公報 WO02/013241号公報
したがって、本発明では、処理チェンバー又は部品の表面のようなそれぞれの表面から、高いエッチング速度で、窒化チタンを除去する方法を提供することを目的とする。また、本発明では、当該チェンバー又は部品の表面を損傷すること無しに、かかる除去を達成する方法を提供することを目的とする。更に、本発明では、表面から窒化チタンを除去する、効率的でかつ効果的である反応性ガス法を提供することを目的とする。
本発明の一態様によれば、(a)フッ素含有物質及び塩素含有物質からなる群より選ばれる少なくとも一種の反応体を含む処理ガスを供給すること、(b)当該処理ガスを、少なくとも一種の反応体からなる少なくとも一種の反応性化学種で富化し、この富化を第一の場所で行うこと、(c)基体表面の少なくとも一部が窒化チタンで被覆されている基体を、50℃を超える基体温度で供給すること、そして(d)当該基体表面の窒化チタンを、富化された処理ガスと接触させて基体表面から窒化チタンを揮発、除去し、この接触を第一の場所とは異なる第二の場所で行うこと、を含む基体表面から窒化チタンを除去する方法が提供される。
また、本発明の他の一態様によれば、(a)フッ素含有物質及び塩素含有物質からなる群より選ばれる少なくとも一種の反応体を含む処理ガスを供給すること、(b)当該処理ガスを、少なくとも一種の反応体からなる少なくとも一種の反応性化学種で富化して、富化された処理ガスを生成させること、(c)基体表面の少なくとも一部が窒化チタンで被覆されている基体を、50℃〜900℃の基体温度で供給すること、そして(d)当該基体表面の窒化チタンを、実質的にイオンを含まない富化された処理ガスと接触させて、180nm/分を超えるエッチング速度で基体表面から窒化チタンを揮発、除去すること、を含む基体表面から窒化チタンを除去する方法が提供される。
更に、本発明の他の態様によれば、(a)フッ素含有物質及び塩素含有物質からなる群より選ばれる少なくとも一種の反応体を含む処理ガスを供給すること、(b)当該処理ガスを、少なくとも一種の反応体からなる少なくとも一種の反応性化学種で富化して、富化された処理ガスを生成させ、この富化を第一の場所で行うこと、(c)基体を、50℃〜900℃の基体温度で供給すること、そして(d)当該基体表面上のチタンと窒素との二成分系化合物を含む塗膜を、富化された処理ガスと接触させて、180nm/分を超えるエッチング速度で基体表面から当該塗膜を揮発、除去し、この接触を第一の場所とは異なる第二の場所で行うこと、を含む基体表面から窒化チタンを除去する方法が提供される。
更に本発明によれば、処理反応器とは別個の洗浄処理反応器、離れた位置にあるプラズマ発生器、洗浄処理反応器全体にわたってガス流を供給するために用いられるガスディストリビュータ、加熱装置、及び洗浄処理反応器から反応性ガスを除去し、生成物を揮発させるために用いられるポンプ設備を含んでなる、本発明方法を行うための装置が提供される。
本明細書には、反応性ガス洗浄を用いて基体表面から窒化チタンを除去する方法が記載されている。反応性ガス洗浄の要件は、固体の非揮発性材料を、(例えば、真空ポンプによって)除去が可能な揮発性化学種に転換することである。熱力学的に好ましいことに加えて、転換のための化学反応は、また、反応速度論的にも、実行可能性のあることが必要である。窒化チタンが化学的に不活性であることに起因して、当該反応が進むような、活性エネルギーのバリアを克服するための外部エネルギー源が必要である。外部エネルギー源は、熱的賦活の形態で提供されることが好ましい。熱的賦活に含まれる比較的高い温度では、化学反応が促進されて、反応副産物をより揮発性にする。しかしながら、製造チェンバー内の温度に関しては実行上の限界がある。
本発明者らは、処理ガスが、高められた濃度の活性化学種を有するように処理されるときには、その熱的賦活温度は過度に高くないことが必要であることを見出している。熱的賦活と処理ガスの反応性化学種の富化とを組み合わせることによって、熱的賦活のみの実施よりも、一層、効果的で効率的な基体表面からの窒化チタンの除去法が得られる。
よって、熱的賦活された基体が処理ガスと接触すると、基体表面に析出した窒化チタンが揮発する。当該処理ガスは、それに反応性化学種を与える(あるいはその発生を増加させる)ように処理される。本明細書で使用される「反応性化学種」とは、窒化チタンと反応して揮発性の生成物を生成できるラジカルを意味する。フッ素及び塩素のラジカルは、特に、反応性化学種の具体例として好ましい。
離れた位置にあるプラズマ発生器は、処理ガスを反応性化学種で富化する最も好ましい手段である。しかしながら、本発明はそれに限定されない。当該処理ガス中の反応性ラジカルの濃度を高める他の手段、例えば、離れた位置にある炉内での処理ガスの加熱、及び紫外線による処理ガスの解離等も、本発明の技術的範囲内である。
その場のプラズマ発生器、並びに離れた位置にあるプラズマ発生器は、反応性化学種を与えることはできるが、その場でのプラズマ賦活では、望ましくない副作用が生じることもある。その場でのプラズマを使用すると、イオン衝撃のため、基体に損傷を与える結果となることがある。その場でのプラズマとは違って、離れた位置にあるプラズマでは、イオン衝撃により生じる基体への損傷無しに、窒化チタンの除去を容易にする反応性化学種を発生させることができる。損傷のない基体は、離れた位置にあるプラズマ発生器に存在するイオンが、離れた位置にあるプラズマ発生器から洗浄されるべき基体表面を収容する反応器までの通路において中性化されることの証拠である。よって、窒化チタンが、基体の損傷無しに基体から除去されるときには、この処理ガスには実質的にイオンが含まれていない。当該処理ガスは、そのイオン濃度が106/cm3未満であるときに、実質的にイオンが含まれない。
加えて、離れた位置にあるプラズマ単位装置では、存続する装置システムに装置の改善を施すことが一層容易となる。よって、反応性ガスを用いて析出チェンバーを洗浄するときには、離れた位置にあるプラズマ発生器を使用することが好ましい。かかる実施態様によって、イオン衝撃による基体の損傷無しに、基体から窒化チタンの一部又は全てを除去することが可能となる。
好適なプラズマ発生器の具体例には、マイクロ波プラズマ発生器及びRFプラズマ発生器が含まれるが、これらに限定されない。
処理ガスには、少なくとも一種の反応性ガスが含まれ、そして選択的に、少なくとも一種のキャリアガスが含まれる。当該反応性ガスには、少なくとも一種の、窒化チタンと反応して揮発性の生成物を生成することが可能な(あるいは、窒化チタンと反応性の化学種を生成して揮発性の生成物を生成することが可能な)反応体が含まれる。フッ素及び/又は塩素含有物質が好ましい反応体であるが、フッ素含有物質の方がより好ましい。
非限定的なフッ素含有反応性ガスの具体例には、NF3(三フッ化窒素)、NClx3-x(x=1〜2)、F2(元素状フッ素)、ClF3(三フッ化塩素)、ClF(一フッ化塩素)、SF6(六フッ化硫黄)、BrF3(三フッ化臭素)、BF3(三フッ化硼素)、CF4及びC26等のようなペルフルオロカーボン、CHF3及びC37H等のようなヒドロフルオロカーボン、C48O(ペルフルオロテトラヒドロフラン)のようなオキシフルオロカーボン、CF3OF(フルオロオキシトリフルオロメタン)、CF3OOCF3(ビストリフルオロメタンペルオキシド)、及びCOF2などが含まれる。フッ素含有反応性ガスは、種々な手段、例えば、慣用のシリンダー、安全送出設備、真空送出設備、及び使用間際に反応性ガスを発生させる固体又は液体系発生器によって送出することが可能である。
非限定的な塩素含有反応性ガスの具体例には、BCl3(三塩化硼素)、Cl2(塩素)、COCl2(ホスゲン)、HCl(塩化水素)、及びトランス−ジクロロエチレン(C22Cl2)(例えば、ペンシルバニア州、アレンタウンの Air Products and Chemicals 製TRANS−LC)が含まれる。
処理ガスは、10〜10000sccmの流速で、プラズマ反応器に供給することが好ましい。
処理ガスには、選択的に、少なくとも一種のキャリアガスが含まれてもよい。好適なキャリアガスには、N2、He、Ne、Kr、Xe及び/又はArが含まれるが、これらに限定されない。当該キャリアガスは、処理ガスの0〜99.9%から成ってよく、より好適には処理ガスの1〜99.9%から成ってよい。
処理ガスには、それらが処理効率を不当に妨げないことを条件として、選択的に、付加的な添加剤が含まれてもよい。例えば、酸素ガスは、ある実施態様では反応性ガスの解離を助けて反応性化学種を生成させるのに有用であるが、他の実施態様では有効でないことがある。
上記したように、処理ガスを反応性化学種で富化するための好ましい手段は、離れた位置にあるプラズマ発生器内で当該処理ガスからプラズマを発生させることである。本明細書で使われる用語「プラズマ」とは、イオン及び電子を含有するガスを意味する。当該プラズマは、富化された処理ガスを供給するために、離れた位置にあるプラズマ発生器中で処理ガスから発生させ、そしてこの富化された処理ガスが反応器に搬送され、その反応器内で、当該処理ガス中の反応性化学種が窒化チタンと反応する。この反応生成物は揮発性であり、当該反応器を通るガス流によって基体から運び去られる。
処理ガスからプラズマを発生させる好適な条件は、特に限定されない。好ましいプラズマ発生のパラメータは、0.5〜50トールのプラズマ圧と100〜10000ワットのプラズマ出力である。より好ましいプラズマ発生のパラメータは、1〜10トールのプラズマ圧と500〜5000ワットのプラズマ出力である。
好ましい実施態様では、富化された処理ガスは、離れた位置にあるプラズマ発生器(「第一の場所」)から窒化チタンが除去されるべき基体を収容する反応器(「第二の場所」)に搬送される。富化された処理ガスは、選択的なキャリアガス及び/又は第一と第二の場所間の差圧の助けを借りて搬送されてもよい。当該反応器における条件は、基体上でのプラズマと窒化チタンとの反応性ガス間で反応が起こり易いように選定される。反応器の圧力は、好ましくは0.5〜50トールであり、より好ましくは1〜10トールである。当該反応器の圧力は、プラズマの圧力と同じであっても、異なってもよい。当該反応器の温度は、特に限定されない。
基体は、反応器内に収容され、そしてある実施態様では、反応器それ自体の表面であってもよい。他の実施態様では、当該基体は、その反応器とは異なる反応器内の物体である。よって、窒化チタンが取り除かれる表面を有する適当な基体には、処理チェンバー、工具部品、機械部品、加工物などが含まれるが、これらに限定されない。
当該基体は、富化された処理ガス中の反応性化学種と結合して基体から窒化チタンが除かれ易くなる基体温度になるまで加熱される。適当な基体の温度範囲は、反応性ガスの熱分解温度、反応活性化エネルギーのバリアを克服するに必要なエネルギー、及び装置機材の能力に依存する。反応性化学種の富化と組み合わせて用いられるときの熱的賦活に好適な基体温度は、50℃以上が好適であり、好ましくは50〜900℃、より好ましくは50〜500℃、そして更に好ましくは100〜350℃である。
基体を加熱するための手段は、特に、限定されない。ある実施態様では、基体は加熱装置との熱的接触に置かれる。好ましくは、当該基体は、富化された処理ガスに到達可能な位置での基台加熱器上に固定される。他の実施態様では、ランプや抵抗加熱器のような外部加熱要素を用いることによって反応器の温度が高められ、基体が加熱される。例えば、基体が反応器それ自体の表面であるときには、その反応器の温度が高められる(そして、基体温度は、反応器温度と同じである)。
本明細書に記載した方法では、基体を損傷すること無しに、高速度で、基体表面から窒化チタンをエッチングすることが可能である。慣用の意味と合致させて本明細書中で使われる表現「窒化チタン」とは、広範囲の化学量論比にあるチタンと窒素との二成分系化合物を意味する。
本発明のある実施態様では、他のものよりも高速のエッチング速度を与える。例えば、240nm/分を超えるエッチング速度は、NF3プラズマと100℃の熱的加熱を用いて達成されるのに対して、一方、約6nmのエッチング速度は、離れた位置にあるCl2プラズマと350℃の熱的加熱を用いて達成される。本明細書中に記載される方法によれば、少なくとも約6nm/分の速度で窒化チタンをエッチングしてよく、好ましくは少なくとも約40nm/分の、より好ましくは180nm/分を超える、そして更に好ましくは240nm/分を超える速度でエッチングされる。
本発明のある実施態様では、その部品が窒化チタンで被覆された処理反応器から離れた位置にある反応器内で、当該部品が洗浄される。この離れた位置での洗浄処理に好適な装置には、離れた位置にあるプラズマ発生器装置、洗浄処理反応器の全体に均一なガス流を確保するためのガスディストリビュータ、反応器を加熱するための手段、及び洗浄処理反応器から反応性ガスを取り除き、生成物を揮発させるためのポンプ装置を備えた洗浄処理反応器が含まれていることが好ましい。TiNで被覆された反応器部品及び工具ビットのような部品は、当該反応器内に装填される。所望の処理温度に達したときに、反応性ガスの流れが、離れた位置にあるプラズマ単位装置を通して開始される。次いで、プラズマによって発生するフッ素及び/又は塩素ラジカルが、ディストリビュータ板を通して処理チェンバー内に流れ込む。析出物の無い基体を残して、TiNが、反応器内に置かれた部品から取り除かれる。
本発明について、以下の実施例を参照して更に詳しく説明するが、本発明は、これら実施例によって限定されないものと解されるべきである。
以下は、反応性ガス洗浄を用いる窒化チタンの除去に係る実験例である。実験装置は、離れた位置にあるプラズマ設備と熱加熱設備の両者を有する。全ての実験では、試料を、約120nmの窒化チタンで被覆されたSiウェーハから準備した。窒化チタンの除去速度は、処理条件に対する時限的な暴露での前後における、窒化チタンの薄膜の厚さの変化によって算出した。当該窒化チタンの薄膜の厚さは、四つの先端をもつ導電性プローブを用いて決定した。この導電性の四つの先端をもつプローブによる測定値の精度を確認するために、数個の試料クーポンを、そのエッチング/洗浄処理後に断面で切断した。次いで、そのクーポン断面を、走査電子顕微鏡(SEM)によって検査して、処理後の窒化チタンの厚さを正確に決定した。当該全てのSEM結果は、前記四つの先端をもつプローブの測定値と一致していた。
実施例1: 離れた位置にあるNF3プラズマと熱的加熱の組合せを用いた窒化チタンの除去
この実施例では、処理ガスとしてSF3を使用した、離れた位置にあるプラズマと熱的加熱の組合せを用いることによる処理チェンバー及び部品の洗浄法について示す。
図1は、実験装置の略断面処理図を示している。離れた位置にあるプラズマ発生器10(マサチューセッツ州、ウィルミントンの MKS Instruments 製のMKS ASTRON)を、反応器12の頂部に固定した。プラズマ発生器10の出口14と試料クーポン16間の距離は、約6インチ(15.25cm)であった。クーポン16を、基台加熱器18の表面に置いた。加熱器を用いて、種々の基体温度を得た。実験の全てにおいて、離れた位置にあるプラズマ発生器を、処理ガスとして200sccmのNF3と200sccmのArとの混合物を用いて操作し(パイプ20を経てプラズマ発生器に10に供給した)、そしてチェンバー圧を、ポンプ出口22の助けを借りて4トールに維持した。
以下の実験順序を、それぞれの実験計画(DE)の試験に対して行った。
1.チェンバーの開口、
2.試験クーポンの装填及び前ドアの閉鎖、
3.基準真空圧に到達させるためのチェンバーの排気、
4.温度設定のための試験クーポンの加熱、
5.設定温度に達した後のアルゴンの導入と圧力の安定化、
6.離れた位置にあるプラズマ出力の供給開始、
7.処理ガスの導入、
8.設定時間後の離れた位置にあるプラズマ出力の供給停止、
9.処理流の停止とチェンバーの排気、及び
10.チェンバーの開放と分析のための試験クーポンの回収。
図2は、異なる基体温度下での窒化チタンのエッチング速度を示している。40℃では、薄膜の厚さは増大し、結果として、この温度条件下での負のエッチング速度が図2に示されている。かかる低温度下では、試料表面における窒化チタンの格子構造中に塩素原子が取り込まれることによって、その薄膜の厚さに増大を生じさせている。
窒化チタンのエッチングは、40℃を超える温度で観察された。窒化チタンのエッチング速度は、気体温度の上昇と共に増加した。驚くことに、90℃と100℃の間では、そのエッチング速度が、10より大きい倍数で突然急に跳ね上がった。実施例3には、熱的加熱のみが用いられたときには、そのエッチング速度が、450℃で、約20nm/分であったことが示されている。この結果は、離れた位置にあるプラズマと熱的加熱との間には相乗的な相互作用があることを示している。
また、実験データは、処理ガスに対してO2を添加しても、洗浄処理を改善しなかったことも示している。
実施例2: 離れた位置にあるCl2プラズマと熱的加熱の組合せを用いた窒化チタンの除去
この実施例では、処理ガスとしてCl2を使用した、離れた位置にあるプラズマと熱的加熱の組合せを用いることによる処理チェンバー及び部品の洗浄法について示す。
実験の組立は、実施例1のそれと同じである。
ここでの処理ガスは、200sccmのCl2と200sccmのArとの混合物である。離れた位置にあるCl2のプラズマ下では、100℃で何らのエッチングも観察されなかったのに対して、一方、約6nm/分のエッチング速度が、350℃で得られた。Cl2ガスでのエッチング速度は、NF3ガスでのそれよりもはるかに遅い。CRCハンドブックによれば、TiCl4は、Ti(V)塩化物の中で最も高い揮発性である(融点25℃と沸点136℃を有する)。TiF4は、284℃の融解温度を有し、同じ高温下でのみ昇華する。この知識によれば、塩素系試薬では、フッ素系試薬よりも一層容易に窒化チタンを揮発させることが可能であると言わねばならない。プラズマと熱的活性化とを組み合わせる方法において、NF3のようなフッ素試薬の方がCl2のような塩素試薬よりも一層容易に窒化チタンを除去するという発見は、予期し得ないものである。
実施例3: NF3の熱的加熱を用いた窒化チタンの除去
この比較例では、処理ガスとしてSF3を使用した、熱的加熱を用いることによる処理チェンバー及び部品の洗浄法について示す。
実験の組立は、離れた位置にあるプラズマの供給を停止して、より高いチェンバー圧、8トールを用いた点を除き、実施例1及び2のそれと同じであった。
処理ガスとして、500sccmのNF3流を用いた。350℃及び450℃での窒化チタンのエッチング速度は、それぞれ、約0.6nm/分と約20nm/分であった。離れた位置にあるプラズマ無しでは、同じ処理ガスの場合でも、はるかに高い温度が、窒化チタンの除去には必要である。
実施例4: F2の熱的加熱を用いた窒化チタンの除去
この比較例では、処理ガスとしてF2を使用した、熱的加熱を用いることによる処理チェンバー及び部品の洗浄法を示す。
NF3以外に、N2中5.1%のF2についても、窒化チタンの除去に関して試験した。250sccmの定常流を反応チェンバーに通過させ、かつ当該チェンバーを8トールの圧力下に維持した。図3には、基体温度に伴う窒化チタンのエッチング速度が示されている。基体温度が高くなると、結果として、窒化チタンのエッチング速度が増加した。NF3に比して、窒化チタンとの洗浄反応を開始させるためには、より低い基体温度であることが、N2中5.1%のF2の場合には必要であった。
実施例5: Cl2の熱的加熱を用いた窒化チタンの除去
この比較例では、処理ガスとしてCl2を使用した、熱的加熱を用いることによる処理チェンバー及び部品の洗浄法を示す。
実験条件を、200sccmのCl2流、8トールのチェンバー圧、及び350℃の基体温度としたとき、何らのエッチングも観察されなかった。その温度を450℃に上げたときに、10分の洗浄後にも依然として窒化チタンの薄膜の残渣が残った。このことは、450℃でのエッチング速度は12nm/分よりも遅く、それは、同様の操作条件下で、NF3のそれよりもはるかに遅いことを示している。フッ素含有化合物は、窒化チタンの除去に関して、塩素含有化合物よりも一層有効である。
実施例6: 基台加熱器の表面からの窒化チタンの除去
窒化チタンの被覆表面をもつ基台加熱器について、実施例1におけるそれと同じ方法を用いて試験した。アルミニウム製の加熱器を、窒化チタンの処理チェンバーから取り外した。当該加熱器表面の窒化チタン層は、約20μmであった。この実験では、離れた位置にあるプラズマ条件として、400sccmのNF3、400sccmのAr、及び4トールのチェンバー圧を用い、そして基体温度としては150℃を用いた。45分以内に、窒化チタン層は、完全に除去された。何らの損傷も、当該加熱器表面には観察されなかった。
本発明について、特定の具体例を参照して詳細に述べてきたが、本発明の技術思想及び技術的範囲から離れること無しに、種々の変更および改変が可能であることは当業者にとって自明であろう。
実施例で使用した実験装置の略図である。 実施例1に係るエッチング速度対基体温度のグラフである。 実施例4に係るエッチング速度対基体温度のグラフである。
符号の説明
10 プラズマ発生器
12 反応器
14 プラズマ発生器の出口
16 試料クーポン
18 基台加熱器
20 パイプ
22 ポンプ出口

Claims (9)

  1. 以下の工程:
    (a)フッ素含有物質及び塩素含有物質からなる群より選ばれる少なくとも一種の反応体を含む処理ガスを供給すること、
    (b)当該処理ガスを、少なくとも一種の反応体からなる少なくとも一種の反応性化学種で富化して富化された処理ガスを生成させ、この富化を第一の場所で行うこと、
    (c)基体表面の少なくとも一部が窒化チタンで被覆されている基体を、50℃を超える基体温度で供給すること、そして
    (d)当該基体表面の窒化チタンを、富化された処理ガスと接触させて基体表面から窒化チタンを揮発、除去し、この接触を第一の場所とは異なる第二の場所で行うこと、
    を含む基体表面から窒化チタンを除去する方法。
  2. 前記第一の場所がプラズマ発生器であり、前記富化が処理ガスからプラズマを発生させることを含み、そして前記第二の場所がプラズマ発生器と流体通路で結ばれた反応器である、請求項1に記載の方法。
  3. 前記少なくとも一種の反応体がNF3、NClF2、NCl2F、F2、ClF3、ClF、SF6、BrF3、BF3、ペルフルオロカーボン、ヒドロフルオロカーボン、及びオキシフルオロカーボンからなる群より選ばれる少なくとも一種である、請求項1に記載の方法。
  4. 前記処理ガスがN2、He、Ne、Kr、Xe及びArからなる群より選ばれるキャリアガスを更に含む、請求項1に記載の方法。
  5. 前記少なくとも一種の反応性化学種がフッ素ラジカルを含む、請求項1に記載の方法。
  6. 以下の工程:
    (a)フッ素含有物質及び塩素含有物質からなる群より選ばれる少なくとも一種の反応体を含む処理ガスを供給すること、
    (b)当該処理ガスを、少なくとも一種の反応体からなる少なくとも一種の反応性化学種で富化して、富化された処理ガスを生成させること、
    (c)基体表面の少なくとも一部が窒化チタンで被覆されている基体を、50℃〜900℃の基体温度で供給すること、そして
    (d)当該基体表面の窒化チタンを、実質的にイオンを含まない富化された処理ガスと接触させて、180nm/分を超えるエッチング速度で基体表面から窒化チタンを揮発、除去すること、
    を含む基体表面から窒化チタンを除去する方法。
  7. 前記富化が処理ガスからプラズマを発生させることを含み、そして窒化チタンと接触させる前記富化された処理ガスがプラズマでない、請求項6に記載の方法。
  8. 以下の工程:
    (a)フッ素含有物質及び塩素含有物質からなる群より選ばれる少なくとも一種の反応体を含む処理ガスを供給すること、
    (b)当該処理ガスを、少なくとも一種の反応体からなる少なくとも一種の反応性化学種で富化して、富化された処理ガスを生成させ、この富化を第一の場所で行うこと、
    (c)基体を、50℃〜900℃の基体温度で供給すること、そして
    (d)当該基体表面上のチタンと窒素との二成分系化合物を含む塗膜を、富化された処理ガスと接触させて、180nm/分を超えるエッチング速度で基体表面から塗膜を揮発、除去し、この接触を第一の場所とは異なる第二の場所で行うこと、
    を含む基体表面から窒化チタンを除去する方法。
  9. 以下の機器:
    処理反応器とは別個の洗浄処理反応器、
    離れた位置にあるプラズマ発生器、
    洗浄処理反応器全体にわたってガス流を供給するために用いられるガスディストリビュータ、
    加熱装置、及び
    洗浄処理反応器から反応性ガスを除去し、生成物を揮発させるために用いられるポンプ設備
    を含んでなる、請求項1の方法を行う装置。
JP2005209698A 2004-07-22 2005-07-20 窒化チタンの除去方法 Pending JP2006035213A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/896,588 US20060016783A1 (en) 2004-07-22 2004-07-22 Process for titanium nitride removal

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2008315724A Division JP2009050854A (ja) 2004-07-22 2008-12-11 窒化チタンの除去方法

Publications (1)

Publication Number Publication Date
JP2006035213A true JP2006035213A (ja) 2006-02-09

Family

ID=35501561

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2005209698A Pending JP2006035213A (ja) 2004-07-22 2005-07-20 窒化チタンの除去方法
JP2008315724A Pending JP2009050854A (ja) 2004-07-22 2008-12-11 窒化チタンの除去方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2008315724A Pending JP2009050854A (ja) 2004-07-22 2008-12-11 窒化チタンの除去方法

Country Status (6)

Country Link
US (1) US20060016783A1 (ja)
EP (1) EP1619268A3 (ja)
JP (2) JP2006035213A (ja)
KR (2) KR20060053879A (ja)
CN (1) CN100378911C (ja)
TW (1) TW200605226A (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008150676A (ja) * 2006-12-19 2008-07-03 Air Water Inc 硬質皮膜コーティング金属部材の再生方法
WO2012114611A1 (ja) * 2011-02-22 2012-08-30 セントラル硝子株式会社 クリーニングガス及びそれを用いたリモートプラズマクリーニング方法
WO2014034396A1 (ja) * 2012-08-27 2014-03-06 東京エレクトロン株式会社 プラズマ処理方法、及びプラズマ処理装置
KR20180028919A (ko) 2016-09-09 2018-03-19 가부시키가이샤 히다치 하이테크놀로지즈 에칭 방법 및 에칭 장치

Families Citing this family (452)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100719801B1 (ko) * 2005-09-05 2007-05-18 주식회사 아이피에스 반도체 장비의 내식성 강화방법
KR100794661B1 (ko) * 2006-08-18 2008-01-14 삼성전자주식회사 기판 처리 장치 및 그 장치의 세정 방법
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110162674A1 (en) * 2009-10-26 2011-07-07 Applied Materials, Inc. In-situ process chamber clean to remove titanium nitride etch by-products
KR101630234B1 (ko) * 2009-11-17 2016-06-15 주성엔지니어링(주) 공정챔버의 세정방법
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9205509B2 (en) * 2011-08-31 2015-12-08 General Electric Company Localized cleaning process and apparatus therefor
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) * 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9142393B2 (en) * 2013-05-23 2015-09-22 Asm Ip Holding B.V. Method for cleaning reaction chamber using pre-cleaning process
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) * 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9304283B2 (en) * 2014-05-22 2016-04-05 Texas Instruments Incorporated Bond-pad integration scheme for improved moisture barrier and electrical contact
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
WO2016138218A1 (en) * 2015-02-25 2016-09-01 Applied Materials, Inc. Methods and apparatus for using alkyl amines for the selective removal of metal nitride
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
US20220325418A1 (en) * 2019-05-15 2022-10-13 Showa Denko K.K. Metal removal method, dry etching method, and production method for semiconductor element
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
CN112458435B (zh) * 2020-11-23 2022-12-09 北京北方华创微电子装备有限公司 原子层沉积设备及清洗方法
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US20230010978A1 (en) * 2021-07-12 2023-01-12 Applied Materials, Inc. Selective removal of transition metal nitride materials
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4877482A (en) * 1989-03-23 1989-10-31 Motorola Inc. Nitride removal method
US5888414A (en) * 1991-06-27 1999-03-30 Applied Materials, Inc. Plasma reactor and processes using RF inductive coupling and scavenger temperature control
US5419805A (en) * 1992-03-18 1995-05-30 Northern Telecom Limited Selective etching of refractory metal nitrides
JP2833684B2 (ja) * 1993-09-29 1998-12-09 セントラル硝子株式会社 薄膜形成装置のクリーニング方法
JP3247270B2 (ja) * 1994-08-25 2002-01-15 東京エレクトロン株式会社 処理装置及びドライクリーニング方法
JP3521587B2 (ja) * 1995-02-07 2004-04-19 セイコーエプソン株式会社 基板周縁の不要物除去方法及び装置並びにそれを用いた塗布方法
US6015761A (en) * 1996-06-26 2000-01-18 Applied Materials, Inc. Microwave-activated etching of dielectric layers
US5948702A (en) * 1996-12-19 1999-09-07 Texas Instruments Incorporated Selective removal of TixNy
US6841203B2 (en) * 1997-12-24 2005-01-11 Tokyo Electron Limited Method of forming titanium film by CVD
JP2000124189A (ja) * 1998-10-21 2000-04-28 Speedfam-Ipec Co Ltd 局所エッチング装置及び局所エッチング方法
US6177355B1 (en) * 1999-08-31 2001-01-23 Advanced Micro Devices, Inc. Pad etch process capable of thick titanium nitride arc removal
US6383945B1 (en) * 1999-10-29 2002-05-07 Advanced Micro Devices, Inc. High selectivity pad etch for thick topside stacks
WO2002095800A2 (en) * 2001-05-22 2002-11-28 Reflectivity, Inc. A method for making a micromechanical device by removing a sacrificial layer with multiple sequential etchants
JP4121269B2 (ja) * 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
US6627529B2 (en) * 2002-02-07 2003-09-30 Micron Technology, Inc. Capacitance reduction by tunnel formation for use with semiconductor device
KR100478498B1 (ko) * 2003-01-30 2005-03-28 동부아남반도체 주식회사 반도체 소자의 금속 배선 형성 방법
CN101457338B (zh) * 2003-02-14 2011-04-27 应用材料股份有限公司 利用含氢自由基清洁自生氧化物的方法和设备
US6953724B2 (en) * 2003-09-25 2005-10-11 International Business Machines Corporation Self-limited metal recess for deep trench metal fill

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008150676A (ja) * 2006-12-19 2008-07-03 Air Water Inc 硬質皮膜コーティング金属部材の再生方法
WO2012114611A1 (ja) * 2011-02-22 2012-08-30 セントラル硝子株式会社 クリーニングガス及びそれを用いたリモートプラズマクリーニング方法
WO2014034396A1 (ja) * 2012-08-27 2014-03-06 東京エレクトロン株式会社 プラズマ処理方法、及びプラズマ処理装置
US9460896B2 (en) 2012-08-27 2016-10-04 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
KR20180028919A (ko) 2016-09-09 2018-03-19 가부시키가이샤 히다치 하이테크놀로지즈 에칭 방법 및 에칭 장치
US10325781B2 (en) 2016-09-09 2019-06-18 Hitachi High-Technologies Corporation Etching method and etching apparatus

Also Published As

Publication number Publication date
US20060016783A1 (en) 2006-01-26
JP2009050854A (ja) 2009-03-12
EP1619268A3 (en) 2006-06-14
CN100378911C (zh) 2008-04-02
KR20080058314A (ko) 2008-06-25
CN1725442A (zh) 2006-01-25
TW200605226A (en) 2006-02-01
EP1619268A2 (en) 2006-01-25
KR20060053879A (ko) 2006-05-22

Similar Documents

Publication Publication Date Title
JP2006035213A (ja) 窒化チタンの除去方法
JP6737899B2 (ja) プラズマ処理チャンバでのインシトゥチャンバ洗浄効率向上のためのプラズマ処理プロセス
US7371688B2 (en) Removal of transition metal ternary and/or quaternary barrier materials from a substrate
KR100667723B1 (ko) 고유전율 물질의 에칭 방법 및 고유전율 물질용 증착챔버의 세정 방법
KR100656770B1 (ko) 고유전율 물질의 에칭 방법 및 고유전율 물질용 증착챔버의 세정 방법
EP1536035A2 (en) Method for cleaning deposition chambers for high dielectric constant materials
US6290779B1 (en) Systems and methods for dry cleaning process chambers
JP2007150305A (ja) 二フッ化キセノンを用いた窒化チタンの選択的エッチング
JP2006041523A (ja) フッ素利用率を増大させる方法
KR100786609B1 (ko) 기구 부품의 반응성 기체 청소 방법 및 공정
JP2008244292A (ja) プラズマ処理装置の処理性能安定化方法
KR0181728B1 (ko) 화학 증착장치의 서셉터에서 적층물을 제거하기 위한 개선된 세정공정
EP1892047A2 (en) Detecting the Endpoint of a Cleaning Process
JP2008060171A (ja) 半導体処理装置のクリーニング方法
JP5214316B2 (ja) プラズマ成膜装置のクリーニング方法
CN115274388A (zh) 一种无晶圆等离子腔室的清洗方法
JP2000349071A (ja) ケミカルドライエッチング方法
JPH1055992A (ja) クリーニング方法と半導体の製造方法
Mitchell et al. NF 3 chamber clean additive

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20071214

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080108

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080407

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080410

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080514

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20080819

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081211

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20081226

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20090227