JP7269278B2 - プラズマシステム中に使用されるチャンバー部品用の表面コーティング - Google Patents

プラズマシステム中に使用されるチャンバー部品用の表面コーティング Download PDF

Info

Publication number
JP7269278B2
JP7269278B2 JP2021075615A JP2021075615A JP7269278B2 JP 7269278 B2 JP7269278 B2 JP 7269278B2 JP 2021075615 A JP2021075615 A JP 2021075615A JP 2021075615 A JP2021075615 A JP 2021075615A JP 7269278 B2 JP7269278 B2 JP 7269278B2
Authority
JP
Japan
Prior art keywords
plasma
atomic
coating
amount
oxygen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021075615A
Other languages
English (en)
Other versions
JP2021130875A5 (ja
JP2021130875A (ja
Inventor
ウォルドフリード、カルロ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Entegris Inc
Original Assignee
Entegris Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Entegris Inc filed Critical Entegris Inc
Publication of JP2021130875A publication Critical patent/JP2021130875A/ja
Publication of JP2021130875A5 publication Critical patent/JP2021130875A5/ja
Application granted granted Critical
Publication of JP7269278B2 publication Critical patent/JP7269278B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0676Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/083Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/24Vacuum evaporation
    • C23C14/28Vacuum evaporation by wave energy or particle radiation
    • C23C14/30Vacuum evaporation by wave energy or particle radiation by electron bombardment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3485Sputtering using pulsed power to the target
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Description

本開示は、概してプラズマシステムに関し、より詳細にはプラズマシステム中の工具お
よび固定具のためのコーティングに関する。
本開示は、概してプラズマシステム中に使用される部品のコーティングに関する。プラ
ズマに直接接触する部品は、化学的攻撃、イオン衝撃、UV照射、大きな温度の変化およ
び勾配、ならびに電界にさらされる。好ましいプラズマシステムの部品はアルミニウムま
たは石英でできているが、その理由は、それらが、活性の酸素種、窒素種、および水素種
に対して最も遅いプラズマ表面再結合速度を有するからである。しかし、ハロゲン含有プ
ラズマ、またはその他の化学的により攻撃的なプラズマが使用される場合、これらの材料
は、もはや許容できる選択とはならない。このような環境において、プラズマシステム部
品は陽極処理アルミニウム、アルミナ、またはサファイアなどの材料から通常は製造され
る。これらの材料は、化学的および物理的なプラズマ攻撃に対してより耐久性が高いとい
う利点を有するが、欠点はプラズマ表面再結合速度が実質的により速いことであり、した
がって活性な酸素、水素、および窒素のプラズマ種の実質的な部分がプラズマ流から除去
される。これらの種が除去されることで、プラズマプロセスの効率が低下する。
活性プラズマ種の再結合速度を低下させ、活性種のシステムへの流れを増加させるよう
に構成されたプラズマシステム部品が、プラズマシステムにおいて歓迎される改善となる
攻撃的な(たとえば、フッ素系)プラズマ環境中の化学的およびプラズマ物理的攻撃に
対して堅牢であるという利点を有するプラズマ部品用表面コーティングが本明細書に開示
される。これらのコーティングによって、他の既知の表面処理と比較した場合に、活性の
酸素種、窒素種、フッ素種、および水素種に対する遅いプラズマ表面再結合速度も得られ
る。これらのコーティングは、限定するものではないが石英、アルミニウム、または陽極
処理アルミニウムなどの材料を含みエッチングおよびプラズマ洗浄を必要としないあらゆ
るプラズマシステム部品に塗布することができる。さらに、非反応性コーティングをシス
テム部品に塗布し、それによってシステムの処理チャンバーへの励起プラズマ種の流れを
増加させることで、システムの効率が増加する。
したがって、代表的な一実施形態において、本開示は、プラズマでぬらされる(pla
sma wetted)表面システム部品の反応性を低下させるためのコーティングを提
供する。コーティングは、約60%~約80%の量のイットリウムおよび約20%~約4
0%の量の酸素がおおよその組成であるイットリアと、約25%~約60%の間の量のア
ルミニウム、約20%~約40%の間の量の酸素、および約20%~約40%の間の量の
窒素がおおよその組成である酸窒化アルミニウムと、の少なくとも一方を含み、プラズマ
でぬらされるシステムの部品に塗布されている、コーティング。
ある代表的な実施形態において、プラズマは、原子状酸素、分子酸素、原子状水素、分
子水素、原子状窒素、分子窒素、分子アルゴン、原子状アルゴン、原子状フッ素、および
分子フッ素の1種類以上を含む。種々のこれらおよびその他の実施形態において、プラズ
マは、フッ素含有プラズマ、酸素含有プラズマ、水素含有プラズマ、および窒素含有プラ
ズマの1種類以上を含む。種々の代表的な実施形態において、プラズマは複合プラズマで
ある。特定の実施形態において、フッ素含有プラズマは、CF、CHF、CFH、
、C、SF、NF、F、およびCOを含み、酸素含有プラズ
マは、O、O、NO、CO、CO、CO、HO、およびHを含み
、水素含有プラズマは、H、CH、NH、N、C、HO、H
、N/H、He/H、およびAr/Hを含み、窒素含有プラズマは、N、N
O、NH、NF、N/H、およびNOを含む。
これらおよびその他の代表的な実施形態において、部品は、石英、アルミニウム、また
は陽極処理アルミニウム、またはそれらの組合せから製造される。
種々の代表的な実施形態において、コーティングは、蒸着、スパッタ堆積、溶射コーテ
ィング、ゾルゲルコーティング、大気プラズマ堆積、マグネトロンスパッタリング、電子
ビーム堆積、またはパルスレーザ堆積によって塗布される。ある代表的な実施形態におい
て、蒸着は、プラズマ強化化学蒸着(plasma enhanced chemica
l vapor deposition:PECVD)、物理蒸着(physical
vapor deposition:PVD)、および化学蒸着(chemical v
apor deposition:CVD)である。
種々の別の代表的な実施形態において、プラズマシステムは、下流遠隔プラズマシステ
ム、誘導結合プラズマシステム、容量結合プラズマシステム、反応性イオンエッチングプ
ラズマシステム、および大気プラズマシステム、およびイオンエッチングプラズマシステ
ムである。
一実施形態において、AlON(酸窒化アルミニウム)などの金属酸窒化物のコーティ
ングがプラズマシステム部品に直接塗布されている。本発明者らは、AlONとプラズマ
流との相互作用によって、陽極処理アルミニウムの再結合速度よりも実質的に遅い再結合
速度が得られることを見出した。
種々の実施形態において、コーティングは、約3GPa~約10GPaの間の硬度、約
100GPa~約20GPaの間の(ヤング)弾性率を有し、コーティングは約-150
℃~約+600℃の間の温度で安定である。
別の代表的な一実施形態において、本開示は、プラズマシステムの効率を増加させる方
法であって、プラズマシステムの効率を増加させる方法であって、プラズマエッチングを
必要としないシステム部品に表面コーティングを塗布することを含み、コーティングによ
って、エッチングされない部品のプラズマ流に対する反応性が低下し、表面コーティング
が、約60%~約80%の量のイットリウムおよび約20%~約40%の量の酸素がおお
よその組成であるイットリアと、約25%~約60%の間の量のアルミニウム、約20%
~約40%の間の量の酸素、および約20%~約40%の間の量の窒素がおおよその組成
である酸窒化アルミニウムと、の少なくとも一方であり、コーティングが、プラズマでぬ
らされるシステムの部品に塗布される、方法を教示する。
別の一実施形態において、イットリアなどの遷移金属酸化物のコーティングが、プラズ
マ部品に直接塗布される。本発明者らは、イットリアとプラズマ流との相互作用によって
、陽極処理アルミニウムの再結合速度よりも実質的に遅い再結合速度が得られることを見
出した。
種々の代表的な実施形態において、プラズマは、原子状酸素、分子酸素、原子状水素、
分子水素、原子状窒素、分子窒素、分子アルゴン、原子状アルゴン、原子状フッ素および
分子フッ素の1種類以上を含む。種々のこれらおよびその他の実施形態において、プラズ
マは、フッ素含有プラズマ、酸素含有プラズマ、水素含有プラズマ、および窒素含有プラ
ズマの1種類以上を含む。種々の代表的な実施形態において、プラズマは複合プラズマで
ある。特定の実施形態において、フッ素含有プラズマは、CF、CHF、CFH、
、C、SF、NF、F、およびCOを含み、酸素含有プラズ
マは、O、O、NO、CO、CO、CO、HO、およびHを含み
、水素含有プラズマは、H、CH、NH、N、C、HO、H
、N/H、He/H、およびAr/Hを含み、窒素含有プラズマは、N、N
O、NH、NF、N/H、およびNOを含む。
これらおよびその他の代表的な実施形態において、部品は、石英、アルミニウム,また
は陽極処理アルミニウム、またはそれらの組合せから製造される。
種々の実施形態において、コーティングは、蒸着、スパッタ堆積、溶射コーティング、
ゾルゲルコーティング、大気プラズマ堆積、マグネトロンスパッタリング、電子ビーム堆
積、またはパルスレーザ堆積によって塗布されている。ある実施形態において、蒸着は、
プラズマ強化化学蒸着(PECVD)、物理蒸着(PVD)、および化学蒸着(CVD)
である。
種々の実施形態において、コーティングは、約3GPa~約10GPaの間の硬度、約
100GPa~約20GPaの間の(ヤング)弾性率を有し、コーティングは約-150
℃~約+600℃の間の温度で安定である。
さらに別の代表的な一実施形態において、本開示は、プラズマシステムの部品の寿命を
増加させる方法であって、表面コーティングをシステム部品に塗布することを含み、コー
ティングによって部品のプラズマ流に対する反応性が低下し、表面コーティングは、約6
0%~約80%の量のイットリウムおよび約20%~約40%の量の酸素がおおよその組
成であるイットリアと、約25%~約60%の間の量のアルミニウム、約20%~約40
%の間の量の酸素、および約20%~約40%の間の量の窒素がおおよその組成である酸
窒化アルミニウムと、の少なくとも一方であり、コーティングが、プラズマでぬらされる
システムの部品に塗布される、方法を教示する。
ある代表的な実施形態において、プラズマは、原子状酸素、分子酸素、原子状水素、分
子水素、原子状窒素、分子窒素、分子アルゴン、原子状アルゴン、原子状フッ素、および
分子フッ素の1種類以上を含む。種々のこれらおよびその他の実施形態において、プラズ
マは、フッ素含有プラズマ、酸素含有プラズマ、水素含有プラズマ、および窒素含有プラ
ズマの1つまたはより多くを含む。種々の代表的な実施形態において、プラズマは複合プ
ラズマである。特定の実施形態において、フッ素含有プラズマは、CF、CHF、C
H、C、C、SF、NF、F、およびCOを含み、酸素含
有プラズマは、O、O、NO、CO、CO、CO、HO、およびH
を含み、水素含有プラズマは、H、CH、NH、N、C、HO、
、N/H、He/H、およびAr/Hを含み、窒素含有プラズマは、N
、NO、NH、NF、N/H、およびNOを含む。
これらおよびその他の代表的な実施形態において、部品は、石英、アルミニウム、また
は陽極処理アルミニウム、またはそれらの組合せから製造される。
ある代表的な実施形態において、コーティングは、蒸着、スパッタ堆積、溶射コーティ
ング、ゾルゲルコーティング、大気プラズマ堆積、マグネトロンスパッタリング、電子ビ
ーム堆積、またはパルスレーザ堆積によって塗布される。種々の実施形態において、蒸着
は、プラズマ強化化学蒸着(PECVD)、物理蒸着(PVD)、および化学蒸着(CV
D)である。
種々の実施形態において、コーティングは、約3GPa~約10GPaの間の硬度、約
100GPa~約20GPaの間の(ヤング)弾性率を有し、コーティングは約-150
℃~約+600℃の間の温度において安定である。
塗布可能な別のコーティングは遷移金属酸窒化物および金属酸化物であり、これらは金
属酸窒化物と遷移金属酸化物とを組み替えた材料の種類である。チャンバー部品を保護す
るために塗布可能なさらに別のコーティングとしては、ランタニドまたはアクチニド(a
ctenide)の下位分類の希土類化合物、たとえば希土類酸化物、希土類窒化物、お
よび希土類酸窒化物が挙げられる。
構造的には、コーティングは、プラズマ強化化学蒸着(PECVD)、物理蒸着(PV
D)、スパッタ堆積、溶射コーティング、ゾルゲルコーティング、大気プラズマ堆積、マ
グネトロンスパッタリング、電子ビーム堆積、またはパルスレーザ堆積によって塗布する
ことができる。たとえば、PVDプロセスによる酸窒化アルミニウムコーティングの堆積
などが記載される2013年2月14日に公開されたガンダ(Gunda)の国際公開第
2013/023029号パンフレットを参照されたい。国際公開第2013/0230
29号パンフレットは、本出願の出願人が所有し、それに含まれる特定の定義および特許
請求の範囲を除いた全体が参照により本明細書に援用される。
133Pa(1Torr)、2000WにおいてO/FGプラズマを使用してプラズマ洗浄ツール中で処理した複数のウエハのフォトレジスト除去速度をグラフで示している。(i)最適化された陽極処理アルミニウムバッフルを使用、および(ii)酸窒化アルミニウムをコーティングしたアルミニウムバッフルを使用、の2つのプラズマ洗浄ツール構成を比較している。 種々のプラズマ化学(O/FGおよびO/FG+CF)およびプラズマシステム(GES-IP、GPL)の場合でフォトレジスト除去速度を示す棒グラフを示しており、4つのバッフル板構成:(i)最適化された陽極処理アルミニウムバッフル、および(ii)標準的な陽極処理アルミニウムバッフル、(iii)酸窒化アルミニウムをコーティングしたアルミニウムバッフル、(iv)イットリアをコーティングしたアルミニウムバッフルを比較している。
攻撃的な(たとえば、フッ素系)プラズマ環境中の化学的およびプラズマ物理的攻撃に
対して堅牢であるという利点を有するプラズマ部品用表面コーティングが本明細書に開示
される。これらのコーティングによって、他の既知の表面処理と比較した場合に、活性の
酸素種、窒素種、フッ素種、および水素種に対する遅いプラズマ表面再結合速度も得られ
る。これらのコーティングは、エッチングおよびプラズマ洗浄を必要とせず、限定するも
のではないが石英、アルミニウム、または陽極処理アルミニウムなどの材料を含むあらゆ
るプラズマシステム部品に塗布することができる。さらに、非反応性コーティングをシス
テム部品に塗布し、それによってシステムのプラズマチャンバーへの励起プラズマ種の流
れを増加させることで、システムの効率が増加する。
本明細書において使用される専門用語は、特定の実施形態のみ説明することを目的とし
ており、限定を意図するものではない。本明細書において使用される場合、単数形「a」
、「an」、および「the」は、文脈が明確に他のことを意味するのでなければ、複数
形をも含むことを意図している。用語「第1」、「第2」などの使用は、特定の順序を示
すものではなく、個別の要素を識別するために含まれる。本明細書において使用される場
合、用語「含む」(comprises)および/または「含むこと」(compris
ing)または「含む」(includes)および/または「含むこと」(inclu
ding)は、記載の特徴、領域、整数、ステップ、操作、要素、および/または部品の
存在を明記するものであるが、1つ以上の別の特徴、領域、整数、ステップ、操作、要素
、部品、および/またはそれらの群の存在および追加を排除するものではないことをさら
に理解されたい。
他の方法で定義されるのでなければ、本明細書において使用されるすべての用語(専門
用語および科学用語を含む)は、本発明の実施形態が属する技術分野の当業者によって一
般に理解される意味と同じ意味を有する。一般に使用される辞書で定義されるような用語
は、関連技術および本開示の状況におけるそれらの意味と一致する意味を有すると解釈す
べきであり、本明細書において明確に定義されるのでなければ、理想化された意味、およ
び過度に形式的な意味では解釈されないことをさらに理解されたい。
本明細書に含まれる「実施形態」、「本開示の実施形態」、および「開示される実施形
態」への言及は、従来技術には認められない本特許出願の明細書(請求項および図面を含
めた文章)を意味する。
本明細書において使用される場合、用語「プラズマでぬらされる部品」(plasma
-wetted component)は、プラズマ流と接触する任意の部品または物品
を意味する。このような部品または物品は、プラズマチャンバーの一部であってよいし、
またはプラズマチャンバー中に配置され、プラズマ流に曝露する任意の物品であってよい
本明細書において使用される場合、用語「プラズマアッシング」は、エッチングされた
ウエハまたは別の基板からフォトレジストが除去されるプロセスを意味する。
本明細書において使用される場合、用語「アッシングチャンバー」は、プラズマ流によ
ってプラズマエッチングが行われるウエハなどの基板を保持する密閉箱を意味する。
本明細書において使用される場合、用語「アッシングされる部品」は、ウエハのフォト
レジストなどのプラズマ流と反応することが望ましいプラズマチャンバーの部品を意味す
る。
本明細書において使用される場合、用語「アッシングされない部品」は、プラズマ流と
の反応が望ましくないプラズマチャンバーの部品を意味する。このような部品としては、
バルブ、バッフル、電極などのプラズマチャンバーの構成部品、ならびにウエハ支持体ま
たは担体などのチャンバー中に入れられる補助材料が挙げられる。
本明細書において使用される場合、用語「フォーミングガス」は、表面上の酸化物を水
に還元するために使用される水素と不活性ガス(通常は窒素)との混合物を意味する。水
素は、約4.7体積%未満に水素を維持するために不活性ガス中に希釈されるが、その理
由はこの値を超えると水素は自発的に燃焼する可能性があるからである。
概略的に前述したような装置および化合物の種々の代表的な実施形態、ならびに本開示
による方法は、以下の実施例を参照することによって理解がより容易となり、これらの実
施例は例として提供されるものであり、本発明の限定を意図したものでは決してない。
以下の実施例は、酸窒化アルミニウム(AlON)およびイットリアのコーティングを
参照しており、これらはマサチューセッツ州ベッドフォード(Bedford MA)の
インテグリス・インコーポレーテッド(Entegris,Inc.)により製造される
独自の物理蒸着(PVD)コーティングである。特に、これらのコーティングは、厚さが
4~5マイクロメートルであり、表1に示されるように画定される化学組成を有する。
Figure 0007269278000001
[実施例1]
この実施例では、アクセリス・テクノロジーズ・インコーポレーテッド(Axceli
s Technologies,Inc.)より市販されるラジアント・ストリップ(R
adiant Strip)220ES-IPプラズマアッシングツールを使用して、酸
素およびフォーミングガス(窒素中3%の水素)から形成されるプラズマに、200mm
のシリコン基板上にコーティングしたフォトレジストを曝露した。シリコン基板上に市販
のi線フォトレジストを約1.8マイクロメートルの厚さで堆積した。約133Pa(約
1Torr)の圧力、約270℃の温度、および2000ワットの出力設定のプラズマア
ッシングツール中に、90%の酸素および10%のフォーミングガスを約3.5標準リッ
トル/分(slm)で流すことによって、O/FGプラズマの化学的性質を得た。
フォトレジストをそれぞれのプラズマに15秒間曝露した後に、O/FGプラズマス
トリッピングプロセスのフォトレジスト除去速度(アッシング速度とも呼ばれる)および
ウエハ間均一性を測定した。アッシング速度は、チャンバーのバッフル板の以下の2つの
構成で比較した。
(i)最適化された表面仕上げを有し、したがって陽極処理バッフル板構成で得ること
が可能な最大アッシング速度が得られる陽極処理アルミニウムバッフル板。
(ii)マサチューセッツ州ベッドフォード01730(Bedford,MA 01
730)のインテグリス・スペシャルティ・コーティングス(Entegris Spe
cialty Coatings)より市販される酸窒化アルミニウムコーティングを有
するアルミニウムバッフル。
表面仕上げまたは表面コーティング組成以外は、これら2つのバッフル構成は、プラズ
マ洗浄システム中の形状、大きさ、および位置が同一であった。
それぞれの構成で6つのウエハについてアッシング速度および不均一性の測定を行い、
6つのウエハは25ウエハ試験運転のスロット1、5、10、15、20、および25に
配置した。ウエハ上の49の測定点に基づいてフォトレジスト厚さの差を求め(アッシン
グ後からアッシング前を引く)、フォトレジストの収縮量(温度のみに曝露して得られる
レジスト厚さの変化)を除去することによって、アッシング速度が計算される。ウエハを
ゼロプラズマ出力で処理する別の試験運転で求めると、フォトレジストの収縮は460n
m(4600Å)であることが分かった。アッシング速度試験の時間を考慮することによ
って、収縮を除いた厚さの最終変化はμm/分の単位の速度で表される。
図1を参照すると、本開示の実施形態の場合の実施例1のアッシング速度試験の結果が
示されている。AlONをコーティングしたアルミニウムバッフル板を使用した構成では
、最適化された陽極処理バッフル構成で得られる速度よりも約20%速いアッシング速度
が一貫して得られたことに留意されたい。より速いアッシング速度は、活性の酸素種、水
素種、および窒素種の少なくとも1種類のより多い量がバッフル板を通過して、フォトレ
ジストと反応できることを示している。より速いアッシング速度が望ましいが、その理由
は、反応性種のチャンバーへの流れが多いことを示しており、したがって基板のより速い
洗浄が可能となるからである。
[実施例2]
この実施例では、200mmのシリコン基板上にコーティングしたフォトレジストの種
々の以下に示すプラズマへの曝露を行った。
(i)約133Pa(約1Torr)の圧力、約270℃の温度、および2000ワッ
トの出力設定のプラズマアッシングツール中に90%の酸素および10%のフォーミング
ガスを流すことによって形成されるO/FGプラズマ。
(ii)約133Pa(約1Torr)の圧力、約270℃の温度、および2000ワ
ットの出力設定のプラズマアッシングツール中に90%の酸素および10%のフォーミン
グガス、ならびに~約0.15%のCFを流すことによって形成されるO/FG+C
プラズマ。
そして、これらのプラズマの曝露を以下に示す2つの異なるプラズマ洗浄システム中で
行った。
(i)アクセリス・テクノロジーズ・インコーポレーテッドより市販されるラジアント
・ストリップ220ES-IPプラズマアッシングツール。
(ii)アクセリス・テクノロジーズ・インコーポレーテッドより市販されるラジアン
ト・ストリップ220プラズマアッシングツール。
さらに、これらのプラズマの曝露を以下の4つの異なるプラズマツールバッフル構成の
場合で行った。
(i)最適化された陽極処理アルミニウムバッフル。
(ii)標準の陽極処理アルミニウムバッフル。
(iii)酸窒化アルミニウムをコーティングしたアルミニウムバッフル。
(iv)イットリアをコーティングしたアルミニウムバッフル。
表面仕上げまたは表面コーティング以外は、これら4つのバッフル構成は、プラズマ洗
浄システム中の形状、大きさ、および位置が同一であった。
それぞれの構成で2つのウエハについてアッシング速度および不均一性の測定を行った
。実施例1に記載の方法と同じ方法でアッシング速度を計算した。
図2を参照すると、本開示の実施形態の場合の実施例2のアッシング速度試験の結果が
示されている。これらの結果は、AlONをコーティングしたアルミニウムバッフル板構
成は、一貫して最高アッシング速度を示し、標準の陽極処理アルミニウムバッフルまたは
イットリアをコーティングしたアルミニウムバッフルのいずれかで得られたアッシング速
度よりも約50%速く、最適化された陽極処理アルミニウムバッフル板で得られたアッシ
ング速度よりも約20%速い値であったことを示している。より速いアッシング速度は、
アッシングされない部品との相互作用が軽減されるため、活性の酸素種、水素種、フッ素
種、および窒素種の少なくとも1種類のより多い量がバッフル板を通過し、それによって
アッシングされる部品まで励起種がより多く流れることを示している。これによってフォ
トレジストとの反応が増加することができる。基板のより速い洗浄が可能となるので、よ
り速いアッシング速度が望ましい。
1から47まで連続して列挙される以下の付記(paragraph)は、本開示の種
々の態様を示している。一実施形態においては、第1の付記(1)において、本発明は以
下のコーティングを提供する。
1.プラズマでぬらされる表面システム部品の反応性を低下させるコーティングであっ
て、
約60%~約80%の量のイットリウムおよび約20%~約40%の量の酸素がおおよ
その組成であるイットリアと、
約25%~約60%の間の量のアルミニウム、約20%~約40%の間の量の酸素、お
よび約20%~約40%の間の量の窒素がおおよその組成である酸窒化アルミニウムと、
の少なくとも一方を含み、
プラズマでぬらされるシステムの部品に塗布されている、コーティング。
2.コーティングが、約60%~約80%の量のイットリウムおよび約20%~約40
%の量の酸素を含むイットリアである、付記1に記載のコーティング。
3.コーティングが、約25%~約60%の間の量のアルミニウム、約20%~約40
%の間の量の酸素、および約20%~約40%の間の量の窒素を含む酸窒化アルミニウム
である、付記1に記載のコーティング。
4.プラズマが、原子状酸素、分子酸素、原子状水素、分子水素、原子状窒素、分子窒
素、分子アルゴン、原子状アルゴン、原子状フッ素、分子フッ素の1種類以上を含む、付
記1~3のいずれかに記載のコーティング。
5.プラズマが、フッ素含有プラズマ、酸素含有プラズマ、水素含有プラズマ、および
窒素含有プラズマの1種類以上を含む、付記1~4のいずれかに記載のコーティング。
6.フッ素含有プラズマが、CF、CHF、CFH、C、C、SF
、NF、F、およびCOを含む、付記1~5のいずれかに記載のコーティン
グ。
7.酸素含有プラズマが、O、O、NO、CO、CO、CO、HO、
およびHを含む、付記1~5のいずれかに記載のコーティング。
8.水素含有プラズマが、H、CH、NH、N、C、HO、H
、N/H、He/H、およびAr/Hを含む、付記1~5のいずれかに記載
のコーティング。
9.窒素含有プラズマが、N、NO、NH、NF、N/H、およびNOを
含む、付記1~5のいずれかに記載のコーティング。
10.コーティングが、蒸着、スパッタ堆積、溶射コーティング、ゾルゲルコーティン
グ、大気プラズマ堆積、マグネトロンスパッタリング、電子ビーム堆積、またはパルスレ
ーザ堆積によって塗布されている、付記1~9のいずれかに記載のコーティング。
11.蒸着が、プラズマ強化化学蒸着(PECVD)、物理蒸着(PVD)、および化
学蒸着(CVD)である、付記1~10のいずれかに記載のコーティング。
12.コーティングが約-150℃~約+600℃の間の温度で安定である、付記1~
11のいずれかに記載の表面コーティング。
13.プラズマシステムが、下流遠隔プラズマシステム、誘導結合プラズマシステム、
容量結合プラズマシステム、反応性イオンエッチングプラズマシステム、および大気プラ
ズマシステム、およびイオンエッチングプラズマシステムである、付記1~12のいずれ
かに記載の表面コーティング。
14.コーティングが約3GPa~約10GPaの間の硬度を有する、付記1~13の
いずれかに記載の表面コーティング。
15.コーティングが約100GPa~約20GPaの間の(ヤング)弾性率を有する
、付記1~14のいずれかに記載の表面コーティング。
16.部品が、石英、アルミニウム、または陽極処理アルミニウム、またはそれらの組
合せから製造される、付記1~15のいずれかに記載の表面コーティング。
17.プラズマシステムの効率を増加させる方法であって、プラズマエッチングを必要
としないシステム部品に表面コーティングを塗布することを含み、コーティングによって
、エッチングされない部品のプラズマ流に対する反応性が低下し、
表面コーティングが、
約60%~約80%の量のイットリウムおよび約20%~約40%の量の酸素がおおよ
その組成であるイットリアと、
約25%~約60%の間の量のアルミニウム、約20%~約40%の間の量の酸素、お
よび約20%~約40%の間の量の窒素がおおよその組成である酸窒化アルミニウムと、
の少なくとも一方であり、
コーティングがプラズマでぬらされるシステムの部品に塗布される、方法。
18.プラズマが、原子状酸素、分子酸素、原子状水素、分子水素、原子状窒素、分子
窒素、分子アルゴン、原子状アルゴン、原子状フッ素、分子フッ素の1種類以上を含む、
付記17に記載の方法。
19.プラズマが、フッ素含有プラズマ、酸素含有プラズマ、水素含有プラズマ、およ
び窒素含有プラズマの1種類以上を含む、付記17または18に記載の方法。
20.フッ素含有プラズマが、CF、CHF、CFH、C、C、S
、NF、F、およびCOを含む、付記17~19のいずれかに記載の方法
21.酸素含有プラズマが、O、O、NO、CO、CO、CO、H
、およびHを含む、付記17~19のいずれかに記載の方法。
22.水素含有プラズマが、H、CH、NH、N、C、HO、H
、N/H、He/H、およびAr/Hを含む、付記17~19のいずれか
に記載の方法。
23.窒素含有プラズマが、N、NO、NH、NF、N/H、およびNO
を含む、付記17~19のいずれかに記載の方法。
24.コーティングが、蒸着、スパッタ堆積、溶射コーティング、ゾルゲルコーティン
グ、大気プラズマ堆積、マグネトロンスパッタリング、電子ビーム堆積、またはパルスレ
ーザ堆積によって塗布される、付記17~23のいずれかに記載の方法。
25.蒸着が、プラズマ強化化学蒸着(PECVD)、物理蒸着(PVD)、および化
学蒸着(CVD)である、付記17~24のいずれかに記載の方法。
26.コーティングが約-150℃~約+600℃の間の温度で安定である、付記17
~25のいずれかに記載の方法。
27.コーティングが約3GPa~約10GPaの間の硬度を有する、付記17~26
のいずれかに記載の方法。
28.コーティングが約100GPa~約20GPaの間の(ヤング)弾性率を有する
、付記17~27のいずれかに記載の方法。
29.コーティングが、約60%~約80%の量のイットリウムおよび約20%~約4
0%の量の酸素を含むイットリアである、付記17~28のいずれかに記載の方法。
30.コーティングが、約25%~約60%の間の量のアルミニウム、約20%~約4
0%の間の量の酸素、および約20%~約40%の間の量の窒素を含む酸窒化アルミニウ
ムである、付記17~29のいずれかに記載の方法。
31.部品が、石英、アルミニウム、または陽極処理アルミニウム、またはそれらの組
合せから製造される、付記17~30のいずれかに記載の方法。
32.プラズマシステムの部品の寿命を増加させる方法であって、表面コーティングを
システム部品に塗布することを含み、コーティングによって部品のプラズマ流に対する反
応性が低下し、
表面コーティングは、
約60%~約80%の量のイットリウムおよび約20%~約40%の量の酸素がおおよ
その組成であるイットリアと、
約25%~約60%の間の量のアルミニウム、約20%~約40%の間の量の酸素、お
よび約20%~約40%の間の量の窒素がおおよその組成である酸窒化アルミニウムと、
の少なくとも一方であり、
コーティングが、プラズマでぬらされるシステムの部品に塗布される、方法。
33.プラズマが、原子状酸素、分子酸素、原子状水素、分子水素、原子状窒素、分子
窒素、分子アルゴン、原子状アルゴン、原子状フッ素、分子フッ素の1種類以上を含む、
付記32に記載の方法。
34.プラズマが、フッ素含有プラズマ、酸素含有プラズマ、水素含有プラズマ、およ
び窒素含有プラズマの1種類以上を含む、付記32または33に記載の方法。
35.フッ素含有プラズマが、CF、CHF、CFH、C、C、S
、NF、F、およびCOを含む、付記32~34のいずれかに記載の方法
36.酸素含有プラズマが、O、O、NO、CO、CO、CO、H
、およびHを含む、付記32~34のいずれかに記載の方法。
37.水素含有プラズマが、H、CH、NH、N、C、HO、H
、N/H、He/H、およびAr/Hを含む、付記32~34のいずれか
に記載の方法。
38.窒素含有プラズマが、N、NO、NH、NF、N/H、およびNO
を含む、付記32~34のいずれかに記載の方法。
39.コーティングが、蒸着、スパッタ堆積、溶射コーティング、ゾルゲルコーティン
グ、大気プラズマ堆積、マグネトロンスパッタリング、電子ビーム堆積、またはパルスレ
ーザ堆積によって塗布される、付記32~38のいずれかに記載の方法。
40.蒸着が、プラズマ強化化学蒸着(PECVD)、物理蒸着(PVD)、および化
学蒸着(CVD)である、付記32~39のいずれかに記載の方法。
41.コーティングが約-150℃~約+600℃の間の温度で安定である、付記32
~40のいずれかに記載の方法。
42.コーティングが約3GPa~約10GPaの間の硬度を有する、付記32~41
のいずれかに記載の表面コーティング。
43.コーティングが約100GPa~約20GPaの間の(ヤング)弾性率を有する
、付記32~42のいずれかに記載の表面コーティング。
44.コーティングが、約60%~約80%の量のイットリウムおよび約20%~約4
0%の量の酸素を含むイットリアである、付記32~43のいずれかに記載の方法。
45.コーティングが、約25%~約60%の間の量のアルミニウム、約20%~約4
0%の間の量の酸素、および約20%~約40%の間の量の窒素を含む酸窒化アルミニウ
ムである、付記32~44のいずれかに記載の方法。
46.部品が、石英、アルミニウム、または陽極処理アルミニウム、またはそれらの組
合せから製造される、付記32~45のいずれかに記載の方法。
本発明の実施形態の請求項を説明するためには、特定の用語「~のための手段」または
「~のためのステップ」がそれぞれの請求項に記載されるのでなければ、米国特許法第1
12条(f)の条項は行使されるべきではないことが、明確に意図される。
前述の概略の種々の代表的な実施形態とあわせて本発明を説明してきたが、既知である
か、または現在予測されないか、または現在予測されない場合があるかのいずれかの種々
の代案、修正、変形、改善や実質的な均等物が、当技術分野の少なくとも通常の技術を有
する者には明らかとなるであろう。したがって、前述のような本発明による代表的な実施
形態は、説明を意図したものであり限定を意図したものではない。本発明の意図および範
囲を逸脱することなく種々の変更が可能である。したがって、本発明は、すべての既知の
、または後に開発されるこれらの代表的な実施形態の代案、修正、変形、改善や実質的な
均等物を含むことが意図される。

Claims (10)

  1. プラズマでぬらされるシステムの部品の表面に適用されるコーティングであって、
    50原子%~60原子%の間の量のアルミニウム、
    20原子%~40原子%の間の量の酸素、及び
    20原子%~40原子%の間の量の窒素
    の組成を有する酸窒化アルミニウム;並びに
    60原子%~80原子%の量のイットリウム、及び
    20原子%~40原子%の量の酸素
    の組成を有するイットリア
    を含むコーティング。
  2. 3GPa~10GPaの間の硬度を有する、請求項1に記載のコーティング。
  3. 100GPa~200GPaの間の(ヤング)弾性率を有する、請求項1に記載のコーティング。
  4. 50原子%~60原子%の間の量のアルミニウム、
    20原子%~40原子%の間の量の酸素、
    20原子%~40原子%の間の量の窒素
    の組成を有する酸窒化アルミニウム;並びに
    60原子%~80原子%の量のイットリウム、及び
    20原子%~40原子%の量の酸素
    の組成を有するイットリア
    を含むように、物理蒸着によってコーティングを形成することを含む、
    請求項1~3のいずれか1項に記載のコーティングを製造するための方法。
  5. 4~5ミクロンの厚さを有するように、物理蒸着によってコーティングを形成することを含む、請求項4に記載の方法。
  6. -150℃~+600℃の温度で安定であるように、物理蒸着によってコーティングを形成することを含む、請求項4に記載の方法。
  7. プラズマでぬらされるシステムの部品の表面に適用されるコーティングを製造するための方法であって、
    50原子%~60原子%の間の量のアルミニウム、
    20原子%~40原子%の間の量の酸素、
    20原子%~40原子%の間の量の窒素
    の組成を有する酸窒化アルミニウム
    を含むように、物理蒸着によってコーティングを形成することを含む、方法。
  8. コーティングが、
    60原子%~80原子%の量のイットリウム、及び
    20原子%~40原子%の量の酸素
    の組成を有するイットリア
    をさらに含む、請求項7に記載の方法。
  9. プラズマシステムが、下流遠隔プラズマシステム、誘導結合プラズマシステム、容量結合プラズマシステム、反応性イオンエッチングプラズマシステム、大気プラズマシステム、又はイオンエッチングプラズマシステムである、請求項7又は8に記載の方法。
  10. コーティングが、4~5ミクロンの厚さを有する、請求項7又は8に記載の方法。
JP2021075615A 2013-11-21 2021-04-28 プラズマシステム中に使用されるチャンバー部品用の表面コーティング Active JP7269278B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361907214P 2013-11-21 2013-11-21
US61/907,214 2013-11-21
JP2016533168A JP2016540889A (ja) 2013-11-21 2014-11-21 プラズマシステム中に使用されるチャンバー部品用の表面コーティング

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2016533168A Division JP2016540889A (ja) 2013-11-21 2014-11-21 プラズマシステム中に使用されるチャンバー部品用の表面コーティング

Publications (3)

Publication Number Publication Date
JP2021130875A JP2021130875A (ja) 2021-09-09
JP2021130875A5 JP2021130875A5 (ja) 2022-01-17
JP7269278B2 true JP7269278B2 (ja) 2023-05-08

Family

ID=52278737

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2016533168A Ceased JP2016540889A (ja) 2013-11-21 2014-11-21 プラズマシステム中に使用されるチャンバー部品用の表面コーティング
JP2021075615A Active JP7269278B2 (ja) 2013-11-21 2021-04-28 プラズマシステム中に使用されるチャンバー部品用の表面コーティング

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2016533168A Ceased JP2016540889A (ja) 2013-11-21 2014-11-21 プラズマシステム中に使用されるチャンバー部品用の表面コーティング

Country Status (8)

Country Link
US (1) US11764037B2 (ja)
EP (1) EP3071726B1 (ja)
JP (2) JP2016540889A (ja)
KR (3) KR20220002721A (ja)
CN (2) CN115094377A (ja)
SG (1) SG10201804237VA (ja)
TW (2) TW202208651A (ja)
WO (1) WO2015077601A1 (ja)

Families Citing this family (249)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
WO2013023029A2 (en) 2011-08-10 2013-02-14 Entegris, Inc. Aion coated substrate with optional yttria overlayer
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
WO2016148739A1 (en) 2015-03-18 2016-09-22 Entegris, Inc. Articles coated with fluoro-annealed films
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
WO2019053925A1 (ja) * 2017-09-12 2019-03-21 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置及びプログラム
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
KR102642616B1 (ko) * 2018-12-15 2024-03-05 엔테그리스, 아이엔씨. 불소 이온 주입 방법 및 시스템
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112899617B (zh) * 2019-12-04 2023-03-31 中微半导体设备(上海)股份有限公司 形成耐等离子体涂层的方法、装置、零部件和等离子体处理装置
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010535288A (ja) 2007-08-02 2010-11-18 アプライド マテリアルズ インコーポレイテッド イットリウム含有保護皮膜による半導体処理装置の被覆方法
JP2011509343A (ja) 2007-12-21 2011-03-24 アプライド マテリアルズ インコーポレイテッド プラズマチャンバ部品のための酸化皮膜を有するエロージョン耐性イットリウム含有金属
JP2013063644A (ja) 2011-08-26 2013-04-11 Toyobo Co Ltd ガスバリア性フィルム

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4950558A (en) * 1987-10-01 1990-08-21 Gte Laboratories Incorporated Oxidation resistant high temperature thermal cycling resistant coatings on silicon-based substrates and process for the production thereof
AU2211488A (en) 1987-10-01 1989-04-06 Gte Laboratories Incorporated Oxidation resistant, high temperature thermal cyling resistant coatings on silicon-based substrates and process for the production thereof
US4931756A (en) 1988-04-08 1990-06-05 Energy Conversion Devices, Inc. High power microwave transmissive window assembly
US5616208A (en) * 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
US7311797B2 (en) 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
JP3650772B2 (ja) 2002-12-17 2005-05-25 松下電器産業株式会社 プラズマ処理装置
JP4031732B2 (ja) * 2003-05-26 2008-01-09 京セラ株式会社 静電チャック
US20050241669A1 (en) * 2004-04-29 2005-11-03 Tokyo Electron Limited Method and system of dry cleaning a processing chamber
JP5046480B2 (ja) * 2004-09-24 2012-10-10 京セラ株式会社 耐食性部材とその製造方法、およびこれを用いた半導体・液晶製造装置用部材
US20070028842A1 (en) * 2005-08-02 2007-02-08 Makoto Inagawa Vacuum chamber bottom
US7446284B2 (en) * 2005-12-21 2008-11-04 Momentive Performance Materials Inc. Etch resistant wafer processing apparatus and method for producing the same
JP5031259B2 (ja) * 2006-04-27 2012-09-19 京セラ株式会社 耐食性部材とその製造方法およびこれを用いた半導体・液晶製造装置
EA017305B1 (ru) 2007-04-20 2012-11-30 ДСМ АйПи АССЕТС Б.В. Новые аспарагиназы и их применение
JP2009280483A (ja) 2008-04-25 2009-12-03 Kyocera Corp 耐食性部材およびその製造方法ならびに処理装置
CN102676989A (zh) * 2011-03-11 2012-09-19 鸿富锦精密工业(深圳)有限公司 镀膜件及其制备方法
WO2013023029A2 (en) 2011-08-10 2013-02-14 Entegris, Inc. Aion coated substrate with optional yttria overlayer
US9034199B2 (en) * 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9394615B2 (en) * 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US9138864B2 (en) * 2013-01-25 2015-09-22 Kennametal Inc. Green colored refractory coatings for cutting tools
US9123651B2 (en) * 2013-03-27 2015-09-01 Lam Research Corporation Dense oxide coated component of a plasma processing chamber and method of manufacture thereof
US11346006B2 (en) * 2019-11-27 2022-05-31 University Of Central Florida Research Foundation, Inc. Rare-earth doped thermal barrier coating bond coat for thermally grown oxide luminescence sensing

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010535288A (ja) 2007-08-02 2010-11-18 アプライド マテリアルズ インコーポレイテッド イットリウム含有保護皮膜による半導体処理装置の被覆方法
JP2011509343A (ja) 2007-12-21 2011-03-24 アプライド マテリアルズ インコーポレイテッド プラズマチャンバ部品のための酸化皮膜を有するエロージョン耐性イットリウム含有金属
JP2013063644A (ja) 2011-08-26 2013-04-11 Toyobo Co Ltd ガスバリア性フィルム

Also Published As

Publication number Publication date
EP3071726A1 (en) 2016-09-28
CN115094377A (zh) 2022-09-23
KR20220002721A (ko) 2022-01-06
CN106414789A (zh) 2017-02-15
EP3071726B1 (en) 2022-12-28
TWI751098B (zh) 2022-01-01
SG10201804237VA (en) 2018-06-28
KR20230044030A (ko) 2023-03-31
KR20160088357A (ko) 2016-07-25
JP2021130875A (ja) 2021-09-09
WO2015077601A1 (en) 2015-05-28
US11764037B2 (en) 2023-09-19
US20170032942A1 (en) 2017-02-02
TW201522712A (zh) 2015-06-16
JP2016540889A (ja) 2016-12-28
TW202208651A (zh) 2022-03-01

Similar Documents

Publication Publication Date Title
JP7269278B2 (ja) プラズマシステム中に使用されるチャンバー部品用の表面コーティング
US9966232B2 (en) Ultra-high speed anisotropic reactive ion etching
TWI680509B (zh) 用於高深寬比圓筒狀物蝕刻的側壁鈍化層之沉積技術
US20180327892A1 (en) Metal oxy-flouride films for chamber components
KR100644176B1 (ko) 고 유전상수 재료에 대해 증착실을 세정하는 방법
TWI381438B (zh) 半導體處理裝置之清潔方法及矽基板之蝕刻方法
JP2019033249A (ja) 単一処理チャンバー内の半導体膜からの酸化物及び炭素の除去のための装置及び方法
US20040014327A1 (en) Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US20080216958A1 (en) Plasma Reaction Apparatus Having Pre-Seasoned Showerheads and Methods for Manufacturing the Same
KR20160067742A (ko) 고 종횡비 실린더 에칭을 위해 측벽 패시베이션을 증착하기 위한 기법
JP2009503270A (ja) 表面沈着物を除去するためのnf3の使用方法
KR101953044B1 (ko) 규소 화합물용 에칭 가스 조성물 및 에칭 방법
WO2006059851A1 (en) Method and apparatus for manufacturing semiconductor
CN108493105B (zh) 二氧化硅薄膜及其制备方法
CN116130356A (zh) 使用沉积工艺和刻蚀工艺的工件处理
EP2148361A1 (en) Dry etching apparatus and dry etching method
TW201013819A (en) Method to pre-heat and stabilize etching chamber condition and improve mean time between clean
US20230386795A1 (en) Surface coating for chamber components used in plasma systems
Kim et al. Investigation of oxide layer removal mechanism using reactive gases
CN108389780B (zh) 氮化硅薄膜及其制备方法
Zhang et al. A modified inductively coupled plasma for high-speed, ultra-smooth reactive phase etching of silica glass
CN105304465B (zh) 工艺室、制备工艺室的方法和操作工艺室的方法
Kim et al. Characterization of germanium dry etching using inductively coupled BCl 3 plasma
Kwon et al. HYDROGEN INDUCED POLARIZATION DEGRADATION OF SrBi₂Ta₂O, THIN FILM CAPACITORS IN PLASMA ETCHING
JP2003504835A (ja) 基板の低エネルギー電子促進エッチング方法および装置

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210527

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210527

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220106

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220517

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220722

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20221004

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221223

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230322

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230421

R150 Certificate of patent or registration of utility model

Ref document number: 7269278

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150