JP2011509343A - プラズマチャンバ部品のための酸化皮膜を有するエロージョン耐性イットリウム含有金属 - Google Patents

プラズマチャンバ部品のための酸化皮膜を有するエロージョン耐性イットリウム含有金属 Download PDF

Info

Publication number
JP2011509343A
JP2011509343A JP2010539435A JP2010539435A JP2011509343A JP 2011509343 A JP2011509343 A JP 2011509343A JP 2010539435 A JP2010539435 A JP 2010539435A JP 2010539435 A JP2010539435 A JP 2010539435A JP 2011509343 A JP2011509343 A JP 2011509343A
Authority
JP
Japan
Prior art keywords
oxide
metal
yttrium
alloy
oxide film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2010539435A
Other languages
English (en)
Other versions
JP5408827B2 (ja
JP2011509343A5 (ja
Inventor
ジェニファー ワイ サン
リー シュー
ケネス エス コリンズ
トーマス グラベス
レングアン グアン
セン サチ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2011509343A publication Critical patent/JP2011509343A/ja
Publication of JP2011509343A5 publication Critical patent/JP2011509343A5/ja
Application granted granted Critical
Publication of JP5408827B2 publication Critical patent/JP5408827B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • Y10T428/263Coating layer not in excess of 5 mils thick or equivalent
    • Y10T428/264Up to 3 mils
    • Y10T428/2651 mil or less

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Other Surface Treatments For Metallic Materials (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

化学的に活性なプラズマによるコロージョン又はエロージョンに耐性の物品及びその物品を形成するための方法について記載する。物品は金属又は合金基体を含み、その表面上にその金属又は合金の酸化物の皮膜を有する。酸化物皮膜の構造は本来柱状である。酸化物を構成する結晶の粒径は、酸化物皮膜と金属又は合金基体との界面より酸化物皮膜の表面でより大きい。また、酸化物皮膜は、酸化物皮膜と金属又は合金基体との界面で圧縮状態にある。典型的には、金属は、イットリウム、ネオジウム、サマリウム、テルビウム、ジスプロシウム、エルビウム、イッテルビウム、スカンジウム、ハフニウム、ニオビウム又はこれらの組み合わせから成る群から選択される。

Description

関連出願
本願は、以下の出願:2007年4月27日出願のサン(Sun)らの特許出願第11/796210号「Method of Reducing The Erosion Rate Of Semiconductor Processing Apparatus Exposed To Halogen−Containing Plasmas」(現在、係属中)、2007年4月27日出願のサンらの米国特許出願第11/796211号「Method And Apparatus Which Reduce The Erosion Rate Of Surfaces Exposed To Halogen−Containing Plasmas」(現在、係属中)及び2007年8月2日出願のサンらの米国特許出願第11/890156号「Plasma−resistant Ceramics With Controlled Electrical Resistivity」(現在、係属中)に関連する。これらの特許及び特許出願全ての主題は、参照により本明細書に組み込まれる。
背景
1.分野
本発明の実施形態は、イットリウム金属基体を含むエロージョン耐性半導体処理部品に関する。このような部品を作製するための方法についても記載する。
2.背景
この項では、本発明で開示の実施形態に関連した背景となる主題について説明する。この項で論じる背景技術が先行技術を法的に構成すると表明又は含意する意図はない。
コロージョン(エロージョンを含む)耐性は、腐食性の環境が存在する半導体処理チャンバで使用される装置部品及びライナにとって欠くことのできない特性である。腐食性のプラズマは、プラズマ化学気相蒸着(PECVD)及び物理気相蒸着(PVD)を含む大多数の半導体処理環境に存在しているが、最も腐食性が高いプラズマ環境は、処理装置の清浄化に使用されるもの及び半導体基板のエッチングに使用されるものである。これは高エネルギーのプラズマが存在し且つ化学反応性と共にその環境内の部品の表面に作用する場合に特に当てはまる
電子デバイス及び微小電子機械システム(MEMS)の作製に使用される処理チャンバ内に存在する処理チャンバライナ及び部品装置は、アルミニウム及びアルミニウム合金から構成されることが多い。処理チャンバ及び部品装置(チャンバ内に存在)の表面を陽極酸化させることにより、腐食性の環境からある程度保護することが多い。しかしながら、陽極酸化層の完全性はアルミニウム又はアルミニウム合金中の不純物によって低下する場合があり、コロージョンが早期に始まり、保護皮膜の寿命は短くなる。酸化アルミニウムのプラズマ耐性は、その他のセラミック材料と比較すると確実なものではない。このため、様々な組成のセラミック皮膜が、上記の酸化アルミニウム層の代わりに使用されており、場合によっては、陽極酸化層の表面上に使用することにより、その下のアルミニウム系材料をより高く保護する。
酸化イットリウムは、半導体デバイスの作製に使用される類のハロゲン含有プラズマに曝露されるアルミニウム及びアルミニウム合金表面の保護において極めて将来性が高いと判明している材料である。酸化イットリウム皮膜を、高純度アルミニウム合金処理チャンバ表面の陽極酸化表面又は処理部品表面上に使用及び適用することにより、コロージョンから高く保護している(例えば、上記のサンらによる米国特許第6777873号)。保護皮膜は、例えば溶射、物理気相蒸着(PVD)又は化学気相蒸着(CVD)等の方法を使用して適用することができる。
装置部品のチャンバ壁又はライナの基体母材は、セラミック材料(Al、SiO、AIN等)、アルミニウム、ステンレススチール、その他の金属又は合金であってもよい。そのいずれもが、母材上に溶射膜を有し得る。この膜は、周期表のIII−B族元素の化合物(Y等)から形成することができる。この膜は実質的に、Al及びYを含むことができる。イットリウム・アルミニウム・ガーネット(YAG)の溶射膜も以前に言及されている。溶射膜の厚さの例は50μm〜300μmである。
コロージョン及びエロージョン耐性を付与するために酸化イットリウム含有膜を溶射したアルミニウム及びアルミニウム合金には問題がある。酸化イットリウム含有膜表面はアルミニウム、アルミニウム合金又は陽極酸化アルミニウムの表面よりコロージョン/エロージョン耐性が高いが、この耐性は、純粋な酸化イットリウム焼結部品の耐性よりはるかに低い。しかしながら、純粋な焼結酸化イットリウムのチャンバライナ又は部品の導電性は、アルミニウムのレベルの導電性が望ましい場合に不利である。純粋な焼結酸化イットリウムの機械的性質は、それほど脆くはないアルミニウムと比較すると不利であり、例えば、アルミニウムはより良好な引張強度、降伏強度及び曲げ強度を示す。
コロージョン耐性もエロージョン耐性も高い表面を提供する一方で、アルミニウムに匹敵する電気的性質及び機械的性質も提供する改良された材料が、半導体業界において求められている。
上記の具体的な説明及び例示的な実施形態の詳細な説明を参照して、本発明の例示的な実施形態を達成する方法が明快となり且つ詳細に理解できるように、出願人は図面を用意した。これらの図面が、本発明を理解するのに必要な場合にだけ提供され、また開示の主題の独創的な特徴が曖昧にならないように特定の周知の処理及び装置を本願では説明しないことを理解すべきである。
金属イットリウム基体の上部を酸化イットリウム(Y)に転化する際の時間及び温度を示すグラフ100である。 酸化イットリウム保護層202で覆われたイットリウム金属基体201を示す顕微鏡写真200である。 プラズマ溶射法によって形成された従来技術の酸化イットリウム皮膜の粗い表面(図3A)と、本発明の熱酸化法によって成長させた酸化イットリウム皮膜の滑らかな表面(図3B)との違いを示す。 機械加工により金属イットリウム基体を貫通する開口部を形成した領域における、金属イットリウム基体の表面上の保護酸化イットリウム皮膜の成長の様々な態様を示す。 機械加工により形成された2つの貫通する開口部を備えたイットリウム金属試片の上面の光学顕微鏡画像である。一方の開口部は直径約2mmに穿孔された。第2の開口部は、直径約1mmに穿孔された。 図4Aの試片の上面の光学顕微鏡画像である。ただしこの画像はイットリウム金属の表面を熱酸化して酸化イットリウム保護層を形成した後のものである。 図4Bの熱酸化処理後の直径1mmの開口部402の拡大図である。 図4Cの座ぐりをつけた開口部402の側部断面顕微鏡写真であり、開口部402の座ぐりをつけた上部開口領域436から下部432に亘って形成された連続的で均一な酸化イットリウム皮膜412を示す。 図2の酸化イットリウム皮膜202とイットリウム金属基体201との界面203と同じ画像であり、また、イットリウム金属表面の粗い表面426及び酸化イットリウム皮膜の滑らかな表面424を示すようにマークされている。 イットリウム金属基体502のある領域の側部断面の顕微鏡写真500であり、界面501及び酸化イットリウム皮膜504も写っている。 図5Aより離れた距離から撮影した酸化イットリウム皮膜504のある領域の側部断面の顕微鏡写真510であり、酸化イットリウム皮膜の全体のテクスチャをより良く示す。 図5Aより近い距離から撮影した酸化イットリウム皮膜504のある領域の側部断面の顕微鏡写真520であり、酸化イットリウム皮膜結晶一般の柱状構造522をより良く示す。 イットリウム/アルミニウム合金(化合物)及びこれらの合金から熱酸化温度約525℃で生成される酸化物の組成を示す相図600である。
説明
本発明の実施形態は、熱酸化処理によって形成された酸化イットリウム含有皮膜を表面上に有する特殊イットリウム金属及びイットリウム合金基体に関する。このような材料は、半導体及びMEMSデバイスの製造で直面する類の処理環境で使用することができる。一態様において、金属イットリウム及び熱酸化によって生成された酸化イットリウムは、ベース基体金属に匹敵する同様の熱膨張係数を有するため、皮膜とその下の基体との界面が改善されたものとなる。この結果、金属イットリウムと酸化イットリウム皮膜との間に生じる応力が少なくなり、部品の性能寿命が延びる。一態様において、金属イットリウム及び熱酸化によって生成される酸化イットリウムは同様の熱伝導性も示し、これが半導体及びMEMS処理作業中の部品全体の温度均一性を改善する。
本発明による、熱酸化処理によって形成される酸化イットリウム皮膜は、熱酸化処理後にその下の金属基体を冷却すると、基体によって圧縮状態に置かれることが実験によって判明している。この圧縮により、皮膜表面からその下の基体にかけての距離が伸びるにつれ、酸化物皮膜の多孔性が低下する。同時に、界面が、酸化イットリウム皮膜を例えばアルミニウム基体上に溶射する場合に起こる類の亀裂又はボイドを形成する傾向のない強靭なものとなる。
熱酸化による酸化イットリウム皮膜の形成の驚くべき利点は、例えば陽極酸化処理によって得られるものより厚い皮膜が形成できる能力である。例えば約225μm以上の皮膜厚さを、イットリウム金属のドーピング量に応じて、750℃での15分の短時間のイットリウム金属基体の熱酸化によって得ることができる。これは、約8μm〜9μmの最厚陽極酸化イットリウム皮膜に匹敵する。
本発明の実施形態では、従来技術で既知のものより厚い皮膜を形成することができる。例えば、例えば1μm〜約500μm、典型的には約10μm〜約400μmの厚さを有する皮膜は、熱酸化処理中に使用される温度プロファイルに依存する。一実施形態において、有利な温度プロファイルは、初期にイットリウム金属(合金を含む)を望ましい最高温度にまで迅速に加熱し、基体をある時間に亘ってその最高温度で保持し、次に基体温度を、酸化イットリウムの生成速度がほぼ停止するところにまで段階的に低下させるようなものである。
この温度プロファイルは、形成中の酸化イットリウム皮膜の上面に粒径の大きい酸化イットリウム結晶を有することが有利であるという発明者の発見に基づいており、これはイットリウム金属基体内への酸素の移動が良好になるからである。更に、イットリウム金属基体との界面に粒径の小さい酸化イットリウム結晶を有することが、界面での安定性を得るあたって有利である。本発明の実施形態の1つにおいて、熱酸化処理中の温度プロファイルは、形成中の酸化イットリウム皮膜の上面に粒径の大きい酸化イットリウム結晶が得られるように設定される。別の実施形態において、熱酸化処理中の温度プロファイルは、イットリウム金属基体との界面に粒径の小さい酸化イットリウム結晶が得られるように設定される。
一実施形態において、イットリウム金属基体は急速に(例えば、約25℃/分の速度だが、これに限定されない)約700℃〜約1050℃の範囲の最高温度に加熱される。次に、作製中の部品をある時間に亘ってその最高温度で保持し、その間に粒径の大きい結晶が成長する。この時間の長さは、より小さい粒径の酸化イットリウム結晶が成長する時間の約1%〜約5%である。結晶形成の仕組みに従って、小さい結晶は大きい結晶の下で成長し、これについては本願において後に説明する。温度低下中に形成される小さい粒径の酸化イットリウム結晶は、処理温度の低下に従って、温度が約400℃に達するまで、だんだん小さくなっていく。低温では、酸化イットリウムの結晶成長は著しく緩慢である。
厚い酸化イットリウム皮膜(例えば、平均厚さが約225μm以上)を形成する驚くべき能力は、形成される酸化イットリウム結晶の特殊な粒界構造に起因すると考えられるが、これはこの粒界構造が、酸化処理中の酸素透過のためのチャネルを提供するからである。表面から酸化物と金属との界面への酸素の拡散方法には2種類ある。1つは粒界拡散であり、もう1つは結晶粒拡散(grain diffusion)(格子/ボイド)である。微量元素をイットリウム金属にドープすることによって又は熱酸化処理雰囲気中の酸素分圧を制御することによって、優先拡散方法を制御することができる。例えば、微量元素を高純度のイットリウム金属にドープすることによって、粒界拡散をより速い酸素拡散経路に調節することができ、所定の望ましい酸化イットリウム(イットリア)層厚さをイットリウム金属基体上に得ることができ、この厚さは基本的に熱酸化時間に左右される。粒界拡散と比較して、結晶粒拡散(格子/ボイド)酸化イットリウム成長速度は緩慢である。しかしながら、結晶粒拡散速度(ボイド拡散)は、熱酸化雰囲気中の酸素分圧を最適化することによって改善することができ、これがイットリア層におけるより多くの酸素空孔の形成につながる。
典型的には、イットリウム金属基体又はイットリウム金属の合金の基体に添加されるドーパントの量は、約0.1重量%未満である。ドーパント(微量元素)をイットリウム金属又はイットリウム合金基体に添加する場合、酸化周囲環境に存在する酸素の量は約5体積%〜約25体積%である。特に効果的な酸化周囲環境は、約10体積%の酸素を含有する。ドーパントをイットリウム金属又はイットリウム合金基体に添加しない場合、酸化周囲環境中に存在する酸素の量は約5体積%〜100体積%である。約21体積%の酸素である空気がよく機能する。これは、周囲環境中の酸素の分圧が低い場合、酸素がイットリウム金属又はイットリウム合金内により早く浸み込む傾向があるからである。しかしながら、酸化物生成速度は遅い。酸素の分圧が高い場合、酸素はゆっくりと浸み込むが、より多くの酸素があることから、酸化物生成速度は速くなる。ドーパントを添加し、周囲環境中の酸素の分圧を低くすることによって、製造上の許容範囲内の酸化物生成速度を依然として得ながら、酸化物と基体との界面で望ましい小さい粒径の結晶を得ることができる。
低酸化温度では、酸化物を生成するための酸素と金属との反応速度が遅くなる。更に、酸化処理が一旦完了し、部品を室温に戻すと又は部品が周囲半導体若しくはMEMS処理条件下(典型的には約400℃未満の温度)にある場合、酸化イットリウムはその下のイットリウム金属基体による圧縮下にある。イットリウム金属との界面の領域における酸化イットリウム粒径を調整することによって、圧縮度を、イットリア結晶をイットリウム金属基体の表面へと半導体処理反応種が下に移動するのを実質的に減少させる(ほぼ防止する)に十分なものにすることができる。同時に、圧縮度は、酸化イットリウムが破砕されたりイットリウム金属基体の表面から剥離するほど大きくない。
例示的実施形態の詳細な説明
詳細な説明の序文として、本明細書及び付随する請求項において使用の単数形の冠詞は、そうではないとの明確な記載がない限り複数の場合も含むことに留意すべきである。
本願において「約」という語を使用する場合、これは記載の公称値が±10%以内で正確であることを意味する。
円滑な理解のために、可能な限り、図に共通する同一の要素は同一の参照番号を用いて表した。一実施形態における要素及び構成を、特に記載することなくその他の実施形態で便宜上利用する場合がある。添付の図面は本発明の例示的な実施形態しか図示していないことに留意されたい。図面は、実施形態の理解に特に有用である。全ての実施形態がその理解のために図面を必要とするわけではなく、本発明はその他の同等に効果的な実施形態も認め得ることから、図面が本発明の範囲を限定するとはみなされない。
一実施形態において、熱酸化処理によって形成された酸化イットリウム含有皮膜を表面上に有する特殊イットリウム金属及びイットリウム合金基体が、半導体及びMEMSデバイスの製造において直面する類の処理環境での使用のために形成される。金属イットリウム及び熱酸化によって生成される酸化イットリウムは同様の熱膨張係数を有し、これによって皮膜とその下の基体との界面が改善される。金属イットリウム及び熱酸化によって生成される酸化イットリウムは同様の熱伝導性を示し、これが半導体及びMEMS処理作業中の部品全体の温度均一性を改善する。この結果、金属イットリウムと酸化イットリウム皮膜との間に発生する応力が小さくなり、部品の性能寿命が伸びる。
以下の表1は、金属イットリウムと、半導体処理装置部品の作製に使用されてきたその他の金属材料との特性を比較したものである。当業者なら、この表をみて、一連のその他の材料と比較した場合の金属イットリウムを使用するメリットとデメリットを見て取ることができる。機械的性質という観点から言うと、イットリウム金属は、半導体処理機器用のベース基体材料として使用される多数のその他の材料と同様である。イットリウム金属の電気抵抗率は、アルミニウム又はアルミニウム合金と比較して極めて高いが、ハスタロイ(Hastalloy、登録商標)C276、チタン合金及びSST316より良好である。表2に記載の酸化イットリウムの電気抵抗率は、ドーパント材料(酸化ジルコニウム、酸化ハフニウム、酸化スカンジウム、酸化ニオブ、酸化サマリウム、酸化イッテルビウム、酸化エルビウム、酸化セリウム、酸化ネオジム、酸化テルビウム、酸化ジスプロシウム及びこれらの組み合わせ等)を酸化前にイットリウム金属に添加するによって低下させることができる。
Figure 2011509343
Figure 2011509343
表2は、金属イットリウムと酸化イットリウムとの特性を比較したものである。線膨張率における2つの材料間の違いが、金属イットリウムの表面上にくる酸化イットリウム層を圧縮状態で置くに十分であることを容易に見て取ることができる。同時に、酸化イットリウム層をイットリウム金属基体の表面上に熱を利用して形成した場合に、膨張係数のこの違いが2つの材料間の界面で問題を起こさないことが判明している。
Figure 2011509343
金属イットリウムを熱酸化によって酸化イットリウムに転化する場合、2つのイットリウム金属のセル(各セルは8個のイットリウム原子を含有し、全部で16個のイットリウム原子となる)は1つの酸化イットリウムセルに変化する(Yを含み、また全部で16個のイットリウム原子と24個の酸素原子を含有する)。これは体膨張の過程であるが、膨張率はアルミニウムからAlに変化する場合より小さい。2モルの金属イットリウムを1モルのYに熱酸化により転化させる場合、体積は5.140cm増加する。2モルの金属イットリウムを1モルのYに熱酸化により転化させる場合、重量は2.858g増加する。以下の表3は、金属イットリウム及び酸化イットリウムの結晶構造の理論計算を示す。
Figure 2011509343
上記の計算から、Yが圧縮応力の状態にあることを見て取ることができる。
実施例1
図1は、試験片(寸法:1〜4インチ(2.5cmx10.1cm)x1〜4インチ(2.4cmx10.1cm)x厚さ約0.1インチ(0.25cm)〜0.25インチ(0.6cm)を有する)の表面上で金属イットリウムから酸化イットリウム(Y)に転化する際の処理時間及び温度を示すグラフ100である。試験片は、イットリウム金属の大きな棒材から作製され、上記の寸法に機械加工された。機械加工された試験片の平均表面粗さは約0.1μmRaであったが、最高1.0μmRaの表面粗さまで良好な結果が得られた。これは、本発明の熱酸化処理を使用したイットリウム金属基体表面上へのイットリウム含有酸化物生成方法の驚くべき利点である。図2から見て取ることができるように、イットリウム金属基体と基体表面上の熱酸化によって形成された酸化イットリウム皮膜との界面にはボイドや亀裂がなく、イットリウム金属の表面に沿っている。
別の驚くべき結果は、酸化物の生成速度が比較的線形だったことである。理論であって限定するものではないが、まるで酸素原子が粒界に沿って移動し、結晶構造を下に進むかのように見える。このようにして、酸素は粒界を下方向に移動し続けるため、構造の上から絶えることなく成長が起こる。これは例えば陽極酸化処理とは異なり、陽極酸化処理は拡散律速であり且つ化学反応物質が結晶構造を下に拡散して事前に形成された反応済み材料のベースと反応することを必要するように見える。陽極酸化の場合、陽極酸化層の典型的な最大厚さは約8μmである。
この実施例1で使用の基体は、微量元素をドープしたイットリウム金属であり、微量金属含有量は0.1重量%未満であった(一般に、イットリウム金属又はイットリウム合金に添加されるドーパントの量は約0.1重量%未満である)。特に、20ppmのマグネシウム、100ppmのアルミニウム、200ppmの銅及び500ppmのカルシウムを純粋なイットリウム金属に添加して基体を形成した。このドーピングを通して、形成される酸化イットリウム層の粒界構造が酸素拡散経路として調節され、酸化物の表面から酸化物とその下の金属基体との界面に向かう酸素の拡散速度が速くなる。酸化物層の厚さと熱処理時間との関係は比例関係である。一般に、これらのドーパントにとって有利な濃度範囲はマグネシウムが10重量ppm〜30重量ppm、アルミニウムが10重量ppm〜110重量ppm、銅が50重量ppm〜300重量ppmであり、カルシウムが10重量ppm〜800重量ppmである。
試験片を熱酸化炉(モデル番号:55031−12、カリフォルニア州サンタクララのアプライドマテリアルズ社から入手可能)に入れる。炉内の周囲環境は空気であった。その他のガス組成物(酸素含有量が、空気の21体積%より低い又は高い)も同様に使用することができる。上述したように、酸素含有量は約5体積%から最高で100体積%となる。ドーパントを使用する場合、酸素はより速く浸み込み、酸素含有量は約5体積%〜約15体積%である。
図1の時間/温度プロファイルは、純粋なイットリウム金属基体とその上の酸化イットリウム保護層を有する部品についての現時点で最もよく知られたプロファイルである。時間/温度プロファイルは実験に基づく。より高い温度である例えば750℃において、酸化イットリウムの結晶成長は急速である。しかしながら、この結晶成長は、平均粒径が約5μm〜約50μmと大きくなるようなものである。六方晶のイットリウム金属基体とその上の立方晶の酸化イットリウム保護層との界面では、この界面でのひずみを軽減するために、立方晶の酸化イットリウム結晶の粒径がより小さいことが好ましい。
前述したように、酸化イットリウムの結晶成長は、酸素原子が酸化イットリウム構造をイットリウム金属結晶界面に向かって移動することで起こる傾向がある。この結果、立方晶の酸化イットリウム結晶の成長がイットリウム金属の結晶構造の表面で絶えず起こる。このため、反応温度が初期に高く、続いて低くなると、イットリウム金属と酸化イットリウムとの界面により小さい立方晶の酸化イットリウム結晶が存在するようになり、立方晶のイットリウム金属基体とその上の六方晶の酸化イットリウム層との間のひずみが軽減される。図1は、イットリウム金属基体の表面上への酸化イットリウム保護層の形成に使用される熱酸化処理を示すグラフ100である。処理温度は軸104上に℃で示され、処理時間は分単位で軸102上に示される。最初は、イットリウム金属基体を約25℃/分の急速度で約29分かけて加熱することによって、イットリウム金属基体の温度を室温から約750℃へと、グラフ100の106のように上昇させる。次に、グラフ100の108のように、温度を約750℃に約15分間に亘って維持することによって、大きな粒径の酸化イットリウム結晶の急速な初期成長を得る。続いて、グラフ100の110のように、温度を約5℃/分の速度で約70分かけて約400℃にまでよりゆっくりと低下させる。400℃で、酸化イットリウムの結晶成長は極めて緩慢になり、事実上、ほとんど停止する。続いて、グラフ100の112のように、酸化イットリウム保護層をその上に有する基体のイットリウム金属を、約25℃/分で400℃から室温(約25℃)へと急速に約15分かけて冷却する。
一般に、金属又は合金基体を約20℃/分〜100℃/分の急速度で加熱することによって、基体の温度を室温から保持温度(約700℃〜約1050℃)にまで上昇させる。基体温度を、熱酸化のために、その保持温度で約5分〜約5時間に亘って保持することによって、大きな粒径の酸化物結晶の急速な初期成長が得られる。続いて、基体の温度をよりゆっくり(速度約10℃/分〜約0.5℃/分)と約400℃以下にまで低下させることによって、より小さい粒径の酸化物結晶を成長させる。基体の温度が一旦約400℃以下になったら、基体を約25℃/分〜約35℃/分の冷却速度でより急速に冷却することによって、基体を周囲温度に戻す。
従来、酸化イットリウムの層を電解法を使用して形成した場合、イットリウム金属表面上で得られる酸化イットリウムの最大厚さは例えば約5.5μm〜約8μmであったが、これは反応が、酸化物厚さが上昇するにつれ、実質的に緩慢になるからである。本方法はそれほど限定されてはおらず、上で説明し且つ図1に示したやり方で処理されたイットリウム金属基体では、イットリウム金属の処理に曝された側の酸化イットリウム皮膜厚さが約200μm〜240μmとなる。基体の一部が酸化処理中に消費され、結晶構造の充填が調節された。この結果、図1に図示のプロファイルを有する熱酸化処理後の基体の厚さ上昇は約32μmであった。
図2は、酸化イットリウム保護層202をその上に有するイットリウム金属基体201の側部断面を示す顕微鏡写真200であり、保護層は図1に図示の時間/温度プロファイルを使用して準備された。酸化反応用の酸素は周囲空気によって供給され、周囲空気はイットリウム金属の酸化中、炉内に絶えることなく流された。顕微鏡写真上の目盛りは300μmを表し、元々のサンプルサイズを100倍に拡大したものである。イットリウム金属の表面203は比較的粗く、均一ではない。しかしながら、酸化イットリウム202はイットリウム金属基体と連続的な界面を形成し、その界面において亀裂、分離又はボイドの存在は見られない。本願に記載の方法によって形成される金属酸化物の典型的な表面粗さは約0.1μmRa〜約10μmRaである。この実施例における、酸化イットリウム皮膜202に関する表面204の平均粗さは約0.81μmRaであった。これは市場で入手可能な競合する酸化イットリウム表面の表面粗さの約3.6分の1であり、また発明者が開発した酸化イットリウム皮膜が、本願に記載の方法を使用して作製した部品を腐食性のプラズマに曝露した場合に、粒子発生における大幅な軽減を示すことを示唆する。
実施例2
プロファイルを調節して、イットリウム金属含有基体の組成における変化を説明する。例えば、基体が純粋な金属ではなく、Nd、Sm、Tb、Dy、Er、Yb、Sc、Hf、Nb及びこれらの組み合わせ(例であって、これらに限定するものではない)から成る群から選択される別の元素を含有する場合がある。イットリウム金属含有基体中に存在し得るその他の元素の量は、0重量%から最高約50重量%である。更に、その他の金属を上で挙げた類の金属の1つとの合金にすることによって、改善された機械的又は電気的性質を得てもよい。例えば、アルミニウムを上で挙げた金属又は金属の組み合わせとの合金にする。
図6は、イットリウムとアルミニウムとの合金についての相図600である。生成される化合物は、合金を構成するイットリウムとアルミニウムの相対量に左右される。熱酸化で生成される酸化物は、酸化される化合物に左右される。例えば、合金中のイットリウム含有量が約25原子%である場合、生成される化合物はYAl(601)であり、527℃で生成される酸化物はAl12(602)、AlYO(604)及びAl(606)である。合金中のイットリウム含有量が約33原子%の場合、生成される化合物はYAl(603)であり、生成される酸化物はAl(606)及びY(608)である。イットリウム濃度約50原子%以上、527℃で、酸化イットリウムが生成される。
熱酸化処理に関する有利な時間/温度プロファイルの一般的な形状は、図1に図示のグラフの106、108、110、112部位として、イットリウム合金に関してほとんど同じままである。しかしながら、最良の結果を得るために、使用する最高温度、加熱/冷却速度が若干異なる場合もある。本明細書を読んだ当業者なら、本願に記載の概念に従って、イットリウム合金についての結晶成長プロファイルを、最低限の実験しか必要とすることなく、最適化することができる。
実施例3(比較例)
図3A及び3Bは、当該分野で既知の類のプラズマ溶射法を使用して形成される従来の酸化イットリウム皮膜の粗い表面302(図3A)と、本発明の熱酸化法によって成長させた酸化イットリウム皮膜の滑らかな表面(図3B)との違いを示す。
図3Aは、上述のプラズマ溶射法によって形成された酸化イットリウム皮膜の顕微鏡写真300である。これは、本発明が成される前に一般的に使用されていた、酸化イットリウム皮膜の形成方法の1つである。顕微鏡写真上の目盛りは50μmを表す。この酸化イットリウム皮膜の平均表面粗さは約3.11μmRaである。図3Bは、本願に記載の方法を使用して形成した酸化イットリウム皮膜を示す顕微鏡写真310である。この顕微鏡写真上の目盛りは60μmを表す。この酸化イットリウム皮膜の平均表面粗さは約0.86μmRaである。顕微鏡写真300の従来技術の酸化イットリウムの表面のトポグラフィは、従来の酸化イットリウム表面が反応性のプラズマの攻撃を受け易いこと、またプラズマが延びたノード下の接続構造を削りとる場合に、粒子を発生させることを示す。顕微鏡写真310の本発明によって形成される類の酸化イットリウムのトポグラフィは、本発明の方法によって形成される酸化イットリウムの表面が、反応性のプラズマによる攻撃の影響をはるかに受けにくいこと、また微粒子の発生が、完全に回避できないにしても実質的に軽減されることを示す。
実施例4
図4A〜4Eは、機械加工により金属イットリウム基体を貫通する開口部を形成した領域における、金属イットリウム基体の表面上の保護酸化イットリウム皮膜の成長の様々な態様を示す。
図4Aは、機械加工により形成された2つの貫通する開口部402、404を備えたイットリウム金属試片の上面401の光学顕微鏡画像400である。第1開口部402を直径Φ約2mmで穿孔した。第2開口部404を直径Φ約1mmで穿孔した。図4Bは、図4Aの試片の上面411の光学顕微鏡画像410である。ただしこの写真は、本願に記載の方法を使用したイットリウム金属の表面の熱酸化による酸化イットリウム保護皮膜412、414形成後のものである。図4Cは、図4Bに図示の、熱酸化処理後の直径Φ約1mmの開口部の拡大図420である。周囲のイットリウム金属422が酸化イットリウム皮膜424と良好な移行を果たしている。被覆された開口部421の外周426は極めて滑らかであり、酸化イットリウム皮膜424材料とイットリウム金属基体422との間に分離又は間隙は見られない。
図4Dは、座ぐり436及び図4Cの穿孔された開口部402の側部断面顕微鏡写真430であり、開国部402の座ぐりをつけた上部開口領域436から下部432にまで形成された連続的で均一な酸化イットリウム皮膜412を示す。
図4Eは、図2の酸化イットリウム皮膜202とイットリウム金属基体201との界面203と同じ画像であり、またイットリウム金属の粗い表面426及び酸化イットリウム皮膜の滑らかな表面424を示すようにマークされている。
実施例5
図5Aは、イットリウム金属基体502のある領域の側部断面の顕微鏡写真500であり、界面501及び酸化イットリウム皮膜504も写っている。この顕微鏡写真上の目盛りは5μmを表す。図5Bは、図5Aより離れた距離から撮影した(倍率が小さい)、酸化イットリウム皮膜の全体のテクスチャをより良く示す、酸化イットリウム皮膜504のある領域の側部断面の顕微鏡写真510である。この顕微鏡写真上の目盛りは20μmを表す。図5Cは、図5Aより近い距離から撮影した(倍率が大きい)、酸化イットリウム皮膜結晶全般の柱状構造522をより良く示す、酸化イットリウム皮膜504のある領域の側部断面の顕微鏡写真520である。この顕微鏡写真上の目盛りは2.0μmを表す。上述したように、より厚みのある酸化イットリウム膜の形成を可能にするのはこの柱状構造であり、この柱状構造内の結晶粒のサイズを、皮膜の上面で大きくなり、イットリウム金属基体との界面で小さくなるように制御する。
上記は本発明の実施形態についてのものであるが、本発明のその他及び更なる実施形態も本開示に基づいてその基本的な範囲から逸脱することなく創作することができ、本発明の範囲は以下の特許請求の範囲に基づいて定められる。

Claims (26)

  1. 化学的に活性なプラズマによるコロージョン又はエロージョンに耐性の物品であって、
    表面を有する金属又は合金基体と、
    その表面上に存在する、金属又は合金の酸化物を含む酸化物皮膜とを備え、酸化物皮膜の構造が本来柱状であり、酸化物皮膜内の結晶の粒径が、酸化物皮膜と金属又は合金基体との界面より酸化物皮膜の露出面でより大きく、酸化物皮膜が、酸化物皮膜と金属又は合金基体との界面で圧縮状態にある物品。
  2. 金属又は合金がイットリウム、ネオジム、サマリウム、テルビウム、ジスプロシウム、エルビウム、イッテルビウム、スカンジウム、ハフニウム、ニオビウム又はこれらの組み合わせを含む請求項1記載の物品。
  3. 金属又は合金が更にアルミニウムと合金にされる請求項2記載の物品。
  4. 金属がイットリウムである請求項2記載の物品。
  5. 金属又はその組み合わせがイットリウムを含む請求項3記載の物品。
  6. 酸化物皮膜の厚さが約1μm〜約500μmである請求項1記載の物品。
  7. 酸化物皮膜の厚さが約1μm〜約500μmである請求項2記載の物品。
  8. 合金が、酸化ジルコニウム、酸化ハフニウム、酸化スカンジウム、酸化ニオブ、酸化サマリウム、酸化イッテルビウム、酸化エルビウム、酸化セリウム、酸化ネオジム、酸化テルビウム、酸化ジスプロシウム及びこれらの組み合わせから成る群から選択されるドーパントを含む請求項2記載の物品。
  9. 合金が、マグネシウム、アルミニウム、銅、カルシウム及びこれらの組み合わせから成る群から選択されるドーパントを含む請求項2記載の物品。
  10. ドーパントが、マグネシウム、アルミニウム、銅及びカルシウムの組み合わせを含み、マグネシウムの濃度範囲が約10重量ppm〜約30重量ppmであり、アルミニウムの濃度範囲が約10重量ppm〜約110重量ppmであり、銅の濃度範囲が約50重量ppm〜約300重量ppmであり、カルシウムの濃度範囲が約10重量ppm〜約800重量ppmである請求項2記載の物品。
  11. 金属又は合金の酸化物である皮膜の外面が、約0.1μmRa〜約10μmRaの表面粗さを有する請求項1記載の物品。
  12. 金属又は合金の酸化物である皮膜の外面が、約0.1μmRa〜約10μmRaの表面粗さを有する請求項2記載の物品。
  13. 金属又は合金基体を有する物品を作製するための方法であって、
    金属又は合金基体の表面上に酸化物皮膜を形成することを含み、
    酸化物皮膜の形成が、熱酸化処理を利用することを含み、
    熱酸化処理が、
    金属又は合金基体を、酸素を含む周囲雰囲気に曝露し、
    酸化物皮膜を、初期の急速な加熱速度から加熱速度における段階的な低下に至る時間/温度プロファイルを使用して形成することを含む方法。
  14. 時間/温度プロファイルが、
    初期に金属又は合金基体を望ましい最高温度に急速に加熱し、
    基体をその最高温度にて基準時間に亘って保持し、
    酸化物皮膜の形成速度がほぼ停止するまで基体の温度を段階的に低下させることを含む請求項13記載の方法。
  15. 金属又は合金がイットリウム、ネオジム、サマリウム、テルビウム、ジスプロシウム、エルビウム、イッテルビウム、スカンジウム、ハフニウム、ニオビウム又はこれらの組み合わせを含む請求項14記載の方法。
  16. 金属又は合金が更にアルミニウムと合金にされる請求項15記載の方法。
  17. 金属又は合金がイットリウム金属又はイットリウム金属の合金である請求項15記載の方法。
  18. 金属又はその組み合わせがイットリウムを含む請求項16記載の方法。
  19. 急速な初期加熱の速度が約20℃/分〜約100℃/分である請求項14記載の方法。
  20. 基体を保持する最高温度が約700℃〜約1050℃である請求項19記載の方法。
  21. 基体を最高温度で保持する時間が約5分〜約5時間である請求項20記載の方法。
  22. 最高温度からの温度低下速度が、酸化反応がほぼ停止するまで約10℃/分〜約0.5℃/分である請求項21記載の方法。
  23. 酸化反応がほぼ停止する温度が約400℃〜約100℃である請求項22記載の方法。
  24. 酸化反応の停止に続いて、基体を、約25℃/分〜約35℃/分の冷却速度で急速に周囲温度に冷却する請求項23記載の方法。
  25. 金属又は合金がイットリウムを含み、酸化ジルコニウム、酸化ハフニウム、酸化スカンジウム、酸化ニオブ、酸化サマリウム、酸化イッテルビウム、酸化エルビウム、酸化セリウム、酸化ネオジム、酸化テルビウム、酸化ジスプロシウム及びこれらの組み合わせから成る群から選択されるドーパントを添加する請求項15記載の方法。
  26. 金属又は合金がイットリウムを含み、マグネシウム、アルミニウム、銅、カルシウム及びこれらの組み合わせから選択されるドーパントが添加される請求項15記載の方法。
JP2010539435A 2007-12-21 2008-12-10 プラズマチャンバ部品のための酸化皮膜を有するエロージョン耐性イットリウム含有金属 Expired - Fee Related JP5408827B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/004,907 2007-12-21
US12/004,907 US8129029B2 (en) 2007-12-21 2007-12-21 Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
PCT/US2008/013589 WO2009085117A2 (en) 2007-12-21 2008-12-10 Erosion resistant yttrium comprising metal with oxidized coating for plasma chamber components

Publications (3)

Publication Number Publication Date
JP2011509343A true JP2011509343A (ja) 2011-03-24
JP2011509343A5 JP2011509343A5 (ja) 2012-11-29
JP5408827B2 JP5408827B2 (ja) 2014-02-05

Family

ID=40789005

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010539435A Expired - Fee Related JP5408827B2 (ja) 2007-12-21 2008-12-10 プラズマチャンバ部品のための酸化皮膜を有するエロージョン耐性イットリウム含有金属

Country Status (6)

Country Link
US (2) US8129029B2 (ja)
JP (1) JP5408827B2 (ja)
KR (1) KR101289815B1 (ja)
CN (2) CN101903558B (ja)
TW (1) TWI461572B (ja)
WO (1) WO2009085117A2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021130875A (ja) * 2013-11-21 2021-09-09 インテグリス・インコーポレーテッド プラズマシステム中に使用されるチャンバー部品用の表面コーティング

Families Citing this family (368)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7371467B2 (en) * 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8251227B2 (en) * 2010-04-16 2012-08-28 Kellogg Brown & Root Llc Methods and apparatus for separating particulates from a particulate-fluid mixture
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP5578383B2 (ja) * 2012-12-28 2014-08-27 Toto株式会社 耐プラズマ性部材
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
JP6449224B2 (ja) 2013-03-14 2019-01-09 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板上の高純度アルミニウムトップコート
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) * 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US10468235B2 (en) 2013-09-18 2019-11-05 Applied Materials, Inc. Plasma spray coating enhancement using plasma flame heat treatment
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9663870B2 (en) 2013-11-13 2017-05-30 Applied Materials, Inc. High purity metallic top coat for semiconductor manufacturing components
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
KR102243956B1 (ko) 2014-01-31 2021-04-22 어플라이드 머티어리얼스, 인코포레이티드 챔버 코팅들
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10150707B2 (en) 2014-09-05 2018-12-11 Mitsubishi Hitachi Power Systems, Ltd. Method of producing thermal spray powder, manufacture apparatus of thermal spray powder, and thermal spray powder produced by the producing method
KR20160030812A (ko) * 2014-09-11 2016-03-21 삼성전자주식회사 플라즈마 처리 장치
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
KR20190126202A (ko) * 2015-02-13 2019-11-08 엔테그리스, 아이엔씨. 기판 제품 및 장치의 특성 및 성능을 향상시키기 위한 코팅
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
DE102015206377A1 (de) * 2015-04-09 2016-10-13 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Vorrichtung mit einer vielzahl von partikeln und verfahren zum herstellen derselben
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
CN108018516A (zh) * 2016-10-31 2018-05-11 通用电气公司 抗硫腐蚀涂层,包括其的物件,及使用其的方法
CN108018517A (zh) * 2016-10-31 2018-05-11 通用电气公司 抗硫腐蚀涂层,包括其的物件,及使用其的方法
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10975469B2 (en) 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
KR101981387B1 (ko) * 2017-06-13 2019-05-22 강동원 표면 코팅 구조의 원격 플라즈마 소스 블록 생산 방법 및 그에 의한 표면 코팅 구조의 원격 플라즈마 소스 블록
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102016615B1 (ko) * 2017-09-14 2019-08-30 (주)코미코 내플라즈마 특성이 향상된 플라즈마 에칭 장치용 부재 및 그 제조 방법
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP2021506126A (ja) 2017-12-07 2021-02-18 ラム リサーチ コーポレーションLam Research Corporation チャンバ調整における耐酸化保護層
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
US20200385866A1 (en) * 2019-06-08 2020-12-10 Applied Materials, Inc. Rf components with chemically resistant surfaces
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11976357B2 (en) 2019-09-09 2024-05-07 Applied Materials, Inc. Methods for forming a protective coating on processing chamber surfaces or components
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
JP7189371B2 (ja) * 2019-09-30 2022-12-13 京セラ株式会社 プラズマ処理装置用部材およびこれを備えるプラズマ処理装置
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
US10983269B1 (en) * 2019-10-02 2021-04-20 Verrillon, Inc. Optical fibers with two metal coatings surrounding the cladding
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
CN110616396B (zh) * 2019-10-28 2021-03-26 西安特种设备检验检测院 耐微生物腐蚀AlN/Ti陶瓷金属复合涂层的制备方法
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
CN113522688B (zh) * 2020-03-30 2022-12-30 中微半导体设备(上海)股份有限公司 耐等离子体腐蚀部件及其制备方法,等离子体处理设备
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113539771B (zh) * 2020-04-16 2024-04-12 中微半导体设备(上海)股份有限公司 零部件、其表面形成涂层的方法和等离子体反应装置
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN114068273B (zh) * 2020-07-31 2024-04-05 中微半导体设备(上海)股份有限公司 一种零部件及其制备方法和等离子体反应装置
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639584A (zh) * 2020-12-15 2022-06-17 中微半导体设备(上海)股份有限公司 半导体零部件、等离子体处理装置及形成复合涂层的方法
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN113237821B (zh) * 2021-04-26 2023-03-10 江西科技师范大学 一种应用于氧化性高温氯腐蚀环境的钇掺杂Inconel625合金的制备及检测方法
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20240153745A1 (en) * 2022-11-05 2024-05-09 Applied Materials, Inc. Protection treatments for surfaces of semiconductor fabrication equipment

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020110698A1 (en) * 1999-12-14 2002-08-15 Jogender Singh Thermal barrier coatings and electron-beam, physical vapor deposition for making same
US20050100757A1 (en) * 2003-11-12 2005-05-12 General Electric Company Thermal barrier coating having a heat radiation absorbing topcoat

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4070207A (en) * 1976-10-19 1978-01-24 Holdeman Louis B Method of producing porous copper workpieces and product thereof
JPH03287797A (ja) 1990-04-03 1991-12-18 Sumitomo Electric Ind Ltd 耐食部材
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
CA2205052C (en) * 1994-11-09 2001-05-29 Alina C. Aguero Method of producing reactive element modified-aluminide diffusion coatings
US7670688B2 (en) * 2001-06-25 2010-03-02 Applied Materials, Inc. Erosion-resistant components for plasma process chambers
US6815223B2 (en) * 2002-11-22 2004-11-09 Symetrix Corporation Low thermal budget fabrication of ferroelectric memory using RTP
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US8067067B2 (en) 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US6776873B1 (en) 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
US6565984B1 (en) 2002-05-28 2003-05-20 Applied Materials Inc. Clean aluminum alloy for semiconductor processing equipment
US7311797B2 (en) * 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US7135426B2 (en) * 2004-05-25 2006-11-14 Applied Materials, Inc. Erosion resistant process chamber components
DE602006005194D1 (de) * 2005-06-29 2009-04-02 Shinetsu Chemical Co Bauteil aus seltenem Erdmetall mit hochreiner Oberfläche und Verfahren zu dessen Herstellung
EP1845171B1 (de) * 2006-04-10 2016-12-14 Siemens Aktiengesellschaft Verwendung metallischer Pulver mit unterschiedlichen Korngrössen zum Herstellen eines Schichtsystems
US7655328B2 (en) * 2006-04-20 2010-02-02 Shin-Etsu Chemical Co., Ltd. Conductive, plasma-resistant member
CN100408719C (zh) * 2006-05-25 2008-08-06 北京科技大学 一种氧化铬复合涂层的制备方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020110698A1 (en) * 1999-12-14 2002-08-15 Jogender Singh Thermal barrier coatings and electron-beam, physical vapor deposition for making same
US20050100757A1 (en) * 2003-11-12 2005-05-12 General Electric Company Thermal barrier coating having a heat radiation absorbing topcoat

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021130875A (ja) * 2013-11-21 2021-09-09 インテグリス・インコーポレーテッド プラズマシステム中に使用されるチャンバー部品用の表面コーティング
JP7269278B2 (ja) 2013-11-21 2023-05-08 インテグリス・インコーポレーテッド プラズマシステム中に使用されるチャンバー部品用の表面コーティング
US11764037B2 (en) 2013-11-21 2023-09-19 Entegris, Inc. Surface coating for chamber components used in plasma systems

Also Published As

Publication number Publication date
CN101903558B (zh) 2012-07-11
WO2009085117A2 (en) 2009-07-09
US8129029B2 (en) 2012-03-06
WO2009085117A3 (en) 2009-09-17
TWI461572B (zh) 2014-11-21
KR20100099318A (ko) 2010-09-10
JP5408827B2 (ja) 2014-02-05
CN101903558A (zh) 2010-12-01
US20120125488A1 (en) 2012-05-24
CN102732857A (zh) 2012-10-17
TW200946717A (en) 2009-11-16
US8758858B2 (en) 2014-06-24
KR101289815B1 (ko) 2013-07-26
US20090162647A1 (en) 2009-06-25
CN102732857B (zh) 2015-04-29

Similar Documents

Publication Publication Date Title
JP5408827B2 (ja) プラズマチャンバ部品のための酸化皮膜を有するエロージョン耐性イットリウム含有金属
KR101441865B1 (ko) 할로겐-함유 플라즈마에 노출된 표면들의 침식 속도를 감소시키는 장치 및 방법
TW201026632A (en) Protective coatings resistant to reactive plasma processing
JP2002371383A (ja) 耐熱性被覆部材

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111007

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111210

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20111210

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121012

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20121012

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20121121

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130108

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130405

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130412

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130508

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130515

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130605

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130612

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130705

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20131008

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20131104

R150 Certificate of patent or registration of utility model

Ref document number: 5408827

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees