CN101903558B - 具有氧化涂层的抗腐蚀、含钇金属的等离子体室部件 - Google Patents

具有氧化涂层的抗腐蚀、含钇金属的等离子体室部件 Download PDF

Info

Publication number
CN101903558B
CN101903558B CN2008801220603A CN200880122060A CN101903558B CN 101903558 B CN101903558 B CN 101903558B CN 2008801220603 A CN2008801220603 A CN 2008801220603A CN 200880122060 A CN200880122060 A CN 200880122060A CN 101903558 B CN101903558 B CN 101903558B
Authority
CN
China
Prior art keywords
metal
oxide
yttrium
substrate
metal alloy
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2008801220603A
Other languages
English (en)
Other versions
CN101903558A (zh
Inventor
詹尼弗·Y·孙
徐理
肯尼思·S·柯林斯
托马斯·格瑞斯
段仁官
赛恩·撒奇
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101903558A publication Critical patent/CN101903558A/zh
Application granted granted Critical
Publication of CN101903558B publication Critical patent/CN101903558B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • Y10T428/263Coating layer not in excess of 5 mils thick or equivalent
    • Y10T428/264Up to 3 mils
    • Y10T428/2651 mil or less

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Other Surface Treatments For Metallic Materials (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

本发明描述一种可抵抗由化学活性等离子体所导致的侵蚀或腐蚀的物体以及制造该物体的方法。该物体包括金属或金属合金衬底,该衬底的表面上具有涂层,且该涂层为金属或金属合金的氧化物。该氧化物涂层的结构在本质上为柱状。构成氧化物的结晶的晶粒尺寸在氧化物涂层的暴露表面处比氧化物涂层与金属或金属合金衬底之间的界面处大,其中氧化物涂层在氧化物涂层与金属或金属合金衬底之间的界面处呈压迫状态。一般来说,金属选自由钇、钕、钐、铽、镝、铒、镱、钪、铪、铌、或其组合所组成的群组。

Description

具有氧化涂层的抗腐蚀、含钇金属的等离子体室部件
相关申请
本发明与下列申请案为相关:目前为待审的Sun等人的美国申请No.11/796,210、申请日为2007年4月27日、名称为“Method of Reducing The Erosion Rate Of SemiconductorProcessing Apparatus Exposed To Halogen-ContainingPlasmas”;目前为待审的Sun等人的美国专利申请No.11/796,211、申请日为2007年4月27日、名称为“MethodAnd Apparatus Which Reduce The Erosion Rate Of SurfacesExposed To Halogen-Containing Plasmas”;以及目前为待审的Sun等人的美国专利申请No.11/890,156、申请日为2007年8月2日、名称为“Plasma-resistant Ceramics WithControlled Electrical Resistivity”。所有上述申请案的主题及申请内容皆并入本说明书中以做为参考。
技术领域
本发明的实施例涉及抗腐蚀的半导体处理部件,且该部件包括钇金属衬底。本发明亦描述制造此种部件的一种方法。
背景技术
此部分描述与本发明所公开的实施例相关的背景技术。但并不意味或隐含在此讨论的背景合法构成现有技术。
在存在有腐蚀性环境的条件下,抗侵蚀(包括腐蚀)对于在半导体处理室中使用的设备部件及衬垫是一种关键的特性。虽然侵蚀性等离子体大多数存在于半导体处理环境(包括等离子体增强化学气相沉积及物理气相沉积)中,但最具侵蚀性的等离子体环境是用于清洁处理设备及用于蚀刻半导体衬底的等离子体环境。更确切的是,在当存在有高能等离子体且结合化学反应性以作用环境中的部件表面时。
用于制造电子组件及微机电系统(MEMS)的处理室中的处理室衬垫及部件设备通常由铝及铝合金构成。处理室及部件设备(存在于室中)的表面通常经过阳极电镀(anodized),以提供对于侵蚀性环境的某程度的保护。然而,阳极电镀层的完整性会被铝或铝合金中的杂质所破坏,因此,侵蚀会提早发生,因而降低保护性涂层的使用寿命。相较于一些其它的陶瓷材料,氧化铝的抗等离子体特性并非较佳,因此,各种组成的陶瓷涂层已用于取代上述的氧化铝层,且在部分实例中,各种组成的陶瓷涂层也已用于阳极电镀层的表面上,以增进下方的铝基材料的保护作用。
氧化钇为一种陶瓷材料,且已显示出其可用于保护暴露于半导体组件制造中所使用的含卤素等离子体的铝与铝合金表面。已将氧化钇涂层使用且应用在高纯度铝合金处理室表面(或处理部件表面)的阳极电镀表面上方,以提供绝佳的侵蚀保护(例如上述的Sun等人的美国专利No.6,777,873)。可以使用例如喷涂、物理气相沉积(PVD)或化学气相沉积(CVD)的方法来施加保护性涂层。
设备部件的室壁或衬垫的衬底主体材料可以为陶瓷材料(Al2AL3、SiO2、AlN等)、可以为铝或不锈钢、或可以为其它金属或金属合金。而这些材料的任一者可以在衬底部分材料上具有喷涂薄膜。此薄膜由周期表的III-B族元素的化合物制成,例如Y2O3。而此薄膜可实质包括Al2AL3及Y2O3。已经提及钇铝石榴石(YAG)的喷涂薄膜。喷涂薄膜的实例的厚度介于50μm~300μm。
对于为了提供抗侵蚀及抗腐蚀性而喷涂有包含氧化钇的薄膜的铝及铝合金已出现了问题。当含有氧化钇薄膜的表面相较于铝或铝合金或阳极电镀铝的表面而更具有抗侵蚀及抗腐蚀性时,则此抗性会大幅地小于固态氧化钇烧结的部件的抗性。然而,固态烧结的氧化钇室衬垫或部件的导电性期望铝的传导性范围的实例中是为不利的。相较于铝,固态烧结的氧化钇的机械特性较差,也就是铝不容易碎。举例来说,铝提供较佳的拉伸强度、屈服强度(yield strength)以及弯曲强度(flexural strength)。
因此半导体工业需要种提供高度抗侵蚀及抗腐蚀表面的改良式材料,且其可提供相较于铝而具有竞争性的电学及机械特性。
发明内容
本发明公开一种可抵抗由化学活性等离子体所导致的侵蚀或腐蚀的物体,该物体包括:金属或金属合金衬底,具有表面;以及氧化物涂层,包括存在于该表面上的该金属或金属合金的氧化物,其中该氧化物涂层的结构在本质上为柱状(columnar),其中在该氧化物涂层中的结晶的晶粒尺寸在该氧化物涂层的暴露表面处比在该氧化物涂层与该金属或金属合金衬底之间的界面大,并且其中该氧化物涂层在该氧化物涂层与该金属或金属合金衬底之间的界面处呈压迫状态(in compression)。
本发明又公开一种制造物体的方法,该物体具有金属或金属合金衬底,该方法包括:在该金属或金属合金衬底的表面上形成氧化物涂层,其中该形成该氧化物涂层的步骤包括利用热氧化处理,该热氧化处理包括:将该金属或金属合金衬底暴露于包括氧的周围环境;以及使用加热速率从初始快速加热速率至逐渐降低的时间温度分布以形成该氧化物涂层。
附图说明
参考上面所提供的具体描述以及参考申请人在附图中所提供的示例性实施例的相信描述,可以清楚并具体理解获得本发明实施例的方法。应该理解,仅在需要理解本发明时提供附图,并且这里没有图示某些公知的处理和设备,以避免模糊本发明主题的创造性质。
图1显示在金属钇衬底的上方部分转变为氧化钇(Y2O3)的处理时间及温度的图100。
图2显示具有上方氧化钇保护层202的钇金属衬底201的显微照片200。
图3A-3B显示出使用公知等离子体喷涂处理所产生的氧化钇涂层的粗糙表面(图3A)与由本发明的热氧化方法所生长的氧化钇涂层的平滑表面(图3B)之间的差异。
图4A~4E显示在经过机械加工以形成穿过金属钇衬底的开口的区域中在金属钇衬底的表面上方生长出保护性氧化钇涂层的各种情形。
图4A显示具有机械加工穿过测试片的两个开口的钇金属测试片的上表面的显微图像。第一开口402经钻设以提供约2mm的直径,第二开口经钻设以提供约1mm的直径。
图4B显示出第4A图的测试片的上表面的光显微图像,但是是在对钇金属的表面进行热氧化以产生氧化物保护涂层的后。
图4C显示在热氧化处理的后(示于图4B)1mm直径开口402的放大图。
图4D显示图4C中所示的埋头钻设开口402的显微照片的剖面侧视图,以图示出连续且均一的氧化钇涂层412,而该涂层412由埋头的上开口区域436、并往下经过开口402的下方部分432而产生。
图4E显示如同图2所示的氧化钇涂层202与钇金属衬底201的界面203的相同照片,并且经过标示以示出钇金属的粗糙表面426以及氧化钇涂层的平滑表面424。
图5A显示出钇金属衬底502的区域的侧视剖面图的显微照片500,其与氧化钇涂层504有界面501。
图5B显示由比图5A更远距离的氧化钇涂层504的区域的侧视剖面图的显微照片510,以较佳显示出氧化钇涂层的整体纹理。
图5C显示由较图5A更近距离的氧化钇涂层504的区域的侧视剖面图的显微照片520,以较佳显示出氧化钇涂层结晶的柱状结构522。
图6显示钇铝合金的相图600以及在约525℃的热氧化温度而由该些合金形成的氧化物组成。
具体实施方式
本发明的实施例关于特殊的钇金属及钇金属合金衬底,该衬底具有利用热氧化处理而形成在金属表面上的包含氧化钇的涂层。此种材料可以用于半导体及MEMS组件的生产所使用的处理环境中。在一种情形中,由热氧化处理所产生的金属钇及氧化钇相较于衬底金属而具有相似的热膨胀系数,而此可提供涂层与下方衬底之间较佳的界面。由此,金属钇与氧化钇涂层之间产生较少的应力,且增加了部件的使用寿命。在一种情形中,由热氧化所产生的金属钇及氧化钇亦存在有相似的导热性,而此会增进在半导体及MEMS处理操作过程中的整个部件的温度均一性。
由实验证实,当衬底在热氧化处理的后冷却时,则根据本发明的热氧化处理所产生的氧化钇涂层与下方金属衬底呈压迫状态。由于此压迫,随着涂层表面朝向下方衬底的距离增加,则氧化物涂层的多孔性降低。此结合了强界面,这倾向于不会产生例如当氧化钇涂层喷涂在铝衬底表面上时所出现的破裂或产生空隙的现象。
藉由热氧化产生氧化钇涂层的惊人优点在于:比例如阳极电镀处理能获得更厚的涂层的能力。取决于钇金属的掺杂物,可以在750℃且15分钟的短时间藉由钇金属衬底的热氧化获得例如约225μm或更大的涂层厚度。相比之下,阳极电镀的涂层的最大厚度为约8μm~9μm。
本发明的实施例比公知技术能获得更厚的涂层。举例来说,取决于在热氧化处理中的温度分布,涂层的厚度例如为约1μm~约500μm,且一般介于约10μm~约400μm。在一个实施例中,有利的温度分布为在初始时钇金属(包括金属合金)快速加热至期望最高温度、在最高温度将衬底维持一段时间、并接着衬底温度逐渐下降直到氧化钇的形成速率实质停止为止。
此温度分布基于发明人的发现,在所形成的氧化钇涂层的顶表面具有大晶粒尺寸的氧化钇结晶,而此允许氧可较易移动进入钇金属衬底。再者,在与钇金属衬底的界面,具有小晶粒尺寸的氧化钇结晶是有利的,由此提供界面处的稳定性。在本发明的实施例中,在热氧化处理过程中的温度分布经设定,以在所形成的氧化钇涂层的顶表面具有大晶粒尺寸的氧化钇结晶。在另一个实施例中,热氧化处理过程中的温度分布经设定,以在与钇金属衬底的界面提供小晶粒尺寸的氧化钇结晶。
在实施例中,钇金属衬底被快速加热(例如但不限于以约25℃/min的速率)至介于约700℃~约1050℃的最高温度。待制造的部件部分保持在最高温度之下一段时间,而在此段时间内,大晶粒的结晶生长。而此段时间为较小晶粒的氧化钇结晶生长的时间长度的约1%~约5%。由于结晶形成机制缘故,较小的结晶生长在较大结晶的下方,此将在下面讨论。在温度降低期间形成的较小晶粒尺寸的氧化钇会随着处理温度下降而逐渐地变小,直到温度到达约400℃。在较低温度下,氧化钇结晶生长相当地缓慢。
形成例如平均厚度高达约225μm或更厚的氧化钇涂层的惊人能力可以归因于所形成的氧化钇结晶的特殊晶界结构,因为这在氧化处理持续进行时提供氧穿透的通道。氧由表面扩散至氧化物与金属的界面的方式有两种:一种是晶界扩散,另一种则是晶粒扩散(晶格/空隙)。藉由将微量元素掺杂至钇金属,或是藉由控制在热氧化处理环境中的氧分压,则可控制优先的扩散方式。举例来说,藉由掺杂微量元素至高纯度的钇金属中,可调整晶界扩散至较快的氧扩散路径,则可在钇金属衬底上获得预定期望的氧化钇(yttria)层厚度,而厚度基本地取决于热氧化时间。与晶界扩散相比,晶粒扩散(晶格/空隙)氧化钇的生长速率慢。然而,可以藉由使得热氧化环境中的氧分压最佳化而可改善晶粒扩散的速率(空隙扩散),而此会导致在氧化钇层中形成更多的氧空间。
一般来说,添加至钇金属衬底或是钇金属合金衬底的掺杂剂(dopant)量小于约0.1重量%。当将掺杂剂(微量元素)添加入钇金属或钇金属合金衬底,则氧化周围环境(ambient environment)中的氧含量为约5体积%~25体积%。氧化周围环境在含有10体积%的氧时作用特别良好。当并未添加掺杂剂至钇金属或钇金属合金衬底时,则氧化周围环境中的氧含量为约5体积%~100体积%。含有约21体积%的氧的空气作用良好。此乃因为当周围环境中的氧的分压较低时,氧会倾向以较快速度注入钇金属或钇金属合金中,然而,氧化物的形成速率缓慢。当氧的分压较高时,则氧注入较缓慢,但有较多的氧可用,故氧化物的形成速率较快。藉由添加掺杂剂及在周围环境中使用较低的氧分压,则可能在氧化物与衬底的界面获得期望的较小的晶粒尺寸的结晶,且仍具有用于制造的可接受的氧化物形成速率。
在较低的氧化温度下,氧与金属作用以形成氧化物的反应速率下降。再者,一旦氧化处理结束,则部件返回室温,或当部件处于周围半导体或MEMS处理条件(温度般低于约400℃)下时,氧化钇则受到下方钇金属衬底的压迫。在与钇金属的界面区域的氧化钇晶粒尺寸可经调整,藉此压迫量足以实质减少(实质预防)半导体处理的反应性物质在氧化钇结晶中往下移动至钇金属衬底的表面。同时,压迫量不会过大而造成氧化钇与钇金属衬底的表面分离或破裂。
示例性实施例的详细描述
作为详细说明的序言,应注意的是,在说明书及所附申请专利范围中所使用的单数形式「一个」及「该」包括复数的指示物体,除非说明书另有清楚指示。
当使用「约」词时,意指标称值(nominal value)的±10%。
为便于了解,尽可能的话,使用相同的组件符号来代表在图式中共同的相同组件。可预测实施例中的组件及特征可有益地并入其它实施例中而不再赘述的。应注意的是,所附图式仅图示本发明的示例性实施例,以利于了解该实施例,但并非所有的实施例都必须用附图来助于了解,因此并未将图式用来限定本发明的范畴,而本发明可承认等效的实施例。
在实施例中,具有藉由热氧化处理而形成在金属表面上的包含氧化钇的涂层的特殊的钇金属及钇金属合金衬底用于在半导体及MEMS组件的生产的处理环境中。金属钇以及藉由热氧化处理所产生的氧化钇具有相似的热膨胀系数,而此会提供涂层与下方衬底之间改良的界面。金属钇与由热氧化所产生的氧化钇具有相似的导热性,而此会增进在半导体及MEMS处理操作中整个部件的温度均一性。藉此,金属钇与氧化钇涂层之间产生较少的应力,而部件的寿命增加。
下方的表1显示金属钇与其它用于制造半导体处理设备部件的其它金属材料的特性比较。本领域一般技术人员可藉由此表而了解相对于其它表列金属而使用金属钇的优点及缺点。就机械特性来说,钇金属与数种用作半导体处理设备的衬底部分材料的其它材料相似。钇金属的电阻性相较于铝或铝合金而非常高,但相较于HASTALLOY
Figure BPA00001161652100071
C276,钛合金及SST316而为较佳。可以在氧化的前藉由添加例如氧化锆、氧化铪、氧化钪、氧化铌、氧化钐、氧化镱、氧化铒、氧化铈、氧化钕、氧化铽、氧化镝及其组合的掺杂剂材料至钇金属而可降低表2中所示的氧化钇的电阻性。
表1
钇金属与其它用于制造半导体处理设备的金属的特性比较
特性   铝合金(6061)   硅钢片(C276) Ti6Al4V SST316
  密度(g/cm3)   4.47-4.48   2.70   2.70   8.89   4.42   8.0
熔点(°K) 1522-1795 933 NA   1325-1370 1649±15 NA
沸点(°K) 3338-3611 2792 NA   2415-2500 NA NA
  导电性(298°K)×106(Ω-1.m-1) 1.75 37.7 27.0 0.77-0.80 0.59 1.35
  电阻性(298°K)×10-(Ω·cm) 57.0 2.65 3.70 125-130 170 74.0
  导热性(300°K)(W/mK) 17.2 237.0 180.0 9.8 7.2 16.3(373°K)
  线膨胀系数(K-1)×10-6(20-100℃) 10.6 23.1 23.4 11.2 8.6 15.9
  杨氏模数(GPa)   66.3(聚)   70   70-80   205   114   193
  体积弹性模数(GPA)   37.3(聚)   76   NA   NA   NA   NA
  波森比   0.24   0.35   0.33   NA   NA   NA
  勃氏硬度(MPa)   30-60(软)100-140(硬)   245   NA   80-200   NA   217
  维氏硬度(MPa) NA 167 NA NA NA NA
  莫氏硬度   NA   2.75   NA   NA   NA   NA
 硬度(HB500)   NA   NA   30   90HRB   36HRC  95HRB
  拉伸强度(MPa)   130(软)455(硬)   NA   115   790   897-1000   515
  屈服强度(MPa)   57(软)375(硬) NA 48 355 NA 205
  剪切强度(MPa) NA NA 83 NA NA NA
  疲乏强度(MPa) NA NA 62 NA NA NA
  伸长率(%)   NA   NA   25   61   10-18   40
NA=无法获得
表2提供金属钇与氧化钇之间的特性比较。明显可见,两种材料之间线膨胀系数的差异足以使氧化钇层(位于金属钇的表面上)呈压迫状态。同时,亦证实当氧化钇层热产生在钇金属表面上时,此膨胀系数的差异不会导致二材料之间的界面出现问题。
表2
金属钇与氧化钇的特性比较
  特性   钇金属   氧化钇
  线膨胀系数(K-1)x10-6(20-100℃)   10.6   7.2
  导热性(300°K)(W/m°K)   17.2   13.7
  电阻性(298°K)(Ω·cm)   57.0x10-6   >1014
 密度(g/cm3)   4.47-4.48   4.92
 熔点(°K)   1522-1795   2690
 沸点(°K)   3338-3611   4300
 强度
拉伸强度(MPa)   130软455硬 NA
屈服强度(MPa)   57软375硬 NA
 抗挠强度(MPa)   NA   100
 硬度
勃氏硬度(MPa)   30-60软100-140硬 NA
 维氏硬度(MPa)   NA   5.7
 杨氏模数(GPa)   66.3   150
NA=无法获得
当通过热氧化而使金属钇转变为氧化钇时,两个钇金属晶格(cell)(各含有8个钇原子,故总共有16个钇原子)转化为1个氧化钇晶格(包括Y2O3,且含有总共16个钇原子及24个氧原子)。当此为体积膨胀处理时,其膨胀百分比小于由铝转化为Al2AL3的膨胀百分比。当通过热氧化而使2莫耳的金属钇转变为1莫耳的Y2O3时,体积增加5.140cm3。当通过热氧化而使2莫耳的金属钇转变为1莫耳的Y2O3时,重量增加2.858g。下方的表3显示金属钇与氧化钇的结晶结构的理论计算。
表3
金属钇与氧化钇的计算结晶结构
  金属钇   Y2O3
  晶格群   P63/mmc(六方晶)   IA-3(立方晶)
晶格参数  a=b=3.6471,c=5.7285α=β=90°,γ=120°   a=b=c=10.5961α=β=γ=90°
  理论密度(g/cm3)  4.474  5.030
  晶格体积(A3)  65.99  1189.70
  莫耳体积(cm3/mol)  19.86  44.86
 当2莫耳的金属钇转化为1莫耳的Y2O3时的体积膨胀  当2莫耳的金属钇转化为1莫耳的Y2O3时的重量增加
 5.14cm3  2.858g
由上述计算可看出,Y2O3处于压缩应力状态。
实例:
实例1
图1为显示在测试样品的表面上的金属钇转变为氧化钇(Y2O3)的温度与处理时间的图100,而该测试样品的尺寸为1~4英寸(2.5cm~10.1cm)×1~4英寸(2.4cm~10.1cm)×介于约0.1英寸(0.25cm)~约0.25英寸(0.6cm)的厚度。测试样品由大型条料(bar stock)的金属钇产生,并机械加工成上述尺寸。虽然机械加工的样品上的平均表面粗糙度为约0.1μm Ra,但已使用高达1.0μm Ra的表面粗糙度而具有良好结果。此为本发明使用热氧化处理而在钇金属衬底表面上产生包含钇的氧化物的方法的惊人优点。如图2所示,钇金属衬底与在衬底表面上由热氧化产生的氧化钇涂层之间的界面不具有空隙及破裂,并沿着钇金属的表面。
另惊人结果为氧化物的形成速率为相对线性。以理论论述但不欲用于限制,其显示出当氧原子沿着晶界移动,且于结晶结构往下前进。以此方式,氧持续往下行进通过晶界,因而由结构的顶端开始恒定地生长。此不同于阳极电镀处理,举例来说,阳极电镀处理为扩散限制,且需要化学反应剂往下扩散通过结晶结构,以与先形成的反应材料的衬底反应。在阳极电镀的实例中,阳极电镀层的典型最大厚度为约8μm。
实例1中所使用的衬底为掺杂有微量元素的钇金属,其中微量元素为小于0.1重量%(一般来说,添加入钇金属或钇金属合金的掺杂剂量小于约0.1重量%)。特别的是,将20ppm的镁、100ppm的铝、200ppm的铜及500ppm的钙加入纯钇金属以产生衬底。通过此掺杂动作,所形成的氧化钇层的晶界结构调整为氧扩散路径,提供氧由氧化物的表面朝向氧化物与下方金属衬底之间的界面的高扩散速率。氧化层厚度与热处理时间之间的关系为线性关系。般来说,该些掺杂剂的有利的浓度范围为:10ppm~30ppm(重量计)的镁、10ppm~110ppm(重量计)的铝、50ppm~300ppm(重量计)的铜及10ppm~800ppm(重量计)的钙。
样品置于购自加州圣克拉拉的应用材料公司(Applied Materials,Inc.)的型号5503112的热氧化炉中。炉中的周围环境为空气。亦可使用氧含量小于或大于空气21体积%的其它气体组成。如前所讨论,氧含量可介于约5体积%~100体积%。当使用掺杂剂时,氧注入较快,且氧含量介于约5体积%~15体积%。
图1的时间-温度分布为目前已知最佳的分布,此针对具有上方的氧化钇保护层的纯钇金属衬底的部件,此时间温度分布基于实验。在较高温度(例如750℃)下,氧化钇结晶生长为快速,然而,此结晶生长使得平均晶粒尺寸更大,介于约5μm~约50μm。立方晶的氧化钇结晶的更小晶粒尺寸优选在六方晶的钇金属衬底与上方的立方晶的氧化钇保护层之间的界面处,以降低在此界面的应变。
如前所讨论,氧化钇的结晶生长倾向于藉由氧原子在氧化钇结构中往下而朝向金属结晶界面传送。藉此,立方晶氧化钇结晶的生长恒定地在钇金属结晶结构的表面处发生。因此,若反应的初始温度高并接着降低,则将会在钇金属与氧化钇的界面表面处出现更小的立方晶氧化钇结晶,以降低立方晶金属钇衬底与上方的六方晶氧化钇层之间的应变。图1显示用于在下方的钇金属衬底上产生氧化钇保护层的热氧化处理的图100。在轴104上所示的处理温度为℃,在轴102上所示的处理时间为分。开始,钇金属衬底以约25℃/分的快速率加热约29分,以使钇金属衬底的温度由室温增加至约750℃,如图100的线106所示。温度接着保持在约750℃约15分,以提供大晶粒的氧化钇结晶的快速初始生长,如图100的线108所示。接着,温度较缓慢地以约5℃/分的速率降低,如图100的线110所示,进行约70分以下降至约400℃。在400℃下,氧化钇的结晶生长非常缓慢,并实际且实质的停止。接着,具有上方的氧化钇保护层的衬底钇金属以约25℃/分的速率由400℃快速冷却至室温(约25℃)超过约15分,如图100的线112所示。
一般来说,金属或金属合金衬底以介于约20℃/分~约100℃/分的快速速率加热,以使衬底的温度由室温加热至保持温度,且该保持温度介于约700℃~约1050℃。衬底温度可保持在热氧化的保持温度下约5分~约5小时,以提供较大晶粒的氧化物结晶的快速初始生长。接着,衬底的温度可以较缓慢地下降,以约10℃/分~约0.5℃/分的速率下降至约400℃或更低,以允许较小晶粒的氧化物结晶生长。一旦衬底的温度介于约400℃或更低,衬底可以约25℃/分~约35℃/分的冷却速率而更快速地将衬底冷却至周围温度。
在过去,使用电解处理以产生氧化钇层时,可以在钇金属表面上获得氧化钇的最大厚度,例如介于约5.5μm~约8μm,此乃因为当氧化物厚度增加时,反应会实质减缓。本发明的方法并未受限于此,且以上述(及示于图1)方法处理的钇金属衬底在暴露于处理的钇金属侧提供约200μm~约240μm的氧化钇涂层厚度。在氧化处理的过程中,部分的衬底会消耗,且结晶结构封装会调整。藉此,在具有如图1所示的分布的热氧化处理的后,衬底的厚度增加约32μm。
图2为显微照片200,其显示具有上方的氧化钇保护层202的钇金属衬底201的剖面侧视图,而其使用图1所示的时间温度分布所制备。用于氧化反应的氧由周围空气所供应,而周围空气在钇金属的氧化过程中恒定地流经该炉。显微照片的标度(scale)代表300μm,且放大倍数为原始样品尺寸的100X。钇金属的表面203相对粗糙及不均,然而,氧化钇202与钇金属衬底产生连续界面,而在该界面不会出现破裂或分离或空隙。由此处所述的方法所产生的金属氧化物的典型表面粗糙度为约0.1μm Ra~约10μm Ra。在此实例中的氧化钇涂层202的平均表面204粗糙度为约0.81μm Ra。此粗糙度小于市面上所能获得的氧化钇表面的表面粗糙度的约3.6倍,而此显示由本发明的发明人所发展的氧化钇涂层在使用此处所述方法制备的部件暴露于侵蚀性等离子体时,粒子产生会大幅地减少。
实例2
可针对含有钇金属的衬底的组成而调整上述分布。举例来说,衬底并非纯金属而含有其它元素,而该金属(例如但不限于为)选自由Nd、Sm、Tb、Dy、Er、Yb、Sc、Hf及Nb或其混合物所组成的群组。含有钇金属的衬底中存在的其它元素含量为0重量%~约50重量%。再者,其它金属可以为与上述的种类的者为合金,以提供改善的机械或电性特性。举例来说,铝可以与任何金属或上述金属的组合形成合金。
图6显示钇与铝的合金的相图(phase diagram)600。所形成的化合物取决于制成该合金的钇与铝的相对含量。在热氧化所形成的氧化物取决于被氧化的化合物。举例来说,当合金中的钇含量为约25原子%时,所形成的化合物为YAl3(601),而在527℃形成的氧化物为Al5Y3O12(602)、AlYO3(604)及Al2Y4O9(606)。当在合金中的钇含量为约33原子%,所形成的化合物为YAl2(603),而所形成的氧化物为Al2Y4O9(606)及Y2O3(608)。在钇浓度为约50原子%及更高时,在527℃会形成氧化钇。
针对钇合金,热氧化处理的有利的时间温度分布的般形状仍然维持相同,如图1所示的图的106、108、110、112部分。然而,所使用的最高温度及加热与冷却速率有稍微不同,以提供最佳化的结果。本领域的一般技术人员在阅读本发明的说明的后,可以根据此处所述的观念而使钇金属合金的结晶生长分布最佳化,而仅需最少量的实验。
实例3,比较性实例
图3A-3B显示出使用公知的等离子体喷涂处理所制备的氧化钇涂层的粗糙表面302(图3A)与由本发明的热氧化方法所生长的氧化钇涂层的平滑表面(图3B)之间的差异。
图3A为显微照片300,其显示出由上述的等离子体喷涂处理所制备的氧化钇涂层。而此为在本发明之前,常用于提供氧化钇涂层的方法的。显微照片的标度代表50μm。此氧化钇涂层的平均表面粗糙度为约3.11μm Ra。图3B为显微照片310,其显示使用此处所述的方法所制备的氧化钇涂层。显微照片的标度代表60μm。此氧化钇涂层的平均表面粗糙度为约0.86μm Ra。显微照片300所示的公知的氧化钇表面的表面构形(topography)显示出公知的氧化钇表面容易受到反应性等离子体的攻击,并且当等离子体蚀除延伸结点(node)下方的连接结构时,会产生微粒物质。示于显微照片310中的本发明所产生的氧化钇种类的表面构形图示出由本发明的方法所产生的氧化钇的表面较不易受到反应性等离子体攻击,故微粒物质的生成应实质降低(若未完全避免)。
实例4
图4A~4E显示在经过机械加工以形成穿过金属钇衬底的开口的区域中,于金属钇衬底的表面上方生长出保护性氧化钇涂层的各种情形。
图4A显示具有机械加工穿过测试片(test coupon)的两个开口402、404的钇金属测试片的上表面401的显微图像400。第一开口402经钻设以提供约2mm的直径
Figure BPA00001161652100151
第二开口404经钻设以提供约1mm的直径
Figure BPA00001161652100152
图4B显示出图4A的测试片的上表面411的光显微图像410,但是在使用此处所述的方法而对钇金属的表面进行热氧化的后,以分别产生氧化物保护涂层412、414。图4C显示在热氧化处理的后(示于图4B),≈1mm直径
Figure BPA00001161652100153
开口的放大照片420。周围的钇金属422与氧化钇涂层424形成良好过渡。涂覆的开口421的周边426非常平滑,并显示出在氧化钇涂层424材料与钇金属衬底422之间并无分离或间隙。
图4D显示图4C中所示的钻设开口402的埋头(counter sunk)的上开口区域436的显微照片430的剖面侧视图,以图示出连续且均的氧化钇涂层412,而该涂层412由埋头的上开口区域436、并往下经过开口402的下方部分432而产生。
图4E显示如同图2所示的氧化钇涂层202与钇金属衬底201的界面203的相同照片,并且经过标示以示出钇金属的粗糙表面426以及氧化钇涂层的平滑表面424。
实例5
图5A显示出钇金属衬底502的区域的侧视剖面图的显微照片500,其与氧化钇涂层504有界面501。显微照片上的标度代表5μm。图5B显示较图5A更远距离(较小放大倍数)的氧化钇涂层504的区域的侧视剖面图的显微照片510,以较佳显示出氧化钇涂层的整体纹理。显微照片上的标度代表20μm。图5C显示较图5A更近距离(较大放大倍数)的氧化钇涂层504的区域的侧视剖面图的显微照片520,以较佳显示出氧化钇涂层结晶的柱状结构522。显微照片上的标度代表2.0μm。如前所讨论,此柱状结构允许氧化钇的较厚薄膜的生长,且控制涂层的顶表面的柱状结构中的结晶晶粒的尺寸为较大,且在钇金属衬底的界面为较小。
虽然上面针对本发明实施例,但是在本发明的基础上,在不脱离本发明的精神和范围的情况下,可以获得本发明其他或进一步的实施例,因此本发明的范围由权利要求界定。

Claims (18)

1.一种可抵抗由化学活性等离子体所导致的侵蚀或腐蚀的物体,所述物体包括:
金属或金属合金衬底,其包括选自由钇、钕、钐、铽、镝、铒、镱、钪、铪、铌及其组合所组成的群组的金属,以及选自由氧化锆、氧化铪、氧化钪、氧化铌、氧化钐、氧化镱、氧化铒、氧化铈、氧化钕、氧化铽、氧化镝及其组合所组成的群组的至少一种掺杂剂;以及
位于所述衬底的表面上的氧化物涂层,所述氧化物涂层是包括所述至少一种掺杂剂的所述金属或金属合金的热氧化物,其中所述氧化物涂层的结构在本质上为柱状,其中在所述氧化物涂层中的结晶的晶粒尺寸在所述氧化物涂层的暴露表面处比在所述氧化物涂层与所述金属或金属合金衬底之间的界面处大,并且,其中所述氧化物涂层在所述氧化物涂层与所述金属或金属合金衬底之间的所述界面处呈压迫状态。
2.如权利要求1所述的物体,其中所述金属或金属合金还包含铝。
3.如权利要求1所述的物体,其中所述金属是钇。
4.如权利要求1所述的物体,其中所述金属合金包括钇。
5.如权利要求1所述的物体,其中所述氧化物涂层的厚度为约1μm~约500μm。
6.如权利要求2所述的物体,其中所述氧化物涂层的厚度为约1μm~约500μm。
7.如权利要求1所述的物体,其中,作为包括所述至少一种掺杂剂的所述金属或金属合金的热氧化物,所述涂层的外表面的表面粗糙度介于约0.1μm Ra~约10μm Ra。
8.一种可抵抗由化学活性等离子体所导致的侵蚀或腐蚀的物体,所述物体包括:
金属或金属合金衬底,其包括选自由钇、钕、钐、铽、镝、铒、镱、钪、铪、铌及其组合所组成的群组的金属,以及选自由镁、铝、铜、钙及其组合所组成的群组的至少一种掺杂剂;以及
氧化物涂层,其是包括所述至少一种掺杂剂的所述金属或金属合金的热氧化物,其中所述氧化物涂层的结构在本质上为柱状,其中在所述氧化物涂层中的结晶的晶粒尺寸在所述氧化物涂层的暴露表面处比在所述氧化物涂层与所述金属或金属合金衬底之间的界面处大,并且,其中所述氧化物涂层在所述氧化物涂层与所述金属或金属合金衬底之间的所述界面处呈压迫状态。
9.如权利要求8所述的物体,其中所述掺杂剂包括镁、铝、铜及钙的组合,且其中镁的重量计浓度范围介于约10ppm~约30ppm,铝的重量计浓度范围介于约10ppm~约110ppm,铜的重量计浓度范围介于约50ppm~约300ppm,以及钙的重量计浓度范围介于约10ppm~约800ppm。
10.如权利要求8所述的物体,其中,作为包括所述至少一种掺杂剂的所述金属或金属合金的热氧化物,所述涂层的外表面的表面粗糙度介于约0.1μm Ra~约10μm Ra。
11.一种制造可抵抗由化学活性等离子体所导致的侵蚀或腐蚀的物体的方法,所述物体具有金属或金属合金衬底,所述金属或金属合金衬底包括选自由钇、钕、钐、铽、镝、铒、镱、钪、铪、铌及其组合所组成的群组的金属,以及选自由氧化锆、氧化铪、氧化钪、氧化铌、氧化钐、氧化镱、氧化铒、氧化铈、氧化钕、氧化铽、氧化镝及其组合所组成的群组的至少一种掺杂剂,所述方法包括:
在所述金属或金属合金衬底的表面上形成氧化物涂层,其中使用热氧化处理形成所述氧化物涂层,其中所述热氧化处理包括:使用时间温度分布将包括所述掺杂剂的所述金属或金属合金衬底暴露于包括氧的周围环境保持一段时间;所述时间温度分布包括:初始快速地加热所述金属或金属合金衬底至期望的最高温度;在所述最高温度将所述衬底保持标称时间段;以及逐渐降低所述衬底的温度,直到所述氧化物涂层的形成速率实质停止为止。
12.如权利要求11所述的制造物体的方法,其中初始快速加热的速率为约20℃/分~约100℃/分。
13.如权利要求12所述的制造物体的方法,其中所述衬底所保持的所述最高温度为约700℃~约1050℃。
14.如权利要求13所述的制造物体的方法,其中所述衬底保持在所述最高温度的所述时间段为约5分~约5小时。
15.如权利要求14所述的制造物体的方法,其中由所述最高温度而降低温度的速率为约10℃/分~约0.5℃/分,直到氧化反应实质停止为止。
16.如权利要求15所述的制造物体的方法,其中所述氧化反应实质停止的温度为约400℃~约100℃。
17.如权利要求16所述的制造物体的方法,其中在所述氧化反应终止之后,所述衬底以约25℃/分~约35℃/分的冷却速率而快速地冷却至周围温度。
18.一种制造可抵抗由化学活性等离子体所导致的侵蚀或腐蚀的物体的方法,其中所述金属或金属合金包括钇,并包括选自由镁、铝、铜、钙及其组合所组成的群组的掺杂剂,所述方法包括:
在所述金属或金属合金衬底的表面上形成氧化物涂层,其中使用热氧化处理形成所述氧化物涂层,其中所述热氧化处理包括:使用时间温度分布将包括所述掺杂剂的所述金属或金属合金衬底暴露于包括氧的周围环境保持一段时间;所述时间温度分布包括:初始快速地加热所述金属或金属合金衬底至期望的最高温度;在所述最高温度段将所述衬底保持标称时间;以及逐渐降低所述衬底的温度,直到所述氧化物涂层的形成速率实质停止为止。
CN2008801220603A 2007-12-21 2008-12-10 具有氧化涂层的抗腐蚀、含钇金属的等离子体室部件 Expired - Fee Related CN101903558B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/004,907 2007-12-21
US12/004,907 US8129029B2 (en) 2007-12-21 2007-12-21 Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
PCT/US2008/013589 WO2009085117A2 (en) 2007-12-21 2008-12-10 Erosion resistant yttrium comprising metal with oxidized coating for plasma chamber components

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201210163630.1A Division CN102732857B (zh) 2007-12-21 2008-12-10 具有氧化涂层的抗腐蚀、含钇金属的等离子体室部件

Publications (2)

Publication Number Publication Date
CN101903558A CN101903558A (zh) 2010-12-01
CN101903558B true CN101903558B (zh) 2012-07-11

Family

ID=40789005

Family Applications (2)

Application Number Title Priority Date Filing Date
CN2008801220603A Expired - Fee Related CN101903558B (zh) 2007-12-21 2008-12-10 具有氧化涂层的抗腐蚀、含钇金属的等离子体室部件
CN201210163630.1A Expired - Fee Related CN102732857B (zh) 2007-12-21 2008-12-10 具有氧化涂层的抗腐蚀、含钇金属的等离子体室部件

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201210163630.1A Expired - Fee Related CN102732857B (zh) 2007-12-21 2008-12-10 具有氧化涂层的抗腐蚀、含钇金属的等离子体室部件

Country Status (6)

Country Link
US (2) US8129029B2 (zh)
JP (1) JP5408827B2 (zh)
KR (1) KR101289815B1 (zh)
CN (2) CN101903558B (zh)
TW (1) TWI461572B (zh)
WO (1) WO2009085117A2 (zh)

Families Citing this family (378)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7371467B2 (en) * 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8251227B2 (en) * 2010-04-16 2012-08-28 Kellogg Brown & Root Llc Methods and apparatus for separating particulates from a particulate-fluid mixture
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP5578383B2 (ja) 2012-12-28 2014-08-27 Toto株式会社 耐プラズマ性部材
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
WO2014158767A1 (en) 2013-03-14 2014-10-02 Applied Materials, Inc. High purity aluminum top coat on substrate
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US10468235B2 (en) 2013-09-18 2019-11-05 Applied Materials, Inc. Plasma spray coating enhancement using plasma flame heat treatment
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9663870B2 (en) 2013-11-13 2017-05-30 Applied Materials, Inc. High purity metallic top coat for semiconductor manufacturing components
KR20220002721A (ko) 2013-11-21 2022-01-06 엔테그리스, 아이엔씨. 플라즈마 시스템에서 사용되는 챔버 구성요소를 위한 표면 코팅
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
JP2017512375A (ja) 2014-01-31 2017-05-18 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated チャンバコーティング
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
KR101909841B1 (ko) * 2014-09-05 2018-10-18 미츠비시 히타치 파워 시스템즈 가부시키가이샤 용사용 분말의 제조 방법, 용사용 분말의 제조 장치, 및 해당 제조 방법에 의해 제조된 용사용 분말
KR20160030812A (ko) * 2014-09-11 2016-03-21 삼성전자주식회사 플라즈마 처리 장치
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
CN109023303A (zh) * 2015-02-13 2018-12-18 恩特格里斯公司 衬底部分上的复合原子层沉积ald涂层及在衬底部分上形成经图案化ald涂层的方法
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
DE102015206377A1 (de) * 2015-04-09 2016-10-13 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Vorrichtung mit einer vielzahl von partikeln und verfahren zum herstellen derselben
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10020218B2 (en) * 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
CN108018516A (zh) * 2016-10-31 2018-05-11 通用电气公司 抗硫腐蚀涂层,包括其的物件,及使用其的方法
CN108018517A (zh) * 2016-10-31 2018-05-11 通用电气公司 抗硫腐蚀涂层,包括其的物件,及使用其的方法
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10975469B2 (en) 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
KR101981387B1 (ko) * 2017-06-13 2019-05-22 강동원 표면 코팅 구조의 원격 플라즈마 소스 블록 생산 방법 및 그에 의한 표면 코팅 구조의 원격 플라즈마 소스 블록
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102016615B1 (ko) * 2017-09-14 2019-08-30 (주)코미코 내플라즈마 특성이 향상된 플라즈마 에칭 장치용 부재 및 그 제조 방법
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
JP2021506126A (ja) 2017-12-07 2021-02-18 ラム リサーチ コーポレーションLam Research Corporation チャンバ調整における耐酸化保護層
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10760158B2 (en) * 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
US20200385866A1 (en) * 2019-06-08 2020-12-10 Applied Materials, Inc. Rf components with chemically resistant surfaces
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11976357B2 (en) 2019-09-09 2024-05-07 Applied Materials, Inc. Methods for forming a protective coating on processing chamber surfaces or components
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
WO2021065919A1 (ja) * 2019-09-30 2021-04-08 京セラ株式会社 プラズマ処理装置用部材およびこれを備えるプラズマ処理装置
US10983269B1 (en) * 2019-10-02 2021-04-20 Verrillon, Inc. Optical fibers with two metal coatings surrounding the cladding
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
CN110616396B (zh) * 2019-10-28 2021-03-26 西安特种设备检验检测院 耐微生物腐蚀AlN/Ti陶瓷金属复合涂层的制备方法
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
CN113522688B (zh) * 2020-03-30 2022-12-30 中微半导体设备(上海)股份有限公司 耐等离子体腐蚀部件及其制备方法,等离子体处理设备
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113539771B (zh) * 2020-04-16 2024-04-12 中微半导体设备(上海)股份有限公司 零部件、其表面形成涂层的方法和等离子体反应装置
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN114068273B (zh) * 2020-07-31 2024-04-05 中微半导体设备(上海)股份有限公司 一种零部件及其制备方法和等离子体反应装置
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639584A (zh) * 2020-12-15 2022-06-17 中微半导体设备(上海)股份有限公司 半导体零部件、等离子体处理装置及形成复合涂层的方法
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN113237821B (zh) * 2021-04-26 2023-03-10 江西科技师范大学 一种应用于氧化性高温氯腐蚀环境的钇掺杂Inconel625合金的制备及检测方法
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20240153745A1 (en) * 2022-11-05 2024-05-09 Applied Materials, Inc. Protection treatments for surfaces of semiconductor fabrication equipment

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1851038A (zh) * 2006-05-25 2006-10-25 北京科技大学 一种氧化铬复合涂层的制备方法
US7300537B2 (en) * 2002-06-27 2007-11-27 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4070207A (en) * 1976-10-19 1978-01-24 Holdeman Louis B Method of producing porous copper workpieces and product thereof
JPH03287797A (ja) 1990-04-03 1991-12-18 Sumitomo Electric Ind Ltd 耐食部材
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
AU3836895A (en) * 1994-11-09 1996-06-06 Cametoid Advanced Technologies Inc. Method of producing reactive element modified-aluminide diffusion coatings
US20020110698A1 (en) * 1999-12-14 2002-08-15 Jogender Singh Thermal barrier coatings and electron-beam, physical vapor deposition for making same
US7670688B2 (en) * 2001-06-25 2010-03-02 Applied Materials, Inc. Erosion-resistant components for plasma process chambers
US6815223B2 (en) * 2002-11-22 2004-11-09 Symetrix Corporation Low thermal budget fabrication of ferroelectric memory using RTP
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US6776873B1 (en) 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
US8067067B2 (en) 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US6565984B1 (en) 2002-05-28 2003-05-20 Applied Materials Inc. Clean aluminum alloy for semiconductor processing equipment
US20050100757A1 (en) * 2003-11-12 2005-05-12 General Electric Company Thermal barrier coating having a heat radiation absorbing topcoat
US7135426B2 (en) * 2004-05-25 2006-11-14 Applied Materials, Inc. Erosion resistant process chamber components
DE602006005194D1 (de) * 2005-06-29 2009-04-02 Shinetsu Chemical Co Bauteil aus seltenem Erdmetall mit hochreiner Oberfläche und Verfahren zu dessen Herstellung
EP1845171B1 (de) * 2006-04-10 2016-12-14 Siemens Aktiengesellschaft Verwendung metallischer Pulver mit unterschiedlichen Korngrössen zum Herstellen eines Schichtsystems
KR101344990B1 (ko) * 2006-04-20 2013-12-24 신에쓰 가가꾸 고교 가부시끼가이샤 도전성 내플라즈마 부재

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7300537B2 (en) * 2002-06-27 2007-11-27 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
CN1851038A (zh) * 2006-05-25 2006-10-25 北京科技大学 一种氧化铬复合涂层的制备方法

Also Published As

Publication number Publication date
US20120125488A1 (en) 2012-05-24
JP2011509343A (ja) 2011-03-24
US8758858B2 (en) 2014-06-24
TW200946717A (en) 2009-11-16
KR20100099318A (ko) 2010-09-10
CN102732857A (zh) 2012-10-17
WO2009085117A3 (en) 2009-09-17
JP5408827B2 (ja) 2014-02-05
US20090162647A1 (en) 2009-06-25
WO2009085117A2 (en) 2009-07-09
KR101289815B1 (ko) 2013-07-26
CN101903558A (zh) 2010-12-01
CN102732857B (zh) 2015-04-29
TWI461572B (zh) 2014-11-21
US8129029B2 (en) 2012-03-06

Similar Documents

Publication Publication Date Title
CN101903558B (zh) 具有氧化涂层的抗腐蚀、含钇金属的等离子体室部件
Dai et al. Microstructure and properties of Ti-Al coating and Ti-Al-Si system coatings on Ti-6Al-4V fabricated by laser surface alloying
TWI695822B (zh) 減小曝露於含鹵素電漿下之表面腐蝕速率的方法與設備
JP6348228B2 (ja) 核燃料被覆管、その作製方法および酸化/水素化を防ぐその使用
EP1156130B1 (en) Plasma processing container internal member and production method therefor
KR100939403B1 (ko) 반도체 가공 장치용 세라믹 피복 부재
CN108623330A (zh) 多孔主体的通过原子层沉积的抗等离子体涂层
JP4540221B2 (ja) 積層体、耐蝕性部材および耐ハロゲンガスプラズマ用部材
Weber et al. Hardness and corrosion resistance of single-phase nitride and carbide on iron
KR101895769B1 (ko) 반도체 제조용 챔버의 코팅막 및 그 제조 방법
JP2007197835A (ja) 耐ハロゲンガス性の半導体加工装置用部材
TWI230200B (en) Metal band and manufacturing method of the same
JP2004002101A (ja) 耐プラズマ性部材及びその製造方法
JP2002371383A (ja) 耐熱性被覆部材
Sebayang et al. Oxidation Resistance of Fe 80 Cr 20 Alloys Treated by Rare Earth Element Ion Implantation
RU2751704C1 (ru) Способ получения антикоррозионного покрытия на изделиях из монолитного никелида титана
CN115928028A (zh) 一种Zr/Mo金属多层膜及其制备方法
JP2004319803A (ja) 耐ハロゲンガス用部材およびその製造方法
CN114622156A (zh) 一种不锈钢表面复合涂层及其制备方法
JP2000239068A (ja) 耐プラズマ性材料及び部品

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20120711

CF01 Termination of patent right due to non-payment of annual fee