KR20160088357A - 플라즈마 시스템에서 사용되는 챔버 구성요소를 위한 표면 코팅 - Google Patents

플라즈마 시스템에서 사용되는 챔버 구성요소를 위한 표면 코팅 Download PDF

Info

Publication number
KR20160088357A
KR20160088357A KR1020167016070A KR20167016070A KR20160088357A KR 20160088357 A KR20160088357 A KR 20160088357A KR 1020167016070 A KR1020167016070 A KR 1020167016070A KR 20167016070 A KR20167016070 A KR 20167016070A KR 20160088357 A KR20160088357 A KR 20160088357A
Authority
KR
South Korea
Prior art keywords
plasma
coating
oxygen
nitrogen
aluminum
Prior art date
Application number
KR1020167016070A
Other languages
English (en)
Inventor
카를로 왈드프라이드
Original Assignee
엔테그리스, 아이엔씨.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 엔테그리스, 아이엔씨. filed Critical 엔테그리스, 아이엔씨.
Priority to KR1020217042607A priority Critical patent/KR20220002721A/ko
Publication of KR20160088357A publication Critical patent/KR20160088357A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0676Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/083Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/24Vacuum evaporation
    • C23C14/28Vacuum evaporation by wave energy or particle radiation
    • C23C14/30Vacuum evaporation by wave energy or particle radiation by electron bombardment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3485Sputtering using pulsed power to the target
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Abstract

본원에서는 공격적인 (예를 들어, 플루오린-기재의) 플라즈마 환경에서 화학적 및 플라즈마 물리적 공격에 대해 강건하다는 이점을 갖는 플라즈마 구성요소를 위한 표면 코팅이 개시된다. 코팅은 또한 다른 공지된 표면 처리에 비해 활성 산소, 질소, 플루오린 및 수소 종에 대한 낮은 플라즈마 표면 재결합 속도를 제공한다. 코팅은, 석영, 알루미늄, 또는 애노드처리된 알루미늄과 같은 물질을 포함하지만 이로 제한되지 않는, 에칭 또는 플라즈마 세정을 필요로 하지 않는 임의의 플라즈마 시스템 구성요소에 적용될 수 있다. 부가적으로, 비-반응성 코팅이 시스템 구성요소에 적용됨으로써 시스템의 플라즈마 챔버로의 여기된 플라즈마 종의 유동이 증가함으로써, 시스템의 효율이 증가한다.

Description

플라즈마 시스템에서 사용되는 챔버 구성요소를 위한 표면 코팅 {SURFACE COATING FOR CHAMBER COMPONENTS USED IN PLASMA SYSTEMS}
<관련 출원>
본 출원은 2013년 11월 21일에 출원된 미국 가출원 제61/907,214호의 이익을 주장하며, 상기 가출원의 개시내용은 그 전문이 본원에 참조로 포함된다.
<발명의 분야>
본 발명은 일반적으로 플라즈마 시스템, 더 구체적으로는 플라즈마 시스템 내의 설비 및 부속품을 위한 코팅에 관한 것이다.
본 발명은 일반적으로 플라즈마 시스템에서 사용되는 부품의 코팅에 관한 것이다. 플라즈마와 직접 접촉하는 부품은 화학적 공격, 이온 충격, UV 조사, 심한 온도 변동 및 구배뿐만 아니라 전기장에 노출된다. 바람직한 플라즈마 시스템 부품은 알루미늄 또는 석영으로 만들어지는데, 왜냐하면 상기 물질은 활성 산소, 질소 및 수소 종(species)에 대한 가장 낮은 플라즈마 표면 재결합 속도를 갖기 때문이다. 그러나, 할로겐-함유 또는 다른 화학적으로 더 공격적인 플라즈마가 사용되는 경우에, 이들 물질을 선택하는 것은 더 이상 허용되지 않는다. 이러한 환경에서는, 플라즈마 시스템 구성요소는 전형적으로 애노드처리된(anodized) 알루미늄, 알루미나, 또는 사파이어와 같은 물질로부터 만들어진다. 이들 물질은 화학적 및 물리적 플라즈마 공격에 대해 더 잘 견딘다는 장점을 갖지만, 훨씬 더 높은 플라즈마 표면 재결합 속도를 갖기 때문에 플라즈마 스트림으로부터 실지적 분량의 활성 산소, 수소 및 질소 플라즈마 종을 제거해야 한다는 단점을 갖는다. 이들 종의 제거는 플라즈마 공정의 효율을 감소시킨다.
활성 플라즈마 종의 재결합 속도를 감소시키고 시스템으로의 활성 종의 유동을 증가시키도록 구성된 플라즈마 시스템 구성요소는 플라즈마 시스템에 있어서 좋은 개선점이 될 것이다.
<발명의 요약>
본원에서는 공격적인 (예를 들어, 플루오린-기재의) 플라즈마 환경에서 화학적 및 플라즈마 물리적 공격에 대해 강건하다는 이점을 갖는 플라즈마 구성요소를 위한 표면 코팅이 개시된다. 코팅은 또한 다른 공지된 표면 처리에 비해 활성 산소, 질소, 플루오린 및 수소 종에 대한 낮은 플라즈마 표면 재결합 속도를 제공한다. 코팅은, 석영, 알루미늄, 또는 애노드처리된 알루미늄과 같은 물질을 포함하지만 이로 제한되지 않는, 에칭 또는 플라즈마 세정을 필요로 하지 않는 임의의 플라즈마 시스템 구성요소에 적용될 수 있다. 부가적으로, 비-반응성 코팅이 시스템 구성요소에 적용됨으로써 시스템의 처리 챔버로의 여기된 플라즈마 종의 유동이 증가함으로써, 시스템의 효율이 증가한다.
그러므로, 한 예시적인 실시양태에서, 발명은 플라즈마 습윤되는 표면 시스템 구성요소의 반응성을 감소시키기 위한 코팅을 제공한다. 코팅은
대략:
약 60% 내지 약 80%의 양의 이트륨,
약 20% 내지 약 40%의 양의 산소
의 조성을 갖는 이트리아,
및/또는
대략:
약 25% 내지 약 60%의 양의 알루미늄,
약 20% 내지 약 40%의 양의 산소,
약 20% 내지 약 40%의 양의 질소
의 조성을 갖는 알루미늄 옥시니트라이드
를 포함하고, 여기서 코팅은 플라즈마 습윤되는 시스템의 구성요소에 적용된다.
일부 예시적인 실시양태에서, 플라즈마는 원자 산소, 분자 산소, 원자 수소, 분자 수소, 원자 질소, 분자 질소, 분자 아르곤, 원자 아르곤, 원자 플루오린 및 분자 플루오린 중 하나 이상을 포함한다. 이들 및 다른 다양한 실시양태에서 플라즈마는 플루오린-보유 플라즈마, 산소-보유 플라즈마, 수소-보유 플라즈마 및 질소-보유 플라즈마 중 하나 이상을 포함한다. 다양한 예시적인 실시양태에서, 플라즈마는 복합 플라즈마이다. 특정한 실시양태에서 플루오린-보유 플라즈마는 CF4, CHF3, CF3H, C2F6, C4F8, SF6, NF3, F2 및 C4F8O를 포함하고; 산소-보유 플라즈마는 O2, O3, N2O, CO, CO2, C4F8O, H2O 및 H2O2를 포함하고; 수소-보유 플라즈마는 H2, CH4, NH3, N2H2, C2H2, H2O, H2O2, N2/H2, He/H2 및 Ar/H2를 포함하고; 질소-보유 플라즈마는 N2, N2O, NH3, NF3, N2/H2 및 NO를 포함한다.
이들 및 다른 예시적인 실시양태에서, 구성요소는 석영, 알루미늄, 또는 애노드처리된 알루미늄 또는 그의 조합으로부터 제조된다.
다양한 예시적인 실시양태에서, 코팅은 증기 증착, 스퍼터 증착, 용사(thermal spray) 코팅, 졸-겔 코팅, 대기압 플라즈마 증착, 마그네트론 스퍼터링, 전자빔 증착 또는 펄스화 레이저 증착에 의해 적용된다. 일부 예시적인 실시양태에서, 증기 증착은 플라즈마 강화 화학 증기 증착 (PECVD); 물리 증기 증착 (PVD); 및 화학 증기 증착 (CVD)이다.
다양한 다른 예시적인 실시양태에서, 플라즈마 시스템은 하류 원격 플라즈마 시스템, 유도 결합 플라즈마 시스템(inductively coupled plasma system), 축전 결합 플라즈마 시스템(capacitive coupled plasma system), 반응성 이온 에치 플라즈마 시스템, 및 대기압 플라즈마 시스템, 및 이온-에치 플라즈마 시스템이다.
한 실시양태에서, 금속 옥시니트라이드, 예컨대 AlON (알루미늄 옥시니트라이드)의 코팅이 플라즈마 시스템 구성요소에 직접 적용된다. 본 발명의 발명자들은 AlON과 플라즈마 스트림의 상호작용은 애노드처리된 알루미늄의 재결합 속도보다 훨씬 더 낮은 재결합 속도를 제공한다는 사실을 밝혀냈다.
다양한 실시양태에서, 코팅은 약 3 GPa 내지 약 10 GPa의 경도, 약 100 GPa 내지 약 20 GPa의 탄성률 (영률)을 갖고, 코팅은 약 -150℃ 내지 약 +600℃의 온도에서 안정하다.
또 다른 예시적인 실시양태에서, 본 발명에서는, 플라즈마 에칭을 필요로 하지 않는 플라즈마 시스템 구성요소에, 플라즈마 스트림에 대한 비-에칭 구성요소의 반응성을 감소시키는 표면 코팅을 적용하는 것을 포함하는 플라즈마 시스템의 효율을 증가시키는 방법으로서, 여기서 표면 코팅은
대략:
약 60% 내지 약 80%의 양의 이트륨,
약 20% 내지 약 40%의 양의 산소
의 조성을 갖는 이트리아,
및/또는
대략:
약 25% 내지 약 60%의 양의 알루미늄,
약 20% 내지 약 40%의 양의 산소,
약 20% 내지 약 40%의 양의 질소
의 조성을 갖는 알루미늄 옥시니트라이드
이고, 상기 코팅이 플라즈마 습윤되는 시스템의 구성요소에 적용되는 것인 방법이 교시된다.
또 다른 실시양태에서, 전이 금속 산화물, 예컨대 이트리아의 코팅이 플라즈마 구성요소에 직접 적용된다. 본 발명의 발명자들은 이트리아와 플라즈마 스트림의 상호작용은 애노드처리된 알루미늄의 재결합 속도보다 훨씬 더 낮은 재결합 속도를 제공한다는 사실을 밝혀냈다.
다양한 예시적인 실시양태에서, 플라즈마는 원자 산소, 분자 산소, 원자 수소, 분자 수소, 원자 질소, 분자 질소, 분자 아르곤, 원자 아르곤, 원자 플루오린 및 분자 플루오린 중 하나 이상을 포함한다. 이들 및 다른 다양한 실시양태에서 플라즈마는 플루오린-보유 플라즈마, 산소-보유 플라즈마, 수소-보유 플라즈마 및 질소-보유 플라즈마 중 하나 이상을 포함한다. 다양한 예시적인 실시양태에서, 플라즈마는 복합 플라즈마이다. 특정한 실시양태에서 플루오린-보유 플라즈마는 CF4, CHF3, CF3H, C2F6, C4F8, SF6, NF3, F2 및 C4F8O를 포함하고; 산소-보유 플라즈마는 O2, O3, N2O, CO, CO2, C4F8O, H2O 및 H2O2를 포함하고; 수소-보유 플라즈마는 H2, CH4, NH3, N2H2, C2H2, H2O, H2O2, N2/H2, He/H2 및 Ar/H2를 포함하고; 질소-보유 플라즈마는 N2, N2O, NH3, NF3, N2/H2 및 NO를 포함한다.
이들 및 다른 예시적인 실시양태에서, 구성요소는 석영, 알루미늄, 또는 애노드처리된 알루미늄 또는 그의 조합으로부터 제조된다.
다양한 실시양태에서, 코팅은 증기 증착, 스퍼터 증착, 용사 코팅, 졸-겔 코팅, 대기압 플라즈마 증착, 마그네트론 스퍼터링, 전자빔 증착 또는 펄스화 레이저 증착에 의해 적용된다. 일부 실시양태에서, 증기 증착은 플라즈마 강화 화학 증기 증착 (PECVD); 물리 증기 증착 (PVD); 및 화학 증기 증착 (CVD)이다.
다양한 실시양태에서, 코팅은 약 3 GPa 내지 약 10 GPa의 경도, 약 100 GPa 내지 약 20 GPa의 탄성률 (영률)을 갖고, 코팅은 약 -150℃ 내지 약 +600℃의 온도에서 안정하다.
그 밖의 또 다른 예시적인 실시양태에서, 본 발명에서는, 플라즈마 시스템 구성요소에, 플라즈마 스트림에 대한 구성요소의 반응성을 감소시키는 표면 코팅을 적용하는 것을 포함하는 플라즈마 시스템 구성요소의 수명을 증가시키는 방법으로서, 여기서 표면 코팅은
대략:
약 60% 내지 약 80%의 양의 이트륨,
약 20% 내지 약 40%의 양의 산소
의 조성을 갖는 이트리아,
및/또는
대략:
약 25% 내지 약 60%의 양의 알루미늄,
약 20% 내지 약 40%의 양의 산소,
약 20% 내지 약 40%의 양의 질소
의 조성을 갖는 알루미늄 옥시니트라이드
이고, 상기 코팅이 플라즈마 습윤되는 시스템의 구성요소에 적용되는 것인 방법이 교시된다.
일부 예시적인 실시양태에서, 플라즈마는 원자 산소, 분자 산소, 원자 수소, 분자 수소, 원자 질소, 분자 질소, 분자 아르곤, 원자 아르곤, 원자 플루오린 및 분자 플루오린 중 하나 이상을 포함한다. 이들 및 다른 다양한 실시양태에서 플라즈마는 플루오린-보유 플라즈마, 산소-보유 플라즈마, 수소-보유 플라즈마 및 질소-보유 플라즈마 중 하나 이상을 포함한다. 다양한 예시적인 실시양태에서, 플라즈마는 복합 플라즈마이다. 특정한 실시양태에서 플루오린-보유 플라즈마는 CF4, CHF3, CF3H, C2F6, C4F8, SF6, NF3, F2 및 C4F8O를 포함하고; 산소-보유 플라즈마는 O2, O3, N2O, CO, CO2, C4F8O, H2O 및 H2O2를 포함하고; 수소-보유 플라즈마는 H2, CH4, NH3, N2H2, C2H2, H2O, H2O2, N2/H2, He/H2 및 Ar/H2를 포함하고; 질소-보유 플라즈마는 N2, N2O, NH3, NF3, N2/H2 및 NO를 포함한다.
이들 및 다른 예시적인 실시양태에서, 구성요소는 석영, 알루미늄, 또는 애노드처리된 알루미늄 또는 그의 조합으로부터 제조된다.
일부 예시적인 실시양태에서, 코팅은 증기 증착, 스퍼터 증착, 용사 코팅, 졸-겔 코팅, 대기압 플라즈마 증착, 마그네트론 스퍼터링, 전자빔 증착 또는 펄스화 레이저 증착에 의해 적용된다. 다양한 실시양태에서, 증기 증착은 플라즈마 강화 화학 증기 증착 (PECVD); 물리 증기 증착 (PVD); 및 화학 증기 증착 (CVD)이다.
다양한 실시양태에서, 코팅은 약 3 GPa 내지 약 10 GPa의 경도, 약 100 GPa 내지 약 20 GPa의 탄성률 (영률)을 갖고, 코팅은 약 -150℃ 내지 약 +600℃의 온도에서 안정하다.
적용될 수 있는 다른 코팅은 전이 금속 옥시니트라이드 및 금속 산화물이고, 이는 금속 옥시니트라이드 및 전이 금속 산화물의 교차(crossed) 물질 카테고리이다. 챔버 구성요소의 보호를 위해 적용될 수 있는 그 밖의 다른 코팅은, 희토류 산화물, 희토류 니트라이드, 및 희토류 옥시니트라이드를 포함하는, 란타나이드 또는 악테나이드 서브카테고리의 희토류 화합물을 포함한다.
구조적으로, 코팅은 플라즈마 강화 화학 증기 증착 (PECVD), 물리 증기 증착 (PVD), 스퍼터 증착, 용사 코팅, 졸-겔 코팅, 대기압 플라즈마 증착, 마그네트론 스퍼터링, 전자빔 증착 또는 펄스화 레이저 증착에 의해 적용될 수 있다. 예를 들어, 예를 들어 PVD 공정에 의한 알루미늄 옥시니트라이드 코팅의 증착에 대해 기술하는, 2013년 2월 14일에 공개된 군다(Gunda)의 WO 2013/023029를 참조하도록 한다. WO 2013/023029 출원은 본 출원의 출원인의 소유이며, 이로써, 분명하게 정의된 경우 및 상기 출원에 포함된 특허 청구항을 제외하고는, 그 전문이 본원에 참조로 포함된다.
도 1은 1 Torr, 2000 W에서 O2/FG 플라즈마를 사용하는 플라즈마 세정 장치에서 처리되는 다수의 웨이퍼에 대한 포토레지스트 제거 속도를 그래프로서 도시한다. (i) 최적화된 애노드처리된 알루미늄 배플을 사용하는, 및 (ii) 알루미늄 옥시니트라이드 코팅된 알루미늄 배플을 사용하는, 두 가지의 플라즈마 세정 장치 구성물이 비교된다.
도 2는 (i) 최적화된 애노드처리된 알루미늄 배플 및 (ii) 표준 애노드처리된 알루미늄 배플, (iii) 알루미늄 옥시니트라이드 코팅된 알루미늄 배플, (iv) 이트리아 코팅된 알루미늄 배플인 네 가지 배플 플레이트 구성물을 비교하는, 다양한 플라즈마 화학 조성 (O2/FG 및 O2/FG +CF4) 및 플라즈마 시스템 (GES-IP, GPL)에 대한 포토레지스트 제거 속도를 보여주는 막대 그래프를 도시한다.
본원에서는 공격적인 (예를 들어, 플루오린-기재의) 플라즈마 환경에서 화학적 및 플라즈마 물리적 공격에 대해 강건하다는 이점을 갖는 플라즈마 구성요소를 위한 표면 코팅이 개시된다. 코팅은 또한 다른 공지된 표면 처리에 비해 활성 산소, 질소, 플루오린 및 수소 종에 대한 낮은 플라즈마 표면 재결합 속도를 제공한다. 코팅은, 석영, 알루미늄, 또는 애노드처리된 알루미늄과 같은 물질을 포함하지만 이로 제한되지 않는, 에칭 또는 플라즈마 세정을 필요로 하지 않는 임의의 플라즈마 시스템 구성요소에 적용될 수 있다. 부가적으로, 비-반응성 코팅이 시스템 구성요소에 적용됨으로써 시스템의 플라즈마 챔버로의 여기된 플라즈마 종의 유동이 증가함으로써, 시스템의 효율이 증가한다.
본원에서 사용되는 용어는 특정한 실시양태를 기술하기 위한 것일 뿐이며 제한하고자 함은 아니다. 본원에서 사용되는 바와 같은 단수형 "한", "하나의" 및 "그"는, 달리 문맥상 명백하게 명시되지 않는 한, 복수형을 또한 포함하고자 한다. 용어 "제1", "제2" 등은 임의의 특정한 순서를 암시하지 않지만 개별 요소를 식별하기 위해 포함된다. 용어 "포함한다" 및/또는 "포함하는", 또는 "내포한다" 및/또는 "내포하는"은, 본 명세서에서 사용될 때, 언급된 특징, 영역, 정수, 단계, 작업, 요소, 및/또는 구성요소의 존재를 규정하지만, 하나 이상의 다른 특징, 영역, 정수, 단계, 작업, 요소, 구성요소 및/또는 그의 그룹의 존재 또는 부가를 배제하지 않는다는 것이 추가로 이해될 것이다.
달리 정의되지 않는 한, 본원에서 사용되는 모든 용어 (기술적 및 과학적 용어를 포함함)는 실시양태가 속한 관련 기술분야의 통상의 기술자에 의해 통상적으로 이해되는 바와 동일한 의미를 갖는다. 용어, 예컨대 통상적으로 사용되는 사전에서 정의된 용어는, 관련 기술분야 및 본 발명의 문맥상의 그의 의미와 일치하는 의미를 갖는 것으로 해석되어야 하고, 본원에서 분명하게 정의되지 않는 한, 이상화되거나 지나치게 형식적인 의미로 해석되지는 않는다는 것이 추가로 이해될 것이다.
본원에 포함된 "실시양태(들)", "발명의 실시양태(들)", 및 "개시된 실시양태(들)"의 지시 내용은 선행 기술에서 인정되지 않은 본 특허 출원의 명세서 (청구항을 포함하는 글, 및 도면)와 관련된다.
본원에서 사용되는 바와 같은 용어 "플라즈마 습윤되는 구성요소"는 플라즈마 스트림과 접촉하는 임의의 구성요소 또는 물품을 지칭한다. 이러한 구성요소 또는 물품은 플라즈마 챔버의 부품일 수 있고, 플라즈마 챔버 내에 놓인, 플라즈마 스트림에 노출되는 임의의 물품일 수 있다.
본원에서 사용되는 바와 같은 용어 "플라즈마 애싱(ashing)"은 에칭된 웨이퍼 또는 다른 기재로부터 포토레지스트를 제거하는 공정을 지칭한다.
본원에서 사용되는 바와 같은 용어 "애싱 챔버"는 플라즈마 스트림에 의한 플라즈마 에칭에 노출되는 기재, 예컨대 웨이퍼를 수용하는 함체(enclosure)를 지칭한다.
본원에서 사용되는 바와 같은 용어 "애싱되는 구성요소"는 플라즈마 스트림과 반응하도록 요구되는 플라즈마 챔버의 구성요소, 예컨대 웨이퍼의 포토레지스트를 지칭한다.
본원에서 사용되는 용어 "비-애싱 구성요소"는 플라즈마 스트림과 반응하도록 요구되지 않는 플라즈마 챔버의 구성요소를 지칭한다. 이러한 구성요소는 플라즈마 챔버의 구성 부품, 예컨대 밸브, 배플, 전극 등뿐만 아니라 챔버 내에 놓이는 보조 물질, 예컨대 웨이퍼 지지체 또는 캐리어를 포함한다.
본원에서 사용되는 바와 같은 용어 "형성 가스"는 표면 상의 산화물을 물로 환원시키는 데 사용되는 수소와 불활성 가스 (통상적으로는 질소)의 혼합물을 지칭한다. 수소를 불활성 가스에 희석하여 수소를 약 4.7부피% 미만으로 유지하는데, 왜냐하면 이를 초과하면 수소가 자발적으로 연소할 수 있기 때문이다.
상기에 일반적으로 기술된 바와 같은 장비 및 화합물 및 본 발명에 따른 방법의 다양한 예시적인 실시양태를, 하기 실시예를 참조함으로써 더 용이하게 이해하게 되는데, 상기 실시예는 본 발명을 설명하기 위해 제공되며 어떠한 식으로도 제한하고자 하는 것은 아니다.
하기 실시예에서는 미국 매사추세츠주 베드포드 소재의 엔테그리스, 인크.에 의해 제조된 독특한 물리 증기 증착 (PVD) 코팅인, 알루미늄 옥시니트라이드 (AlON) 및 이트리아 코팅이 참조된다. 특히 이들 코팅은 두께가 4 내지 5 마이크로미터이고 표 1에 나타내어진 바와 같이 정의된 화학 조성을 갖는다.
<표 1>
Figure pct00001
* 열거된 조성 값은, [EDAX]라고도 지칭되는 에너지-분산 x-선 분석에 의해 결정된 것으로서, 원자%로 나타내어져 있다.
실시예 1
이 실시예에서는, 200 ㎜ 규소 기재 상에 코팅된 포토레지스트를, 악셀리스 테크놀로지즈 인크.(Axcelis Technologies, Inc.)로부터 상업적으로 입수 가능한 라디안트 스트립(Radiant Strip) 220ES-IP 플라즈마 애싱 장치를 사용하여, 산소 및 형성 가스 (질소 중 3% 수소)로부터 형성된 플라즈마에 노출시켰다. 상업적으로 입수 가능한 i-라인 포토레지스트를 규소 기재 상에 약 1.8마이크로미터의 두께로 증착시켰다. 약 1 Torr의 압력, 약 270℃의 온도, 및 2000와트의 전력 설정에서, 90%의 산소 및 10%의 형성 가스를 약 3.5 표준 리터/분 (slm)으로 플라즈마 애싱 장치 내로 유동시킴으로써, O2/FG 플라즈마 화학 조성을 형성하였다.
포토레지스트를 각각의 플라즈마에 15초 동안 노출한 후에 O2/FG 플라즈마 탈거 공정의 포토레지스트 제거 속도 (애싱 속도라고도 지칭됨) 및 크로스(cross) 웨이퍼 균일도를 결정하였다. 애싱 속도를 두 가지의 챔버 배플 플레이트 구성물에 대해 비교하였다:
(i) 애노드처리된 알루미늄 배플 플레이트 구성물을 사용하여 수득 가능한 가장 높은 애시 속도를 제공하는, 최적화된 표면 마감재를 갖는, 애노드처리된 알루미늄 배플 플레이트,
(ii) 미국 매사추세츠주 01730 베드포드 소재의 엔테그리스 스페셜티 코팅즈(Entegris Specialty Coatings)로부터 상업적으로 입수 가능한 알루미늄 옥시니트라이드 코팅을 갖는 알루미늄 배플.
표면 마감재 또는 표면 코팅 조성을 제외하고는, 두 가지의 배플 구성물은 기하구조, 크기 및 플라즈마 세정 시스템 내에서의 위치가 동일하였다.
각각의 구성물에서 여섯 개의 웨이퍼에 대해 애싱 속도 및 불균일도를 측정하였는데, 이때 여섯 개의 웨이퍼는 25-웨이퍼 시험 실행의 슬롯 1, 5, 10, 15, 20 및 25에 놓였다. 웨이퍼 상의 49개의 측정점을 기준으로 하여 포토레지스트의 두께의 차 (애시 후 두께에서 애시 전 두께를 뺌)를 결정하고 포토레지스트 수축량 (온도에만 노출할 때의 레지스트 두께의 변화)을 제함으로써 애싱 속도를 계산한다. 포토레지스트 수축량은 별도의 시험 실행에 의해 결정 시 4600 Å인 것으로 밝혀졌고, 여기서는 웨이퍼를 플라즈마 전력을 0으로 하여 처리하였다. 수축 없는, 최종 두께 변화는, 애시 속도 시험의 시간을 고려하여, 속도 (㎛/min)로서 표현된다.
도 1에는, 발명의 실시양태에 대한 실시예 1 애싱 속도 시험의 결과가 제시되어 있다. AlON-코팅된 알루미늄 배플 플레이트를 사용하는 구성물은 최적화된 애노드처리된 배플 구성물을 사용하여 수득된 것보다 약 20% 더 높은 애싱 속도를 일관되게 제공하였다는 것을 주목하도록 한다. 더 높은 애싱 속도는, 활성 산소, 수소 및 질소 종 중 하나 이상이 더 많이 배플 플레이트를 통과하여 포토레지스트와 반응할 수 있다는 것을 암시한다. 더 높은 애싱 속도가 요구되는데, 왜냐하면 이는 챔버로의 반응성 종의 더 큰 유동을 나타내며 이로써 기재가 더 신속하게 세정될 수 있기 때문이다.
실시예 2
이 실시예에서는, 4가지의 상이한 플라즈마 장치 배플 구성물인
(i) 최적화된 애노드처리된 알루미늄 배플;
(ii) 표준 애노드처리된 알루미늄 배플;
(iii) 알루미늄 옥시니트라이드 코팅된 알루미늄 배플;
(iv) 이트리아 코팅된 알루미늄 배플
에 대해, 두 가지의 상이한 플라즈마 세정 시스템인
(i) 악셀리스 테크놀로지즈 인크.로부터 상업적으로 입수 가능한 라디안트 스트립 220ES-IP 플라즈마 애싱 장치,
(ii) 악셀리스 테크놀로지즈 인크.로부터 상업적으로 입수 가능한 라디안트 스트립 220 플라즈마 애싱 장치
에서, 200 ㎜ 규소 기재 상에 코팅된 포토레지스트를, 다양한 플라즈마인
(i) 약 1 Torr의 압력, 약 270℃의 온도, 및 2000와트의 전력 설정에서, 90%의 산소 및 10%의 형성 가스를 플라즈마 애싱 장치 내로 유동시킴으로써 형성한 O2/FG 플라즈마;
(ii) 약 1 Torr의 압력, 약 270℃의 온도, 및 2000와트의 전력 설정에서, 90%의 산소 및 10%의 형성 가스 및 약 0.15%의 CF4를 플라즈마 애싱 장치 내로 유동시킴으로써 형성한 O2/FG+CF4 플라즈마
에 노출시켰다.
표면 마감재 또는 표면 코팅을 제외하고는, 네 가지의 배플 구성물은 기하구조, 크기 및 플라즈마 세정 시스템 내에서의 위치가 동일하였다.
애싱 속도 및 불균일도를 각각의 구성물에서 2개의 웨이퍼에 대해 측정하였다. 애싱 속도를 실시예 1에 대해 기술된 바와 동일한 방식으로 계산하였다.
도 2에는, 발명의 실시양태에 대한 실시예 2 애싱 속도 시험의 결과가 제시되어 있다. 상기 결과는, AlON-코팅된 알루미늄 배플 플레이트 구성물은, 표준 애노드처리된 알루미늄 배플 또는 이트리아-코팅된 알루미늄 배플을 사용하여 수득된 애싱 속도보다 약 50% 넘게 더 높고, 최적화된 애노드처리된 알루미늄 배플 플레이트를 사용하여 수득된 애싱 속도보다 약 20% 더 높은, 가장 높은 애싱 속도를 일관되게 나타낸다는 것을 보여준다. 더 높은 애싱 속도는, 활성 산소, 수소, 플루오린 및 질소 종 중 하나 이상이 더 많이 배플 플레이트를 통과하고, 비-애싱 구성요소의 감소된 상호작용 때문에, 여기된 종이, 애싱되는 구성요소로 더 많이 유동한다는 것을 암시한다. 이로써 포토레지스트와의 반응이 증가할 수 있다. 더 높은 애싱 속도가 요구되는데, 왜냐하면 이로써 기재가 더 신속하게 세정될 수 있기 때문이다.
본 발명의 다양한 측면을 위해 1 내지 47까지 연속적으로 열거된 하기 단락들이 제공된다. 한 실시양태에서, 제1 단락 (1)에서, 본 발명은 하기를 제공한다:
1. 플라즈마 습윤되는 표면 시스템 구성요소의 반응성을 감소시키기 위한,
플라즈마 습윤되는 시스템의 구성요소에 적용되는,
대략:
약 60% 내지 약 80%의 양의 이트륨,
약 20% 내지 약 40%의 양의 산소
의 조성을 갖는 이트리아,
및/또는
대략:
약 25% 내지 약 60%의 양의 알루미늄,
약 20% 내지 약 40%의 양의 산소,
약 20% 내지 약 40%의 양의 질소
의 조성을 갖는 알루미늄 옥시니트라이드
를 포함하는 코팅.
2. 제1 단락에 있어서, 코팅이
약 60% 내지 약 80%의 양의 이트륨,
약 20% 내지 약 40%의 양의 산소
를 포함하는 이트리아인 코팅.
3. 제1 단락에 있어서, 코팅이
약 25% 내지 약 60%의 양의 알루미늄,
약 20% 내지 약 40%의 양의 산소,
약 20% 내지 약 40%의 양의 질소
를 포함하는 알루미늄 옥시니트라이드인 코팅.
4. 제1 내지 제3 단락에 있어서, 플라즈마가 원자 산소, 분자 산소, 원자 수소, 분자 수소, 원자 질소, 분자 질소, 분자 아르곤, 원자 아르곤, 원자 플루오린, 분자 플루오린 중 하나 이상을 포함하는 코팅.
5. 제1 내지 제4 단락에 있어서, 플라즈마가 플루오린-보유 플라즈마, 산소-보유 플라즈마, 수소-보유 플라즈마 및 질소-보유 플라즈마 중 하나 이상을 포함하는 코팅.
6. 제1 내지 제5 단락에 있어서, 플루오린-보유 플라즈마가 CF4, CHF3, CF3H, C2F6, C4F8, SF6, NF3, F2 및 C4F8O를 포함하는 코팅.
7. 제1 내지 제5 단락에 있어서, 산소-보유 플라즈마가 O2, O3, N2O, CO, CO2, C4F8O, H2O 및 H2O2를 포함하는 코팅.
8. 제1 내지 제5 단락에 있어서, 수소-보유 플라즈마가 H2, CH4, NH3, N2H2, C2H2, H2O, H2O2, N2/H2, He/H2 및 Ar/H2를 포함하는 코팅.
9. 제1 내지 제5 단락에 있어서, 질소-보유 플라즈마가 N2, N2O, NH3, NF3, N2/H2 및 NO를 포함하는 코팅.
10. 제1 내지 제9 단락 중 어느 한 단락에 있어서, 코팅이 증기 증착, 스퍼터 증착, 용사 코팅, 졸-겔 코팅, 대기압 플라즈마 증착, 마그네트론 스퍼터링, 전자빔 증착 또는 펄스화 레이저 증착에 의해 적용되는 코팅.
11. 제1 내지 제10 단락에 있어서, 증기 증착이 플라즈마 강화 화학 증기 증착 (PECVD); 물리 증기 증착 (PVD); 및 화학 증기 증착 (CVD)인 코팅.
12. 제1 내지 제11 단락에 있어서, 코팅이 약 -150℃ 내지 약 +600℃의 온도에서 안정한 표면 코팅.
13. 제1 내지 제12 단락에 있어서, 플라즈마 시스템이 하류 원격 플라즈마 시스템, 유도 결합 플라즈마 시스템, 축전 결합 플라즈마 시스템, 반응성 이온 에치 플라즈마 시스템, 및 대기압 플라즈마 시스템, 및 이온-에치 플라즈마 시스템인 표면 코팅.
14. 제1 내지 제13 단락에 있어서, 코팅이 약 3 GPa 내지 약 10 GPa의 경도를 갖는 표면 코팅.
15. 제1 내지 제14 단락에 있어서, 코팅이 약 100 GPa 내지 약 20 GPa의 탄성률 (영률)을 갖는 표면 코팅.
16. 제1 내지 제15 단락에 있어서, 구성요소가 석영, 알루미늄, 또는 애노드처리된 알루미늄 또는 그의 조합으로부터 제조된 표면 코팅.
17. 플라즈마 에칭을 필요로 하지 않는 플라즈마 시스템 구성요소에, 플라즈마 스트림에 대한 비-에칭 구성요소의 반응성을 감소시키는 표면 코팅을 적용하는 것을 포함하는 플라즈마 시스템의 효율을 증가시키는 방법이며, 여기서 표면 코팅은
대략:
약 60% 내지 약 80%의 양의 이트륨,
약 20% 내지 약 40%의 양의 산소
의 조성을 갖는 이트리아,
및/또는
대략:
약 25% 내지 약 60%의 양의 알루미늄,
약 20% 내지 약 40%의 양의 산소,
약 20% 내지 약 40%의 양의 질소
의 조성을 갖는 알루미늄 옥시니트라이드
이고, 상기 코팅이 플라즈마 습윤되는 시스템의 구성요소에 적용되는 것인 방법.
18. 제17 단락에 있어서, 플라즈마가 원자 산소, 분자 산소, 원자 수소, 분자 수소, 원자 질소, 분자 질소, 분자 아르곤, 원자 아르곤, 원자 플루오린, 분자 플루오린 중 하나 이상을 포함하는 방법.
19. 제17 또는 제18 단락에 있어서, 플라즈마가 플루오린-보유 플라즈마, 산소-보유 플라즈마, 수소-보유 플라즈마 및 질소-보유 플라즈마 중 하나 이상을 포함하는 방법.
20. 제17 내지 제19 단락에 있어서, 플루오린-보유 플라즈마가 CF4, CHF3, CF3H, C2F6, C4F8, SF6, NF3, F2 및 C4F8O를 포함하는 방법.
21. 제17 내지 제19 단락에 있어서, 산소-보유 플라즈마가 O2, O3, N2O, CO, CO2, C4F8O, H2O 및 H2O2를 포함하는 방법.
22. 제17 내지 제19 단락에 있어서, 수소-보유 플라즈마가 H2, CH4, NH3, N2H2, C2H2, H2O, H2O2, N2/H2, He/H2 및 Ar/H2를 포함하는 방법.
23. 제17 내지 제19 단락에 있어서, 질소-보유 플라즈마가 N2, N2O, NH3, NF3, N2/H2 및 NO를 포함하는 방법.
24. 제17 내지 제23 단락에 있어서, 코팅이 증기 증착, 스퍼터 증착, 용사 코팅, 졸-겔 코팅, 대기압 플라즈마 증착, 마그네트론 스퍼터링, 전자빔 증착 또는 펄스화 레이저 증착에 의해 적용되는 방법.
25. 제17 내지 제24 단락에 있어서, 증기 증착이 플라즈마 강화 화학 증기 증착 (PECVD); 물리 증기 증착 (PVD); 및 화학 증기 증착 (CVD)인 방법.
26. 제17 내지 제25 단락에 있어서, 코팅이 약 -150℃ 내지 약 +600℃의 온도에서 안정한 방법.
27. 제17 내지 제26 단락에 있어서, 코팅이 약 3 GPa 내지 약 10 GPa의 경도를 갖는 방법.
28. 제17 내지 제27 단락에 있어서, 코팅이 약 100 GPa 내지 약 20 GPa의 탄성률 (영률)을 갖는 방법.
29. 제17 내지 제28 단락 중 어느 한 단락에 있어서, 코팅이
약 60% 내지 약 80%의 양의 이트륨,
약 20% 내지 약 40%의 양의 산소
를 포함하는 이트리아인 방법.
30. 제17 내지 제29 단락 중 어느 한 단락에 있어서, 코팅이
약 25% 내지 약 60%의 양의 알루미늄,
약 20% 내지 약 40%의 양의 산소,
약 20% 내지 약 40%의 양의 질소
를 포함하는 알루미늄 옥시니트라이드인 방법.
31. 제17 내지 제30 단락 중 어느 한 단락에 있어서, 구성요소가 석영, 알루미늄, 또는 애노드처리된 알루미늄 또는 그의 조합으로부터 제조된 방법.
32. 플라즈마 시스템 구성요소에, 플라즈마 스트림에 대한 구성요소의 반응성을 감소시키는 표면 코팅을 적용하는 것을 포함하는 플라즈마 시스템 구성요소의 수명을 증가시키는 방법이며, 여기서 표면 코팅은
대략:
약 60% 내지 약 80%의 양의 이트륨,
약 20% 내지 약 40%의 양의 산소
의 조성을 갖는 이트리아,
및/또는
대략:
약 25% 내지 약 60%의 양의 알루미늄,
약 20% 내지 약 40%의 양의 산소,
약 20% 내지 약 40%의 양의 질소
의 조성을 갖는 알루미늄 옥시니트라이드
이고, 상기 코팅이 플라즈마 습윤되는 시스템의 구성요소에 적용되는 것인 방법.
33. 제32 단락에 있어서, 플라즈마가 원자 산소, 분자 산소, 원자 수소, 분자 수소, 원자 질소, 분자 질소, 분자 아르곤, 원자 아르곤, 원자 플루오린, 분자 플루오린 중 하나 이상을 포함하는 방법.
34. 제32 또는 제33 단락에 있어서, 플라즈마가 플루오린-보유 플라즈마, 산소-보유 플라즈마, 수소-보유 플라즈마 및 질소-보유 플라즈마 중 하나 이상을 포함하는 방법.
35. 제32 내지 제34 단락에 있어서, 플루오린-보유 플라즈마가 CF4, CHF3, CF3H, C2F6, C4F8, SF6, NF3, F2 및 C4F8O를 포함하는 방법.
36. 제32 내지 제34 단락에 있어서, 산소-보유 플라즈마가 O2, O3, N2O, CO, CO2, C4F8O, H2O 및 H2O2를 포함하는 방법.
37. 제32 내지 제34 단락에 있어서, 수소-보유 플라즈마가 H2, CH4, NH3, N2H2, C2H2, H2O, H2O2, N2/H2, He/H2 및 Ar/H2를 포함하는 방법.
38. 제32 내지 제34 단락에 있어서, 질소-보유 플라즈마가 N2, N2O, NH3, NF3, N2/H2 및 NO를 포함하는 방법.
39. 제32 내지 제38 단락에 있어서, 코팅이 증기 증착, 스퍼터 증착, 용사 코팅, 졸-겔 코팅, 대기압 플라즈마 증착, 마그네트론 스퍼터링, 전자빔 증착 또는 펄스화 레이저 증착에 의해 적용되는 방법.
40. 제32 내지 제39 단락에 있어서, 증기 증착이 플라즈마 강화 화학 증기 증착 (PECVD); 물리 증기 증착 (PVD); 및 화학 증기 증착 (CVD)인 방법.
41. 제32 내지 제40 단락에 있어서, 코팅이 약 -150℃ 내지 약 +600℃의 온도에서 안정한 방법.
42. 제32 내지 제41 단락에 있어서, 코팅이 약 3 GPa 내지 약 10 GPa의 경도를 갖는 표면 코팅.
43. 제32 내지 제42 단락에 있어서, 코팅이 약 100 GPa 내지 약 20 GPa의 탄성률 (영률)을 갖는 표면 코팅.
44. 제32 내지 제43 단락 중 어느 한 단락에 있어서, 코팅이
약 60% 내지 약 80%의 양의 이트륨,
약 20% 내지 약 40%의 양의 산소
를 포함하는 이트리아인 방법.
45. 제32 내지 제44 단락 중 어느 한 단락에 있어서, 코팅이
약 25% 내지 약 60%의 양의 알루미늄,
약 20% 내지 약 40%의 양의 산소,
약 20% 내지 약 40%의 양의 질소
를 포함하는 알루미늄 옥시니트라이드인 방법.
46. 제32 내지 제45 단락 중 어느 한 단락에 있어서, 구성요소가 석영, 알루미늄, 또는 애노드처리된 알루미늄 또는 그의 조합으로부터 제조된 방법.
특정 용어 "수단" 또는 "단계"가 각각의 청구항에서 상술되지 않은 한, 본 발명의 실시양태에 대한 청구항을 해석하기 위해 35 U.S.C. 112(f)의 조항을 인용해서는 안 된다는 것을 분명히 하고자 한다.
본 발명은 상기에 요약된 다양한 예시적인 실시양태와 관련하여 기술되었지만, 관련 기술분야의 통상의 기술자라면, 공지되었거나 현재 예측되지 않거나 예측되지 않을 수 있는, 다양한 대체양태, 개질양태, 변형양태, 개선양태 및/또는 실질적 등가양태를 명백하게 알 수 있을 것이다. 따라서, 상기에 제시된 바와 같은, 본 발명에 따른 예시적인 실시양태는 제한이 아닌 설명을 하고자 하는 것이다. 발명의 개념 및 범주에서 벗어나지 않게 다양한 변화가 이루어질 수 있다. 그러므로, 발명은, 모든 공지되거나 이후에 개발되는, 이들 예시적인 실시양태의 대체양태, 개질양태, 변형양태, 개선양태 및/또는 실질적 등가양태를 포함하고자 한다.

Claims (46)

  1. 플라즈마 습윤되는 표면 시스템 구성요소의 반응성을 감소시키기 위한,
    플라즈마 습윤되는 시스템의 구성요소에 적용되는,
    대략:
    약 60% 내지 약 80%의 양의 이트륨,
    약 20% 내지 약 40%의 양의 산소
    의 조성을 갖는 이트리아,
    및/또는
    대략:
    약 25% 내지 약 60%의 양의 알루미늄,
    약 20% 내지 약 40%의 양의 산소,
    약 20% 내지 약 40%의 양의 질소
    의 조성을 갖는 알루미늄 옥시니트라이드
    를 포함하는 코팅.
  2. 제1항에 있어서,
    약 60% 내지 약 80%의 양의 이트륨,
    약 20% 내지 약 40%의 양의 산소
    를 포함하는 이트리아인 코팅.
  3. 제1항에 있어서,
    약 25% 내지 약 60%의 양의 알루미늄,
    약 20% 내지 약 40%의 양의 산소,
    약 20% 내지 약 40%의 양의 질소
    를 포함하는 알루미늄 옥시니트라이드인 코팅.
  4. 제1항에 있어서, 플라즈마가 원자 산소, 분자 산소, 원자 수소, 분자 수소, 원자 질소, 분자 질소, 분자 아르곤, 원자 아르곤, 원자 플루오린, 분자 플루오린 중 하나 이상을 포함하는 것인 코팅.
  5. 제4항에 있어서, 플라즈마가 플루오린-보유 플라즈마, 산소-보유 플라즈마, 수소-보유 플라즈마 및 질소-보유 플라즈마 중 하나 이상을 포함하는 것인 코팅.
  6. 제1항 내지 제5항 중 어느 한 항에 있어서, 플루오린-보유 플라즈마가 CF4, CHF3, CF3H, C2F6, C4F8, SF6, NF3, F2 및 C4F8O를 포함하는 것인 코팅.
  7. 제1항 내지 제5항 중 어느 한 항에 있어서, 산소-보유 플라즈마가 O2, O3, N2O, CO, CO2, C4F8O, H2O 및 H2O2를 포함하는 것인 코팅.
  8. 제1항 내지 제5항 중 어느 한 항에 있어서, 수소-보유 플라즈마가 H2, CH4, NH3, N2H2, C2H2, H2O, H2O2, N2/H2, He/H2 및 Ar/H2를 포함하는 것인 코팅.
  9. 제1항 내지 제5항 중 어느 한 항에 있어서, 질소-보유 플라즈마가 N2, N2O, NH3, NF3, N2/H2 및 NO를 포함하는 것인 코팅.
  10. 제1항 내지 제5항 중 어느 한 항에 있어서, 증기 증착, 스퍼터 증착, 용사 코팅, 졸-겔 코팅, 대기압 플라즈마 증착, 마그네트론 스퍼터링, 전자빔 증착 또는 펄스화 레이저 증착에 의해 적용되는 코팅.
  11. 제10항에 있어서, 증기 증착이 플라즈마 강화 화학 증기 증착 (PECVD); 물리 증기 증착 (PVD); 및 화학 증기 증착 (CVD)인 코팅.
  12. 제1항 내지 제5항 중 어느 한 항에 있어서, 약 -150℃ 내지 약 +600℃의 온도에서 안정한 코팅.
  13. 제1항 내지 제5항 중 어느 한 항에 있어서, 플라즈마 시스템이 하류 원격 플라즈마 시스템, 유도 결합 플라즈마 시스템, 축전 결합 플라즈마 시스템, 반응성 이온 에치 플라즈마 시스템, 및 대기압 플라즈마 시스템, 및 이온-에치 플라즈마 시스템인 코팅.
  14. 제1항 내지 제5항 중 어느 한 항에 있어서, 약 3 GPa 내지 약 10 GPa의 경도를 갖는 코팅.
  15. 제1항 내지 제5항 중 어느 한 항에 있어서, 약 100 GPa 내지 약 20 GPa의 탄성률 (영률)을 갖는 코팅.
  16. 제1항 내지 제5항 중 어느 한 항에 있어서, 구성요소가 석영, 알루미늄, 또는 애노드처리된 알루미늄 또는 그의 조합으로부터 제조된 것인 코팅.
  17. 플라즈마 에칭을 필요로 하지 않는 플라즈마 시스템 구성요소에, 플라즈마 스트림에 대한 비-에칭 구성요소의 반응성을 감소시키는 표면 코팅을 적용하는 것을 포함하는 플라즈마 시스템의 효율을 증가시키는 방법이며, 여기서 표면 코팅은
    대략:
    약 60% 내지 약 80%의 양의 이트륨,
    약 20% 내지 약 40%의 양의 산소
    의 조성을 갖는 이트리아,
    및/또는
    대략:
    약 25% 내지 약 60%의 양의 알루미늄,
    약 20% 내지 약 40%의 양의 산소,
    약 20% 내지 약 40%의 양의 질소
    의 조성을 갖는 알루미늄 옥시니트라이드
    이고, 상기 코팅이 플라즈마 습윤되는 시스템의 구성요소에 적용되는 것인 방법.
  18. 제17항에 있어서, 코팅이
    약 60% 내지 약 80%의 양의 이트륨,
    약 20% 내지 약 40%의 양의 산소
    를 포함하는 이트리아인 방법.
  19. 제17항에 있어서, 코팅이
    약 25% 내지 약 60%의 양의 알루미늄,
    약 20% 내지 약 40%의 양의 산소,
    약 20% 내지 약 40%의 양의 질소
    를 포함하는 알루미늄 옥시니트라이드인 방법.
  20. 제17항에 있어서, 플라즈마가 원자 산소, 분자 산소, 원자 수소, 분자 수소, 원자 질소, 분자 질소, 분자 아르곤, 원자 아르곤, 원자 플루오린, 분자 플루오린 중 하나 이상을 포함하는 것인 방법.
  21. 제17항 내지 제20항 중 어느 한 항에 있어서, 플라즈마가 플루오린-보유 플라즈마, 산소-보유 플라즈마, 수소-보유 플라즈마 및 질소-보유 플라즈마 중 하나 이상을 포함하는 것인 방법.
  22. 제17항 내지 제20항 중 어느 한 항에 있어서, 플루오린-보유 플라즈마가 CF4, CHF3, CF3H, C2F6, C4F8, SF6, NF3, F2 및 C4F8O를 포함하는 것인 방법.
  23. 제17항 내지 제20항 중 어느 한 항에 있어서, 산소-보유 플라즈마가 O2, O3, N2O, CO, CO2, C4F8O, H2O 및 H2O2를 포함하는 것인 방법.
  24. 제17항 내지 제20항 중 어느 한 항에 있어서, 수소-보유 플라즈마가 H2, CH4, NH3, N2H2, C2H2, H2O, H2O2, N2/H2, He/H2 및 Ar/H2를 포함하는 것인 방법.
  25. 제17항 내지 제20항 중 어느 한 항에 있어서, 질소-보유 플라즈마가 N2, N2O, NH3, NF3, N2/H2 및 NO를 포함하는 것인 방법.
  26. 제17항 내지 제20항 중 어느 한 항에 있어서, 코팅이 증기 증착, 스퍼터 증착, 용사 코팅, 졸-겔 코팅, 대기압 플라즈마 증착, 마그네트론 스퍼터링, 전자빔 증착 또는 펄스화 레이저 증착에 의해 적용되는 것인 방법.
  27. 제26항에 있어서, 증기 증착이 플라즈마 강화 화학 증기 증착 (PECVD); 물리 증기 증착 (PVD); 및 화학 증기 증착 (CVD)인 방법.
  28. 제17항 내지 제20항 중 어느 한 항에 있어서, 코팅이 약 -150℃ 내지 약 +600℃의 온도에서 안정한 것인 방법.
  29. 제17항 내지 제20항 중 어느 한 항에 있어서, 코팅이 약 3 GPa 내지 약 10 GPa의 경도를 갖는 것인 방법.
  30. 제17항 내지 제20항 중 어느 한 항에 있어서, 코팅이 약 100 GPa 내지 약 20 GPa의 탄성률 (영률)을 갖는 것인 방법.
  31. 제17항 내지 제20항 중 어느 한 항에 있어서, 구성요소가 석영, 알루미늄, 또는 애노드처리된 알루미늄 또는 그의 조합으로부터 제조된 것인 방법.
  32. 플라즈마 시스템 구성요소에, 플라즈마 스트림에 대한 구성요소의 반응성을 감소시키는 표면 코팅을 적용하는 것을 포함하는 플라즈마 시스템 구성요소의 수명을 증가시키는 방법이며, 여기서 표면 코팅은
    대략:
    약 60% 내지 약 80%의 양의 이트륨,
    약 20% 내지 약 40%의 양의 산소
    의 조성을 갖는 이트리아,
    및/또는
    대략:
    약 25% 내지 약 60%의 양의 알루미늄,
    약 20% 내지 약 40%의 양의 산소,
    약 20% 내지 약 40%의 양의 질소
    의 조성을 갖는 알루미늄 옥시니트라이드
    이고, 상기 코팅이 플라즈마 습윤되는 시스템의 구성요소에 적용되는 것인 방법.
  33. 제32항에 있어서, 코팅이
    약 60% 내지 약 80%의 양의 이트륨,
    약 20% 내지 약 40%의 양의 산소
    를 포함하는 이트리아인 방법.
  34. 제32항에 있어서, 코팅이
    약 25% 내지 약 60%의 양의 알루미늄,
    약 20% 내지 약 40%의 양의 산소,
    약 20% 내지 약 40%의 양의 질소
    를 포함하는 알루미늄 옥시니트라이드인 방법.
  35. 제32항에 있어서, 플라즈마가 원자 산소, 분자 산소, 원자 수소, 분자 수소, 원자 질소, 분자 질소, 분자 아르곤, 원자 아르곤, 원자 플루오린, 분자 플루오린 중 하나 이상을 포함하는 것인 방법.
  36. 제32항 내지 제35항 중 어느 한 항에 있어서, 플라즈마가 플루오린-보유 플라즈마, 산소-보유 플라즈마, 수소-보유 플라즈마 및 질소-보유 플라즈마 중 하나 이상을 포함하는 것인 방법.
  37. 제32항 내지 제35항 중 어느 한 항에 있어서, 플루오린-보유 플라즈마가 CF4, CHF3, CF3H, C2F6, C4F8, SF6, NF3, F2 및 C4F8O를 포함하는 것인 방법.
  38. 제32항 내지 제35항 중 어느 한 항에 있어서, 산소-보유 플라즈마가 O2, O3, N2O, CO, CO2, C4F8O, H2O 및 H2O2를 포함하는 것인 방법.
  39. 제32항 내지 제35항 중 어느 한 항에 있어서, 수소-보유 플라즈마가 H2, CH4, NH3, N2H2, C2H2, H2O, H2O2, N2/H2, He/H2 및 Ar/H2를 포함하는 것인 방법.
  40. 제32항 내지 제35항 중 어느 한 항에 있어서, 질소-보유 플라즈마가 N2, N2O, NH3, NF3, N2/H2 및 NO를 포함하는 것인 방법.
  41. 제32항 내지 제35항 중 어느 한 항에 있어서, 코팅이 증기 증착, 스퍼터 증착, 용사 코팅, 졸-겔 코팅, 대기압 플라즈마 증착, 마그네트론 스퍼터링, 전자빔 증착 또는 펄스화 레이저 증착에 의해 적용되는 것인 방법.
  42. 제41항에 있어서, 증기 증착이 플라즈마 강화 화학 증기 증착 (PECVD); 물리 증기 증착 (PVD); 및 화학 증기 증착 (CVD)인 방법.
  43. 제32항 내지 제35항 중 어느 한 항에 있어서, 코팅이 약 -150℃ 내지 약 +600℃의 온도에서 안정한 것인 방법.
  44. 제32항 내지 제35항 중 어느 한 항에 있어서, 코팅이 약 3 GPa 내지 약 10 GPa의 경도를 갖는 것인 방법.
  45. 제32항 내지 제35항 중 어느 한 항에 있어서, 코팅이 약 100 GPa 내지 약 20 GPa의 탄성률 (영률)을 갖는 것인 방법.
  46. 제32항 내지 제35항 중 어느 한 항에 있어서, 구성요소가 석영, 알루미늄, 또는 애노드처리된 알루미늄 또는 그의 조합으로부터 제조된 것인 방법.
KR1020167016070A 2013-11-21 2014-11-21 플라즈마 시스템에서 사용되는 챔버 구성요소를 위한 표면 코팅 KR20160088357A (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020217042607A KR20220002721A (ko) 2013-11-21 2014-11-21 플라즈마 시스템에서 사용되는 챔버 구성요소를 위한 표면 코팅

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361907214P 2013-11-21 2013-11-21
US61/907,214 2013-11-21
PCT/US2014/066883 WO2015077601A1 (en) 2013-11-21 2014-11-21 Surface coating for chamber components used in plasma systems

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020217042607A Division KR20220002721A (ko) 2013-11-21 2014-11-21 플라즈마 시스템에서 사용되는 챔버 구성요소를 위한 표면 코팅

Publications (1)

Publication Number Publication Date
KR20160088357A true KR20160088357A (ko) 2016-07-25

Family

ID=52278737

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020237009690A KR20230044030A (ko) 2013-11-21 2014-11-21 플라즈마 시스템에서 사용되는 챔버 구성요소를 위한 표면 코팅
KR1020217042607A KR20220002721A (ko) 2013-11-21 2014-11-21 플라즈마 시스템에서 사용되는 챔버 구성요소를 위한 표면 코팅
KR1020167016070A KR20160088357A (ko) 2013-11-21 2014-11-21 플라즈마 시스템에서 사용되는 챔버 구성요소를 위한 표면 코팅

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020237009690A KR20230044030A (ko) 2013-11-21 2014-11-21 플라즈마 시스템에서 사용되는 챔버 구성요소를 위한 표면 코팅
KR1020217042607A KR20220002721A (ko) 2013-11-21 2014-11-21 플라즈마 시스템에서 사용되는 챔버 구성요소를 위한 표면 코팅

Country Status (8)

Country Link
US (1) US11764037B2 (ko)
EP (1) EP3071726B1 (ko)
JP (2) JP2016540889A (ko)
KR (3) KR20230044030A (ko)
CN (2) CN115094377A (ko)
SG (1) SG10201804237VA (ko)
TW (2) TW202208651A (ko)
WO (1) WO2015077601A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230068373A (ko) * 2019-12-04 2023-05-17 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드. 차이나 내 플라즈마 코팅을 형성하는 방법, 장치, 부품 및 플라즈마 처리 장치

Families Citing this family (244)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN108425090A (zh) 2011-08-10 2018-08-21 恩特格里斯公司 具有视需要氧化钇覆盖层的经AlON涂布的基质
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
TWI683888B (zh) 2015-03-18 2020-02-01 美商恩特葛瑞斯股份有限公司 塗佈有經氟退火膜之物品
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
WO2019053925A1 (ja) * 2017-09-12 2019-03-21 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置及びプログラム
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
CN113261073A (zh) * 2018-12-15 2021-08-13 恩特格里斯公司 利用非钨材料的氟离子植入系统和其使用方法
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU2211488A (en) 1987-10-01 1989-04-06 Gte Laboratories Incorporated Oxidation resistant, high temperature thermal cyling resistant coatings on silicon-based substrates and process for the production thereof
US4950558A (en) * 1987-10-01 1990-08-21 Gte Laboratories Incorporated Oxidation resistant high temperature thermal cycling resistant coatings on silicon-based substrates and process for the production thereof
US4931756A (en) 1988-04-08 1990-06-05 Energy Conversion Devices, Inc. High power microwave transmissive window assembly
US5616208A (en) * 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
US20080213496A1 (en) 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US7311797B2 (en) * 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
JP3650772B2 (ja) 2002-12-17 2005-05-25 松下電器産業株式会社 プラズマ処理装置
JP4031732B2 (ja) * 2003-05-26 2008-01-09 京セラ株式会社 静電チャック
US20050241669A1 (en) * 2004-04-29 2005-11-03 Tokyo Electron Limited Method and system of dry cleaning a processing chamber
JP5046480B2 (ja) * 2004-09-24 2012-10-10 京セラ株式会社 耐食性部材とその製造方法、およびこれを用いた半導体・液晶製造装置用部材
US20070028842A1 (en) * 2005-08-02 2007-02-08 Makoto Inagawa Vacuum chamber bottom
US7446284B2 (en) * 2005-12-21 2008-11-04 Momentive Performance Materials Inc. Etch resistant wafer processing apparatus and method for producing the same
JP5031259B2 (ja) * 2006-04-27 2012-09-19 京セラ株式会社 耐食性部材とその製造方法およびこれを用いた半導体・液晶製造装置
US20100136169A1 (en) 2007-04-20 2010-06-03 Dsm Ip Assets B.V. Novel asparaginases and uses thereof
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
JP2009280483A (ja) 2008-04-25 2009-12-03 Kyocera Corp 耐食性部材およびその製造方法ならびに処理装置
CN102676989A (zh) * 2011-03-11 2012-09-19 鸿富锦精密工业(深圳)有限公司 镀膜件及其制备方法
CN108425090A (zh) 2011-08-10 2018-08-21 恩特格里斯公司 具有视需要氧化钇覆盖层的经AlON涂布的基质
TWI539018B (zh) 2011-08-26 2016-06-21 Toyo Boseki 阻氣性薄膜
US9034199B2 (en) * 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9394615B2 (en) * 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US9138864B2 (en) * 2013-01-25 2015-09-22 Kennametal Inc. Green colored refractory coatings for cutting tools
US9123651B2 (en) * 2013-03-27 2015-09-01 Lam Research Corporation Dense oxide coated component of a plasma processing chamber and method of manufacture thereof
US11346006B2 (en) * 2019-11-27 2022-05-31 University Of Central Florida Research Foundation, Inc. Rare-earth doped thermal barrier coating bond coat for thermally grown oxide luminescence sensing

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230068373A (ko) * 2019-12-04 2023-05-17 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드. 차이나 내 플라즈마 코팅을 형성하는 방법, 장치, 부품 및 플라즈마 처리 장치

Also Published As

Publication number Publication date
CN115094377A (zh) 2022-09-23
EP3071726B1 (en) 2022-12-28
JP2016540889A (ja) 2016-12-28
JP2021130875A (ja) 2021-09-09
WO2015077601A1 (en) 2015-05-28
TW202208651A (zh) 2022-03-01
TWI751098B (zh) 2022-01-01
EP3071726A1 (en) 2016-09-28
JP7269278B2 (ja) 2023-05-08
TW201522712A (zh) 2015-06-16
US11764037B2 (en) 2023-09-19
US20170032942A1 (en) 2017-02-02
KR20220002721A (ko) 2022-01-06
SG10201804237VA (en) 2018-06-28
KR20230044030A (ko) 2023-03-31
CN106414789A (zh) 2017-02-15

Similar Documents

Publication Publication Date Title
KR20160088357A (ko) 플라즈마 시스템에서 사용되는 챔버 구성요소를 위한 표면 코팅
JP7408273B2 (ja) チャンバコンポーネント用金属オキシフッ化物膜
Cao et al. Plasma etching behavior of Y2O3 ceramics: Comparative study with Al2O3
CN109075084A (zh) 保护性金属氟氧化物涂层
KR100934516B1 (ko) 전기 비저항이 제어된 플라즈마-내성 세라믹
KR20090125039A (ko) 사전 시즌된 샤워헤드를 갖는 플라즈마 반응 장치 및 그 제조 방법
JP4905697B2 (ja) 導電性耐プラズマ部材
KR20160138211A (ko) 알루미늄 플라즈마 장비 컴포넌트들 상에서의 컴팩트한 알루미나 패시베이션 층들의 생성
KR20060053206A (ko) 층상 초격자 물질을 사용한 반도체 공정 장비의 내부부품의 보호 방법
TW201626434A (zh) 被處理體之處理方法
TW561546B (en) Processing method
EP2148361A1 (en) Dry etching apparatus and dry etching method
US20230386795A1 (en) Surface coating for chamber components used in plasma systems
CN113498442A (zh) 清洁处理腔室的方法
KR100362599B1 (ko) 반도체 기판의 스트립핑,패시베이션 및 부식 억제 방법
CN114517284A (zh) 涂覆有抗裂氟退火膜的制品及制造方法
Lian et al. The Etching Morphology of Silver Study by Inductively Coupled Ar-Based Plasmas
Seo et al. Particle Defect Reduction Through YF 3 Coated Remote Plasma Source for High Throughput Dry Cleaning Process
JP4453944B2 (ja) 耐プラズマ性石英ガラス治具
Park et al. Etching of poly-Si with atomic scale accuracy in inductively coupled Ar and He plasmas
JPH11317371A (ja) 表面処理方法

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
X091 Application refused [patent]
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
X601 Decision of rejection after re-examination