CN113498442A - 清洁处理腔室的方法 - Google Patents

清洁处理腔室的方法 Download PDF

Info

Publication number
CN113498442A
CN113498442A CN202080017849.3A CN202080017849A CN113498442A CN 113498442 A CN113498442 A CN 113498442A CN 202080017849 A CN202080017849 A CN 202080017849A CN 113498442 A CN113498442 A CN 113498442A
Authority
CN
China
Prior art keywords
cleaning
deposition chamber
gas
chamber
nitrogen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202080017849.3A
Other languages
English (en)
Inventor
B·S·权
许璐
P·K·库尔施拉希萨
S·李
D·H·李
K·D·李
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN113498442A publication Critical patent/CN113498442A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本公开的实施方式大致关于清洁半导体处理腔室的方法。在一个实施方式中,一种清洁沉积腔室的方法包括以下步骤:将含氮气体流至沉积腔室内的处理区域中;利用射频功率在处理区域中冲击等离子体;将清洁气体引入流体连接至沉积腔室的远程等离子体源;在远程等离子体源中产生清洁气体的反应物质;将清洁气体引入沉积腔室;以及以不同蚀刻速率移除在沉积腔室的内部表面上的沉积物。

Description

清洁处理腔室的方法
技术领域
本文所公开的实例大致关于用于清洁半导体处理腔室的方法。
背景技术
在制作集成电路和半导体设备中,通常在处理腔室(诸如,沉积腔室,诸如等离子体强化的化学气相沉积(PECVD)腔室)中将材料沉积在基板上。沉积处理通常导致某些材料沉积在气体分配喷淋头以及壁上,以及沉积腔室的部件上。在腔室壁以及部件上沉积的材料可影响基板与基板间的沉积速率,和基板上的沉积均匀性。归因于这种不正确的沉积,除非清洁腔室,否则通常难以达成可重复性。
因此,需要一种清洁腔室的改良的方法。
发明内容
本公开的实施方式大致涉及清洁半导体处理腔室的方法。在一个实施方式中,一种清洁沉积腔室的方法包括以下步骤:将含氮气体流至沉积腔室内的处理区域中;利用射频功率在处理区域中冲击等离子体;将清洁气体引入流体连接至沉积腔室的远程等离子体源;在远程等离子体源中产生清洁气体的反应物质;将清洁气体引入沉积腔室;以及以不同蚀刻速率移除在沉积腔室的内部表面上的沉积物。
在另一实施方式中,一种清洁沉积腔室的方法包括以下步骤:将含氮气体流至沉积腔室内的处理区域中;利用射频功率在处理区域中冲击等离子体;将清洁气体引入流体连接至沉积腔室的远程等离子体源;在远程等离子体源中产生清洁气体的反应物质;将清洁气体引入沉积腔室;以及以不同温度移除在沉积腔室的内部表面上的沉积物。
在另一实施方式中,一种清洁沉积腔室的方法,包括以下步骤:将第一气体流至沉积腔室内的处理区域中;利用射频功率在处理区域中冲击第一气体的等离子体;将第二气体引入流体连接至沉积腔室的远程等离子体源;在远程等离子体源中产生第二气体的反应物质;将第二气体引入沉积腔室;以及以不同温度和蚀刻速率移除在沉积腔室的内部表面上的沉积物。
附图说明
为了可详细理解本公开以上所载的特征,以上简要概述的本公开的更具体说明可通过参考实例而获得,某些实例在随附附图中示出。然而,应理解随附图式仅图示本公开的通常实施方式,且因此不应考虑为其范围的限制,因为本公开认可其他均等效果的实施方式。
图1是等离子体系统的一个实例的部分剖面视图。
图2是对在不同电极间距下的清洁速率进行比较的图表。
为了促进理解,已尽可能地使用相同的附图标记代表图式中公共的相同的组件。构想到一个实施方式的组件及特征可有益地并入其他实例中而无须进一步说明。
具体实施方式
本公开大致提供用于清洁沉积腔室的方法和装置,例如在制造集成电路和半导体设备中所使用的沉积腔室。可使用本文所述的方法清洁的沉积腔室可包括可用于沉积氧化物(诸如碳掺杂的氧化硅)和其他材料的腔室。在一个实例中,在等离子体强化的化学气相沉积(PECVD)系统中利用等离子体腔室。可适于从本文所述的实施方式获益的PECVD系统的示例包括
Figure BDA0003238747550000021
SE CVD系统、
Figure BDA0003238747550000022
GTTMCVD系统或
Figure BDA0003238747550000023
CVD系统,所有这些从美国加州圣克拉拉州的应用材料公司商业上可取得。
Figure BDA0003238747550000024
SE CVD系统(例如,200mm或300mm)具有两个隔离的处理区域,两个隔离的处理区域可用于在基板上沉积薄膜,例如导电膜、硅烷、碳掺杂的氧化硅及其他材料。尽管示例实施方式包括两个处理区域,构想到本文所述的实施方式可用于在具有单个处理区域或超过两个处理区域的系统中获益。还构想到本文所述的实施方式可用于在其他等离子体腔室(包括蚀刻腔室、离子注入腔室、等离子体处置腔室和剥离腔室等等)中获益。还进一步构想到本文所述的实施方式用于在从其他制造商可取得的等离子体处理腔室中获益。
可用以获益的腔室的示例显示于图1中。图1显示具有两个分散的处理腔室105的双腔室系统100的剖面视图。处理腔室105中的每一个连接至远程等离子体源110。远程等离子体源110产生清洁气体的反应物质,清洁气体的反应物质流至处理腔室105的内部。处理腔室105中的每一个还具有喷淋头或穿孔的面板115。处理腔室105中的每一个耦合至气源120。各个穿孔的面板115包括穿过其形成的开口125,用于从气源120将处理气体、或前驱物、或清洁气体传递至处理腔室105中的每一个中的分别的处理区域130及135。
尽管远程等离子体源110显示为耦合至处理腔室105的顶部,其中产生的反应物质可通过处理腔室105的顶部、处理腔室105的侧面或其他位置流至处理腔室105。
穿孔的面板115中的每一个耦合至功率源140。功率源140配置成在处理区域130和135中的每一个中,在穿孔的面板115和加热的底座145之间生成等离子体。加热的底座145还配置成静电夹持基板(未显示)。功率源140可为直流功率源或交流功率源,例如射频(RF)功率源。等离子体用以使来自气源120的气体(例如处理气体和清洁气体)游离。
处理区域130和135中的每一个耦合至泵150。泵150为真空泵,用以从处理腔室105移除未使用的气体和/或副产物。泵150包括阀门,例如节流阀(未显示),用于控制在处理腔室105中的压力。
在操作中,处理气体或前驱物从气源120供应至处理区域130和135。处理气体或前驱物流动通过穿孔的面板115中的开口125。通过功率源140在处理区域130和135中的每一个中形成处理气体或前驱物的等离子体。等离子体在基板(未显示)上形成膜或从基板(未显示)蚀刻膜,基板由处理区域130和135中的每一个中的加热的底座145支撑。
在处理腔室105中的每一个中在基板上形成膜或蚀刻基板的数个循环之后,清洁处理腔室105的内部。腔室清洁处理(还称为“剥离”处理)改善在半导体制造中的膜沉积。腔室清洁处理控制腔室的健康和基板上处理稳定性。随着半导体设备利用更高的存储器密度,且因此利用更厚的多重堆叠结构(即,3D VNAND、3D ReRAM、DRAM、NAND、逻辑和铸造),在最短时间内完全清洁腔室的能力会增加产量。在当前清洁处理中,随着膜厚度缩放以符合高深宽比需求,清洁时间将同样地增加。举例而言,随着硬掩模的厚度增加两倍,处理时间预期为前代设备的一半,以符合每小时每生产工具相同的产量。
使用碳硬掩模在大于约400摄氏度的温度下的化学气相沉积(CVD)为用于半导体设备制造的最流行硬掩模处理的一者。这归因于掩模的高蚀刻选择性和清洁处理的化学单纯性。归因于相对高的蚀刻选择性和沉积的容易性,使用高达约10微米(μm)的碳膜作为硬掩模。然而,随着下一代设备甚至利用更厚的多重堆叠结构,存在增加产量的需求。举例而言,基于碳的硬掩模(C、Si、N、O、F的单个成分或多重成分)。
随着半导体设备的处理进步,构想到腔室的清洁速率可导致整体生产的瓶颈。再者,未充分清洁的腔室可造成随着时间在腔室中积累残留物,并且进一步损伤硬件部件或限制整修此类硬件部件的能力。
传统上,在高温(大于约400摄氏度)下使用RF清洁。使用基于氟的化学物的远程等离子体(RPS)清洁处理归因于AlFx粒子的形成而并非可行的选择,即使RPS清洁与RF清洁处理相比较具有些微较高的蚀刻速率。再者,当前基于氩(Ar)和氧气(O2)的RF清洁化学物不能移除在穿孔的面板115中的开口125处形成的碳氧化铝(AlOx)。此外,使用含O2的化学物的RF清洁提供数种挑战,一个挑战为归因于在较高间距下(例如,介于加热的底座145和穿孔的面板115之间的处理区域130和135的尺寸)相对不稳定等离子体的不充分腔室底部清洁。
再者,在穿孔的面板115的开口125上形成的AlOx改变穿孔的面板115的发射率。发射率的改变造成处理随着时间偏移和/或影响基板与基板间的可重复性。
根据本文所公开的实施例,提供多源等离子体清洁方法。如本文所述的多源等离子体清洁方法与传统清洁方法比较大幅增加产量,同时有效率地清洁腔室部件。
通过测试发现基于氟的RPS清洁一般而言提供优于仅仅RF清洁处理(例如,原位产生的等离子体)的清洁效率。然而,高功率RF清洁处理与RPS清洁相比较,在腔室的某些区域处提供类似或甚至更大的清洁效率。如本文所公开的多源等离子体清洁方法将RF清洁与RPS清洁结合而具有优异的结果。
举例而言,本文提供在处理区域130和135中应用的使用功率源140(介于穿孔的面板115和加热的底座145之间),使用氮气/氧气(N2/O2)混合物的RF清洁处理。N2/O2混合物为约1~50%的N2对约99~50%的O2。N2/O2混合物以约5L至约25L(slm)的流率提供。在腔室中的压力为约2Torr至约15Torr。RF功率为约1000W至约5000W,而在处理区域130和135中提供大于约400摄氏度的温度。
本文提供与以上所述的RF清洁处理配合的RPS清洁处理。RPS清洁处理使用远程等离子体源110,清洁处理腔室105的下部部分。举例而言,使用三氟化氮/氧气(NF3/O2)混合物,以RPS清洁处理来清洁处理腔室105的侧壁160。侧壁160通常比与处理区域130和135的处理腔室105相邻的部件冷许多。举例而言,当处理区域130和135的温度为或大于约400摄氏度时,侧壁160至少更冷100摄氏度。NF3/O2混合物通过耦合至处理腔室105的清洁气体源165提供。NF3/O2混合物在远程等离子体源110中激发成等离子体,且以此激发的状态提供至处理腔室105。NF3/O2混合物为约1~50%的NF3对约99~50%的O2。NF3/O2混合物以约5L至约25L(slm)的流率提供。在腔室中的压力为约2Torr至约15Torr。RPS清洁处理可与RF清洁处理同时提供,或在RF清洁处理不久之后提供RPS清洁处理。举例而言,在已排空腔室之后且在RF清洁处理的净化之后实行RPS清洁处理。
RF清洁处理用于清洁穿孔的面板115的开口125以及与处理区域130和135相邻的处理腔室105的其他部分。RF清洁处理非常快且有效率,并且在穿孔的面板115之中或之上移除局部形成的AlOx。举例而言,穿孔的面板115的开口125为锥形,而引入与开口125相邻的低压区。传统的基于氩的沉积处理在此低压区域中引入微电弧。在氧化铝穿孔的面板115上的碳膜转变成碳氧化铝。此碳氧化铝非常难以或甚至无法通过Ar/O2 RF清洁化学物移除。然而,使用N2/O2清洁化学物,碳氧化铝完全被移除。接着,短暂的RPS清洁将以非常高的清洁效率清洁腔室的其余处。再者,归因于以上所述的微电弧,使用N2/O2以RF清洁对穿孔的面板115的开口125处形成的残留物提供优秀的解决方案。N2/O2 RF清洁对归因于发射率随着时间改变的处理偏移提供有效率的控制机制,且还对加热的底座145上基板的基板滑动/静电夹持稳定性提供控制机制。
图2是显示在不同电极间距(介于穿孔的面板115和加热的底座145之间的距离)下清洁速率的差异的图标200。曲线205显示如本文所述的N2/O2 RF清洁方法的性能。N2/O2 RF清洁方法与本文所述的NF3/O2 RPS清洁方法(由曲线210显示)相比较。RF清洁在腔室的顶部(接近处理区域130和135)具有可比拟RPS清洁(蚀刻)速率的清洁(蚀刻)速率。然而,随着间距的增加,N2/O2清洁速率大幅下降。
相比之下,NF3/O2 RPS清洁方法不会如此大幅下降,甚至在腔室的底部处也不会如此大幅下降。在腔室的底部处NF3/O2 RPS的清洁速率比N2/O2 RF清洁方式的清洁速率大约六倍。再者,因为从RPS引入的NF3/O2主要清洁低于处理区域130和135的腔室,所以远程等离子体源110的接通时间最小化。归因于提供至腔室内部的氟的减少,这还使在腔室壁160上的AlFx的形成最小化。此外,在腔室部件上形成的任何AlFx落至腔室的底部,其可由泵150移除,而非落在正在被处理的基板上。
在增加的高RF功率(约两倍的增加)下,本文所述的多源等离子体清洁方法展现为清洁效率与所施加的RF功率大约相关,但清洁效率随着间距的变大而急剧下降。
在许多制造工艺中,沉积后清洁之后进行腔室陈化处理以调节腔室表面。如以上所述,基于氮气-氧气的RF等离子体将移除氮化铝的碳氧化铝表面钝化,并且再者通过除了形成聚合C-N层之外恢复氮氧化铝表面而最小化AlFx的形成。
聚合C-N层的存在提供多重益处。聚合C-N层的第一益处为增加加热的底座145(以氮化铝(AlN)制成)的摩擦系数。增加的摩擦系数降低基板滑动,而减轻电弧的假阳性情况、不合规格的膜内缺陷发生、基板崩裂和硬件损伤、夹持损失、以及背侧穿透。先前对于减轻的基板滑动的策略利用作为预防性维护或恢复不合规格的性能的独立处置展现减少的基板中心误差。使用N2/O2 RF等离子体发展的方式与清洁并行发生,且维持基板在中心性能质量。
C-N层的第二益处为归因于暴露至基于氟的RPS清洁,最小化AlFx的形成。因为C-N对基于氟的蚀刻具高度抗性,所以将保护C-N膜层下方的AlN免受氟自由基。再者,在RF清洁期间AlN主动抵抗N2/O2等离子体,从而减轻归因于AlFx形成的加热器损伤,这由显示超过500个基板的稳定膜性质的可重复性测试展现。可重复性测试显示稳定的沉积速率、均匀性和规格中膜性质,且减少缺陷。
利用本文所述的多源等离子体清洁方法,在大于约400摄氏度的温度下提供高产量处理的成功实施方式。本文所述的多源等离子体清洁可应用至配置用于具有高RF功率和RPS清洁的高产量的腔室的任何其他处理(例如,氧化/氮化/掺杂的碳处理)。本文所述的多源等离子体清洁方法通过提供高产量方案,提供强化的质量控制。
尽管以上涉及本公开的实例,可衍生本公开的其他及进一步实例而不会悖离其基本范围,且其范围由以下权利要求来确定。

Claims (15)

1.一种清洁沉积腔室的方法,包含:
将含氮气体流至所述沉积腔室内的处理区域中;
利用射频功率在所述处理区域中冲击等离子体;
将清洁气体引入流体连接至所述沉积腔室的远程等离子体源;
在所述远程等离子体源中产生所述清洁气体的反应物质;
将所述清洁气体引入所述沉积腔室;以及
以不同蚀刻速率移除在所述沉积腔室的内部表面上的沉积物。
2.如权利要求1所述的方法,其中所述含氮气体包含氮气和氧气。
3.如权利要求2所述的方法,其中所述清洁气体包含氮气和氧气。
4.如权利要求3所述的方法,其中所述清洁气体包含氟气。
5.如权利要求1所述的方法,其中所述清洁气体包含三氟化氮和氧气。
6.如权利要求1所述的方法,其中所述清洁气体与所述含氮气体同时流至所述沉积腔室中。
7.如权利要求1所述的方法,其中在所述含氮气体流至所述沉积腔室之后,所述清洁气体流至所述沉积腔室中。
8.一种清洁沉积腔室的方法,包含:
将含氮气体流至所述沉积腔室内的处理区域中;
利用射频功率在所述处理区域中冲击等离子体;
将清洁气体引入流体连接至所述沉积腔室的远程等离子体源;
在所述远程等离子体源中产生所述清洁气体的反应物质;
将所述清洁气体引入所述沉积腔室;以及
以不同温度移除在所述沉积腔室的内部表面上的沉积物。
9.如权利要求8所述的方法,其中使用所述含氮气体清洁所述沉积腔室的上部部分。
10.如权利要求9所述的方法,其中使用所述清洁气体清洁所述沉积腔室的下部部分。
11.如权利要求8所述的方法,其中所述含氮气体包含氮气和氧气。
12.如权利要求11所述的方法,其中所述清洁气体包含氮气和氧气。
13.如权利要求12所述的方法,其中所述清洁气体包含氟气。
14.如权利要求8所述的方法,其中所述清洁气体与所述含氮气体同时流至所述沉积腔室中。
15.一种清洁沉积腔室的方法,包含:
将第一气体流至所述沉积腔室内的处理区域中;
利用射频功率在所述处理区域中冲击所述第一气体的等离子体;
将第二气体引入流体连接至所述沉积腔室的远程等离子体源;
在所述远程等离子体源中产生所述第二气体的反应物质;
将所述第二气体引入所述沉积腔室;以及
以不同温度和蚀刻速率移除在所述沉积腔室的内部表面上的沉积物。
CN202080017849.3A 2019-02-11 2020-02-07 清洁处理腔室的方法 Pending CN113498442A (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201962803898P 2019-02-11 2019-02-11
US62/803,898 2019-02-11
US201962810691P 2019-02-26 2019-02-26
US62/810,691 2019-02-26
PCT/US2020/017233 WO2020167607A1 (en) 2019-02-11 2020-02-07 Method for cleaning process chamber

Publications (1)

Publication Number Publication Date
CN113498442A true CN113498442A (zh) 2021-10-12

Family

ID=71945909

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202080017849.3A Pending CN113498442A (zh) 2019-02-11 2020-02-07 清洁处理腔室的方法

Country Status (7)

Country Link
US (1) US20200255940A1 (zh)
JP (1) JP2022519702A (zh)
KR (1) KR20210116679A (zh)
CN (1) CN113498442A (zh)
SG (1) SG11202108354SA (zh)
TW (1) TW202035775A (zh)
WO (1) WO2020167607A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11772137B2 (en) 2021-07-23 2023-10-03 Applied Materials, Inc. Reactive cleaning of substrate support
CN114318306B (zh) * 2021-12-17 2023-08-01 杭州富芯半导体有限公司 半导体工艺方法及适用该半导体工艺方法的多腔室工艺设备

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6003526A (en) * 1997-09-12 1999-12-21 Taiwan Semiconductor Manufacturing Company, Ltd In-sit chamber cleaning method
US20010025645A1 (en) * 2000-03-02 2001-10-04 Jusung Engineering Co., Ltd. Apparatus for fabricating semiconductor device and method of cleaning the same
US20030029475A1 (en) * 2001-06-01 2003-02-13 Applied Materials, Inc., A Delaware Corporation Multistep remote plasma clean process
US20040000321A1 (en) * 2002-07-01 2004-01-01 Applied Materials, Inc. Chamber clean method using remote and in situ plasma cleaning systems
CN101063197A (zh) * 2006-04-29 2007-10-31 联华电子股份有限公司 化学气相沉积设备的清洁方法
CN101378850A (zh) * 2006-02-21 2009-03-04 应用材料股份有限公司 加强用于介电膜层的远程等离子体源清洁
US20180036775A1 (en) * 2016-08-05 2018-02-08 Applied Materials, Inc. Aluminum fluoride mitigation by plasma treatment

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6923189B2 (en) * 2003-01-16 2005-08-02 Applied Materials, Inc. Cleaning of CVD chambers using remote source with cxfyoz based chemistry
US20070248767A1 (en) * 2006-04-19 2007-10-25 Asm Japan K.K. Method of self-cleaning of carbon-based film
US20150361547A1 (en) * 2014-06-13 2015-12-17 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for cleaning chemical vapor deposition chamber
US10161034B2 (en) * 2017-04-21 2018-12-25 Lam Research Corporation Rapid chamber clean using concurrent in-situ and remote plasma sources

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6003526A (en) * 1997-09-12 1999-12-21 Taiwan Semiconductor Manufacturing Company, Ltd In-sit chamber cleaning method
US20010025645A1 (en) * 2000-03-02 2001-10-04 Jusung Engineering Co., Ltd. Apparatus for fabricating semiconductor device and method of cleaning the same
US20030029475A1 (en) * 2001-06-01 2003-02-13 Applied Materials, Inc., A Delaware Corporation Multistep remote plasma clean process
US20040000321A1 (en) * 2002-07-01 2004-01-01 Applied Materials, Inc. Chamber clean method using remote and in situ plasma cleaning systems
CN101378850A (zh) * 2006-02-21 2009-03-04 应用材料股份有限公司 加强用于介电膜层的远程等离子体源清洁
CN101063197A (zh) * 2006-04-29 2007-10-31 联华电子股份有限公司 化学气相沉积设备的清洁方法
US20180036775A1 (en) * 2016-08-05 2018-02-08 Applied Materials, Inc. Aluminum fluoride mitigation by plasma treatment

Also Published As

Publication number Publication date
US20200255940A1 (en) 2020-08-13
WO2020167607A1 (en) 2020-08-20
SG11202108354SA (en) 2021-08-30
JP2022519702A (ja) 2022-03-24
KR20210116679A (ko) 2021-09-27
TW202035775A (zh) 2020-10-01

Similar Documents

Publication Publication Date Title
US10916407B2 (en) Conditioning remote plasma source for enhanced performance having repeatable etch and deposition rates
US10593553B2 (en) Germanium etching systems and methods
CN109075030B (zh) 用于在等离子体处理腔室中的原位腔室清洁效率提高的等离子体处理工艺
US6923189B2 (en) Cleaning of CVD chambers using remote source with cxfyoz based chemistry
US20140099794A1 (en) Radical chemistry modulation and control using multiple flow pathways
TWI779753B (zh) 電漿處理裝置及被處理體處理方法
US11462412B2 (en) Etching method
JP7401593B2 (ja) 空隙を形成するためのシステム及び方法
CN113498442A (zh) 清洁处理腔室的方法
CN116130356A (zh) 使用沉积工艺和刻蚀工艺的工件处理
TWI785783B (zh) 用於選擇性金屬化合物移除之系統及方法
US11328909B2 (en) Chamber conditioning and removal processes
CN111819669B (zh) 形成气隙的系统及方法
TWI837885B (zh) 高深寬比特徵中的金屬沉積及蝕刻
KR20240060754A (ko) 개선된 게르마늄 식각 시스템들 및 방법들

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination