JP7408273B2 - チャンバコンポーネント用金属オキシフッ化物膜 - Google Patents

チャンバコンポーネント用金属オキシフッ化物膜 Download PDF

Info

Publication number
JP7408273B2
JP7408273B2 JP2018090834A JP2018090834A JP7408273B2 JP 7408273 B2 JP7408273 B2 JP 7408273B2 JP 2018090834 A JP2018090834 A JP 2018090834A JP 2018090834 A JP2018090834 A JP 2018090834A JP 7408273 B2 JP7408273 B2 JP 7408273B2
Authority
JP
Japan
Prior art keywords
yttrium
coating
layer
oxide coating
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2018090834A
Other languages
English (en)
Other versions
JP2018190985A (ja
Inventor
ウー シャオウェイ
フェンウィック デイビッド
ツァン グォドン
ワイ サン ジェニファー
アール ライス マイケル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2018190985A publication Critical patent/JP2018190985A/ja
Priority to JP2023136949A priority Critical patent/JP2023159368A/ja
Application granted granted Critical
Publication of JP7408273B2 publication Critical patent/JP7408273B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/28Deposition of only one other non-metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • C23C4/11Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B15/00Layered products comprising a layer of metal
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B15/00Layered products comprising a layer of metal
    • B32B15/04Layered products comprising a layer of metal comprising metal as the main or only constituent of a layer, which is next to another layer of the same or of a different material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B9/00Layered products comprising a layer of a particular substance not covered by groups B32B11/00 - B32B29/00
    • B32B9/005Layered products comprising a layer of a particular substance not covered by groups B32B11/00 - B32B29/00 comprising one layer of ceramic material, e.g. porcelain, ceramic tile
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B9/00Layered products comprising a layer of a particular substance not covered by groups B32B11/00 - B32B29/00
    • B32B9/04Layered products comprising a layer of a particular substance not covered by groups B32B11/00 - B32B29/00 comprising such particular substance as the main or only constituent of a layer, which is next to another layer of the same or of a different material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0694Halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/081Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/221Ion beam deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5826Treatment with charged particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5846Reactive treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45555Atomic layer deposition [ALD] applied in non-semiconductor technology
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/042Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material including a refractory ceramic layer, e.g. refractory metal oxides, ZrO2, rare earth oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/40Coatings including alternating layers following a pattern, a periodic or defined repetition
    • C23C28/42Coatings including alternating layers following a pattern, a periodic or defined repetition characterized by the composition of the alternating layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/12Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
    • C23C4/134Plasma spraying
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/18After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32908Utilities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32963End-point detection
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2250/00Layers arrangement
    • B32B2250/022 layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2250/00Layers arrangement
    • B32B2250/033 layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2255/00Coating on the layer surface
    • B32B2255/06Coating on the layer surface on metal layer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2255/00Coating on the layer surface
    • B32B2255/20Inorganic coating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2311/00Metals, their alloys or their compounds
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2315/00Other materials containing non-metallic inorganic compounds not provided for in groups B32B2311/00 - B32B2313/04
    • B32B2315/02Ceramics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12014All metal or with adjacent metals having metal particles
    • Y10T428/12028Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12014All metal or with adjacent metals having metal particles
    • Y10T428/12028Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, etc.]
    • Y10T428/12049Nonmetal component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/1266O, S, or organic compound in metal component
    • Y10T428/12667Oxide of transition metal or Al
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31678Of metal

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Electromagnetism (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Other Surface Treatments For Metallic Materials (AREA)
  • Physical Vapour Deposition (AREA)
  • Coating By Spraying Or Casting (AREA)

Description

本開示の実施形態は、一般に、金属フッ化物及び/又は金属酸化物コーティングをM-O-F層及びコーティングに変換する方法に関する。実施形態は、更に、金属酸化物表面上の一時的な金属フッ化物及び/又はM-O-F層のインサイチュ(in-situ)形成に関する。
背景
様々な製造プロセスにより、チャンバコンポーネント及びそのコーティング材料は、高温度、高エネルギプラズマ、腐食性ガスの混合物、高応力、及びこれらの組み合わせに曝露される。希土類酸化物は、プラズマエッチングケミストリによる浸食に対する耐性から、チャンバコンポーネントの製造にしばしば使用される。しかし、希土類酸化物がフッ素系プラズマへ曝露されると、クラック及びパーティクルのウェハ上への放出を引き起こす可能性がある。
更に、酸化物コーティング(例えば、Yなど)は水を透過し、水の吸着を引き起こす可能性がある。結果として、酸化物コーティング(例えば、Yコーティング)を空気に曝露すると、一般に、酸化物コーティングの表面に脆いM(OH)層(例えば、Y(OH))が形成されるが、ここで、Mは金属である。試験では、空気に曝露されたYコーティングの表面に複数の-OH基が存在することが示されている。M(OH)層は脆く、処理されたウェハ上にパーティクルを放出する可能性がある。更に、M(OH)層は、金属酸化物コーティング(例えば、Yコーティング)内での漏れ電流を増加させる。
いくつかの例では、YFはチャンバコンポーネントのコーティングとして使用されている。YFコーティングを使用すると、処理されたウェハ上でのイットリウム系パーティクルの問題を緩和することができる。しかし、エッチングリアクタのチャンバコンポーネントにYFコーティングを施すと、エッチング速度の大幅な低下(例えば、60%程度のエッチング速度の低下)、プロセスドリフト及びチャンバマッチングの問題の原因となることが示されている。
概要
Y-O-F層又はコーティングを形成するための第1の方法の実施例では、イットリウム含有コーティング(例えば、Yコーティング又はY-ZrO固溶体コーティング)が、第1の処理チャンバ用のチャンバコンポーネントの表面上に堆積される。代替的に、MxOyコーティングを堆積させることができ、ここでMは金属(例えば、Al又は希土類金属)である。チャンバコンポーネントは、約150~1000℃(例えば、150~500℃)の高温度に加熱される。チャンバコンポーネントは、高温度で一定時間、フッ素源(例えば、HF、NF、NFプラズマ、F、Fラジカルなど)に曝露される。結果として、イットリウム含有酸化物コーティングの少なくとも表面は、Y-O-F層又は他のイットリウム系オキシフッ化物層又はコーティングに変換される。いくつかの例では、イットリウム含有酸化物コーティングの全体が、Y-O-F又は他のイットリウム含有オキシフッ化物に変換される。代替的に、MxOyコーティングの少なくとも表面がM-O-F層に変換される。
Y-O-F層又はコーティングを形成するための第2の方法の実施例では、原子層堆積(ALD)、化学気相堆積(CVD)又はイオンアシスト堆積(IAD)を実施して、処理チャンバ用のチャンバコンポーネントの表面上に約10nm~約10ミクロンの厚さを有するYFコーティングを堆積させる。チャンバコンポーネントは、約150~1500℃の高温度に加熱される。チャンバコンポーネントは、約12~24時間の間、高温度で酸素源に曝露される。その結果、YFコーティングはY-O-Fコーティングに変換される。
M-O-F層又はコーティングを形成するための第3の方法の実施例では、金属酸化物コーティングを含む1つ以上のチャンバコンポーネントを有する処理チャンバ内に基板がロードされる。遠隔プラズマ源からのフッ素系プラズマが処理チャンバ内に導入される。金属酸化物コーティングは、フッ素系プラズマと反応して金属酸化物コーティング上に一時的なM-O-F層又は金属フッ化物層を形成する。次に、腐食性ガスを利用する処理が基板上で実施される。この処理により一時的なM-O-F層又は金属フッ化物層が除去又はこれに追加されるが、一時的なM-O-F層又は金属フッ化物層により金属酸化物コーティングが腐食性ガスから保護される。
本開示は、同様の参照符号が同様の要素を示す添付図面の図において、限定ではなく例として示される。本開示における「一(an)」又は「一(one)」実施形態への異なる参照は必ずしも同じ実施形態に限定されず、このような参照は少なくとも1つを意味することに留意すべきである。
処理チャンバの一実施形態の断面図を示す。 本発明の一実施形態による、製造システムの構造例を示す。 一実施形態による、金属酸化物コーティングの表面にM-O-F層を形成するプロセスを示す 一実施形態による、Yコーティング及びY-O-F層を含むチャンバコンポーネントの断面側面図を示す。 一実施形態による、YFコーティングをY-O-Fコーティングに変換するプロセスを示す。 一実施形態によるY-O-Fコーティングを含むチャンバコンポーネントの断面側面図を示す。 一実施形態による製造プロセスに先立って、金属酸化物コーティング又は金属酸化物物品上に一時的なM-O-F層又は金属フッ化物層を形成するためのインサイチュ(in-situ)プロセスを示す。 一実施形態による、イットリウム系コーティングの少なくとも一部をY-O-Fコーティング又は層に変換することによって、イットリウム系コーティングの応力を緩和するプロセスを示す。 一実施形態によるチャンバコンポーネントの本体上にY-O-F/M-O-Fコーティングを含むチャンバコンポーネントの断面側面図を示す。 一実施形態による、透過型電子顕微鏡(TEM)によって観察されたYコーティングを含むチャンバコンポーネントの断面側面図を示す。 図7Aのチャンバコンポーネントの材料組成を示す。 一実施形態による、TEMによって観察されたフッ素化処理後のY-O-Fコーティングを含むチャンバコンポーネントの断面側面図を示す。 図8Aのチャンバコンポーネントの材料組成を示す。 一実施形態による、TEMによって観察されたフッ素化処理後のY-O-F層とAl-O-F層との交互積層体を含むチャンバコンポーネントの断面側面図を示す。 図9Aのチャンバコンポーネントの材料組成を示す。 一実施形態による、TEMによって観察されたフッ素化処理後のY-O-F層とAl-O-F層との交互積層体を含むチャンバコンポーネントの断面側面図を示す。 図10Aのチャンバコンポーネントの材料組成を示す。 一実施形態による、TEMによって観察されたフッ素化処理後のY-ZrO固溶体からなる固体焼結(バルク)セラミックを含むチャンバコンポーネントの断面側面図を示す。 図11Aのチャンバコンポーネントの材料組成を示す。 一実施形態による、TEMによって観察されたフッ素化処理後のAlのコーティングを含むチャンバコンポーネントの断面側面図を示す。 図12Aのチャンバコンポーネントの材料組成を示す。 一実施形態による、Yコーティングのフッ素化から生じるY-O-Fコーティングを示す。 一実施形態による、Y-ZrO固溶体コーティングのフッ素化から生じるY-Z-O-Fコーティングを示す。 YFコーティングの材料組成を示すエネルギ分散型エレクトロスコピ(EDS)ラインスキャンを示す。 一実施形態による、酸化処理後の図14のY-O-F層を含むYFコーティングの材料組成を示すEDSラインスキャンを示す。 一実施形態による、TEMによって観察されたHF酸性溶液中でのフッ素化処理後のYのコーティングを含むチャンバコンポーネントの断面側面図を示す。 図16Aのチャンバコンポーネントの材料組成を示す。 ALDによって堆積されたYFコーティングの材料組成を示すX線光電子分光(XPS)表面分析を示す。 一実施形態による、図17のYFコーティングの酸化から形成されたY-O-Fコーティングの材料組成を示すXPS表面分析を示す。 Y-O-Fコーティング及びY-Z-O-Fコーティングのパーティクルパフォーマンスを示す。
実施形態の詳細な説明
本発明の実施形態は、Y-O-F層及びコーティング並びに他のM-O-F層及びコーティングを形成するためのプロセスに関し、ここでMは金属(Al、希土類又は複数金属の組み合わせ)である。Y-O-Fコーティング及び層並びに他のイットリウム含有オキシフッ化物コーティング及び層は、フッ素系プラズマによる浸食及び腐食に対して高い耐性を有する。更に、M-O-Fコーティングは、一般にフッ素系プラズマによるフッ素化に対して耐性がある。更に、M-O-Fコーティングは、M(OH)(例えば、Y(OH))の形成に対して耐性を示す可能性がある。更に、M-O-Fコーティングは、YFを使用してチャンバコンポーネントをコーティングする場合に観察されたエッチング速度の低下を引き起こさない。これらの特性の結果として、本明細書に記載のY-O-F及び他のM-O-Fコーティング及び層は、処理チャンバ用のチャンバコンポーネントに使用される場合、パーティクルの大幅な減少をもたらし、エッチング速度の均一性及びチャンバ間の均一性が改善される。実施形態において、「M-O-F」という用語は、1~99at.%のM、1~99at.%のO及び1~99at.%のFを意味する。
いくつかの実施形態では、金属酸化物コーティングは、大気圧プラズマ溶射(APPS)、低圧プラズマ溶射(LPPS)、サスペンションプラズマ溶射(SPS)、イオンアシスト堆積(IAD)、化学気相堆積(CVD)、原子層堆積(ALD)又は別の堆積技術によって形成される。金属酸化物コーティングは、MxOyで表すことができ、ここで、Mは金属(例えば、Al又は希土類金属など)であり、x及びyは正の数値(例えば、1~9の正の整数)である。いくつかの例では、金属酸化物コーティングは、Al又は希土類酸化物(例えば、Gd、Yb、Er又はY)であってもよい。金属酸化物コーティングは、より複雑な酸化物(例えば、YAl12(YAG)、YAl(YAM)、Y安定化ZrO(YSZ)、ErAl12(EAG)、Y-ZrO固溶体、又はYAlとY-ZrO固溶体を含む複合セラミック)であってもよい。金属酸化物コーティングを高温度で一定時間、フッ素源(例えば、HF、NF、F、NFプラズマ、Fラジカルなど)に曝露することにより、金属酸化物コーティングの少なくとも表面はM-O-Fに変換される。この時間は、いくつかの実施形態では、約0.1~72時間(例えば、約1~24時間)であってもよい。
薄く高密度なコーティングの熱膨張係数(CTE)とは異なるCTEを有する物品の上に堆積したとき、薄く高密度なコーティング(例えば、IAD及びALDを用いて堆積されたコーティング)はクラックを生じやすい。厚く多孔質のプラズマ溶射イットリウム系コーティングとは異なり、薄く高密度なイットリウム系コーティングは引張応力に耐えられない。引張応力は、しばしば、薄く高密度なイットリウム系コーティングの貫通クラックを引き起こし、処理中、非常に反応性の高い種に、コーティング済みの下層表面を攻撃するための直接通路を与えることになる。例えば、YのCTEは約6~8ppm/K(またx10-6/℃、ppm/℃及びx10-6/Kとも表され、いずれも同等)、YFのCTEは約14ppm/K、アルミニウムのCTEは約22~25ppm/Kである。アルミニウム物品とY又はYFコーティングとの間でCTEの不一致があると、アルミニウム上のYF及びYの高密度なコーティングは、CTEの不一致によって引き起こされる引張応力により、処理温度(例えば、約250~350℃)でクラックを引き起こす可能性がある。場合によっては、薄く高密度なイットリウム系コーティングの堆積中に物品を加熱することによってクラックを緩和することができる。しかし、いくつかの堆積プロセス(例えば、ALD)では、物品が使用されるであろう処理温度の範囲よりも低い特定の温度範囲内で実施すべきである。従って、イットリウム系コーティング用の堆積温度を上昇させることは実施可能ではない可能性がある。
YFのモル体積は、Yのモル体積よりも約60%大きい。例えば、YFは36.384cm/molのモル体積を有し、Yは約22.5359cm/molのモル体積を有する。Y-O-Fは、YとYFのモル体積の間のモル体積を有する。その結果、YがYFに変換されるときに最大約60%までの体積膨張があり、YがY-O-Fに変換されるときの体積膨張はこれより小さい。実施形態では、上述のように、イットリウム系酸化物コーティングに対してフッ素化処理を実施して、イットリウム系コーティングの少なくとも一部をY-O-Fコーティング又は層に変換する。Yと比較してY-O-Fのモル体積が大きいため、イットリウム系酸化物コーティングがY-O-Fコーティング又は層へ変換すると、室温で当該コーティングに圧縮応力が導入される。室温で圧縮応力が加えられることは、処理温度(例えば、約250~350℃)での引張応力が小さくなることを意味する。処理温度で引張応力が低下することにより、薄く高密度なイットリウム系コーティングのクラックが低減又は排除される可能性がある。
いくつかの実施形態では、イオンアシスト堆積(IAD)、原子層堆積(ALD)、CVD又は別の堆積技術を介して、YF又は他のイットリウム系フッ化物(例えば、イットリウム系フッ化物)コーティングが形成される。YFコーティング又は他のイットリウム系フッ化物コーティングは、金属酸化物コーティングを高温度で酸素源に一定期間曝露することによって、Y-O-F又はM-O-Fに変換される。
いくつかの実施形態では、YFコーティング又は他のイットリウム系フッ化物コーティングは、YF又は他のイットリウム系フッ化物コーティングよりも低いCTEを有する物品上に形成される。例えば、YF又は他のイットリウム系フッ化物コーティングを、約4ppm/KのCTEを有するグラファイト物品上に形成することができる。YFコーティングをY-O-Fコーティング(又は、他のイットリウム系フッ化物コーティングからM-O-Fコーティング、但し、MはYと別の金属との組み合わせ)に変換すると、コーティングのモル体積が減少し、室温及び処理温度でコーティング内での圧縮応力を低減することができる。これにより、CTEの不一致による熱サイクリング中のクラックを低減することができる。
いくつかの実施形態では、インサイチュ(in-situ)のフッ素化処理が実施され、基板上で製造プロセスを実施する前に、1つ以上のチャンバコンポーネント上の金属酸化物コーティングの表面に薄いM-O-F層(例えば、薄いY-O-F層、又は薄いY-Z-O-F層)又は薄い金属フッ化物層(例えば薄いYF層)を形成する。例えば、インサイチュ(in-situ)のフッ素化処理は、プラズマエッチング処理又はプラズマ洗浄処理の前に実施されてもよい。フッ素化処理には、遠隔プラズマ源から1つ以上のチャンバコンポーネントを含む処理チャンバへのフッ素系プラズマの導入を含めることができる。薄いM-O-F層又は金属フッ化物層の形成に最適で、後に実施されるであろう製造プロセスのパラメータとは異なる処理パラメータ値を用いてフッ素系プラズマを導入してもよい。金属酸化物コーティングはフッ素系プラズマと反応し、金属酸化物コーティング上に一時的なM-O-F層又は金属フッ化物層を形成する。次に、腐食性ガス(例えば、フッ素系プラズマ又は還元ケミストリ(例えば、アンモニア系ケミストリ又は塩素系ケミストリ))を利用する製造プロセスが基板上で実施される。製造プロセスにより、製造プロセスに応じて、一時的なM-O-F層又は金属フッ化物層を除去してもよいし、又は一時的なM-O-F層又は金属フッ化物層に追加してもよいが、一時的なM-O-F層又は金属フッ化物層により金属酸化物コーティングが腐食性ガスから保護される。
別の実施形態では、インサイチュ(in-situ)のフッ素化処理では、処理チャンバの1つ以上のチャンバコンポーネントをフッ素系酸性溶液(例えば、HF酸性溶液及び/又はNHF酸性溶液)に曝露することを含めてもよい。例えば、非真空チャンバ(例えば、化学機械平坦化(CMP)チャンバ又はウエット洗浄ベンチ用のチャンバなど)に対して、フッ素系酸性溶液を用いてフッ素化を実施することができる。薄いM-O-F層又は金属フッ化物層の形成に最適な処理パラメータ値を用いて、フッ素系酸性溶液を導入することができる。金属酸化物コーティングはフッ素系プラズマと反応して、金属酸化物コーティング上に一時的なM-O-F層又は金属フッ化物層を形成する。次に、腐食性ガス(例えば、フッ素系プラズマ又は還元ケミストリ(例えば、アンモニア系ケミストリ又は塩素系ケミストリ)を利用する製造プロセスが基板上で実施される。製造プロセスにより、製造プロセスに応じて、一時的なM-O-F層又は金属フッ化物層を除去してもよく、又は一時的なM-O-F層又は金属フッ化物層に追加してもよいが、一時的なM-O-F層又は金属フッ化物層により金属酸化物コーティングが腐食性ガスから保護される。
いくつかの実施形態では、エッチバックプロセスが定期的に実施され、一時的なM-O-F層又は金属フッ化物層の少なくとも一部が金属酸化物コーティングから除去される。エッチバックを使用して、M-O-F層又は金属フッ化物層の厚さが確実に閾値厚さに達しないようにしてもよい。閾値厚さを越えると、M-O-F層又は金属フッ化物層は、金属酸化物がM-O-F又は金属フッ化物へ変換することによる体積膨張に起因する追加の応力によって、パーティクルを放出し始める可能性がある。しかし、閾値厚さ以下では、パーティクルのアダーを軽減又は防止することができる。
本明細書で使用される「熱処理する」という用語は、セラミック物品に高温度を(例えば、加熱炉によって)加えることを意味する。「プラズマ耐性材料」は、プラズマ処理条件への曝露による浸食及び腐食に対して耐性のある材料を指す。プラズマ処理条件には、特に、ハロゲン含有ガス(例えば、とりわけ、C、SF、SiCl、HBr、NF、CF、CHF、CH、F、NF、Cl、CCl、BCl、SiF)及び他のガス(例えば、O又はNO)から生成されるプラズマが含まれる。材料のプラズマに対する耐性は、コーティングされたコンポーネントのオペレーション及びプラズマへの曝露の継続期間全体を通じて、オングストローム/min(Å/min)の単位を有する場合がある「エッチング速度」(ER)によって測定される。プラズマ耐性は、また、ナノメートル/高周波数時間(nm/RFHr)の単位を有する浸食速度によって測定することができ、ここで、1RFHrはプラズマ処理条件における1時間の処理を表す。異なる処理時間の後に測定を行ってもよい。例えば、測定は、処理前、処理時間50時間後、処理時間150時間後、処理時間200時間後などに行ってもよい。プラズマ耐性コーティング材料に関して、約100nm/RFHrより低い浸食速度が一般的である。単一のプラズマ耐性材料は、複数の異なるプラズマ耐性又は浸食速度値を有してもよい。例えば、プラズマ耐性材料は、第1のタイプのプラズマに関連する第1のプラズマ耐性又は浸食速度、及び第2のタイプのプラズマに関連する第2のプラズマ耐性又は浸食速度を有してもよい。
本明細書において「約(about)」及び「約(approximately)」という用語が使用される場合、これらは提示された公称値が±10%以内で正確であることを意味するものとする。いくつかの実施形態は、半導体製造のためのプラズマエッチャ内に設置されたチャンバコンポーネント及び他の物品を参照して、本明細書に記載される。しかし、このようなプラズマエッチャは、微小電気機械システム(MEMS)デバイスの製造にも使用できることを理解すべきである。更に、本明細書に記載の物品は、プラズマ又は他の腐食性環境に曝露される他の構造であってもよい。本明細書で議論する物品は、処理チャンバ(例えば、半導体処理チャンバ)用のチャンバコンポーネントであってもよい。例えば、物品は、プラズマエッチャ、プラズマクリーナ、プラズマ推進システム、又は他の処理チャンバ用のチャンバコンポーネントであってもよい。本発明の実施形態から利益を得ることができるチャンバコンポーネントの例は、基板サポートアセンブリ、静電チャック(ESC)、リング(例えば、プロセスキットリング又は単一リング)、チャンバ壁、基部、ガス分配プレート、フェースプレート、シャワーヘッド、ノズル、蓋、ライナ、ライナキット、シールド、プラズマスクリーン、フローイコライザ、クーリングベース、チャンバビューポート、チャンバ蓋などを含む。
更に、本明細書では、プラズマリッチ処理用のプロセスチャンバで使用されるときパーティクル汚染を低減させるM-O-F層及びコーティングを参照して、実施形態を説明する。しかし、本明細書で議論するM-O-F層及びコーティングも、他の処理用の処理チャンバ(非プラズマエッチャ、非プラズマクリーナ、化学気相堆積(CVD)チャンバ、物理気相堆積(PVD)チャンバ、プラズマ強化化学気相堆積(PECVD)チャンバ、プラズマ強化物理気相堆積(PEPVD)チャンバ、プラズマ強化原子層堆積(PEALD)チャンバなど)内で使用される場合、パーティクル汚染が低減することを理解すべきである。更に、M-O-F層及びコーティングの形成に関して本明細書で議論される技術は、処理チャンバ用のチャンバコンポーネント以外の物品にも適用可能である。
更に、本明細書では、金属フッ化物コーティング(例えば、イットリウム系フッ化物コーティング)及び金属酸化物コーティング(又は、このようなコーティングの一部)をY-O-F層及び他のM-O-F層に変換することを参照して実施形態を説明する。しかし、実施形態は、バルク金属酸化物の表面をM-O-Fに変換することにも適用されることを理解すべきである。例えば、焼結Yセラミック物品の表面を、以下の図3A及び図5を参照して説明される処理によって、Y-O-Fに変換することができる。
更に、いくつかの実施形態は、イットリウム系酸化物及び/又はイットリウム系フッ化物を参照して議論される。エルビウムはイットリウムと完全に混和する。したがって、イットリウムの任意の量をエルビウムで置換することによって、これらの実施形態を修正して同様の結果を得ることができることを理解すべきである。したがって、イットリウム系フッ化物、イットリウム系酸化物及びイットリウム系オキシフッ化物に関して本明細書で議論する実施形態のいずれにおいても、イットリウムをエルビウムで置換してもよい。実施形態では、イットリウムの一部をエルビウムで置換してもよく、又はイットリウムの全てをエルビウムで置換してもよい。したがって、本明細書で議論する実施形態のいずれかは、列挙したイットリウムの0%~100%をエルビウムで置換してもよい。一例では、コーティングは、Yではなく、1~99mol%のYと1~99mol%のErとの混合物であってもよい。結果として得られる金属オキシフッ化物は、YとErとの比が1:99~99:1の間の比を有するY-Er-O-Fであってもよい。
図1は、本発明の実施形態によるM-O-F層又はコーティングを含む1つ以上のチャンバコンポーネントを有する処理チャンバ100(例えば、半導体処理チャンバ)の断面図である。処理チャンバ100は、腐食性プラズマ環境が提供される処理に使用されてもよい。例えば、処理チャンバ100は、プラズマエッチングリアクタ(プラズマエッチャとしても知られる)、プラズマクリーナなどのチャンバであってもよい。M-O-F層又はコーティングを含むことができるチャンバコンポーネントの例は、基板サポートアセンブリ148、静電チャック(ESC)、リング(例えば、プロセスキットリング又は単一リング)、チャンバ壁、基部、シャワーヘッド130、ガス分配プレート、ライナ、ライナキット、シールド、プラズマスクリーン、フローイコライザ、クーリングベース、チャンバビューポート、チャンバ蓋、ノズル、処理キットリングなどである。
一実施形態では、処理チャンバ100は内部容積106を囲むチャンバ本体102とシャワーヘッド130とを含む。シャワーヘッド130は、ガス分配プレートを含んでも含まなくてもよい。例えば、シャワーヘッドは、シャワーヘッド基部と、当該シャワーヘッド基部に結合されたシャワーヘッドガス分配プレートとを含むマルチピースシャワーヘッドであってもよい。代替的に、シャワーヘッド130を、いくつかの実施形態では蓋及びノズルと、又は他の実施形態では複数のパイ形のシャワーヘッドコンパートメント及びプラズマ生成部と、置き換えてもよい。チャンバ本体102は、アルミニウム、ステンレス鋼又は他の適切な材料から製造することができる。チャンバ本体102は、一般に、側壁108及び底部110を含む。
外側ライナ116を側壁108に隣接して配置し、チャンバ本体102を保護してもよい。外側ライナ116はハロゲン含有ガス耐性材料(例えば、Al又はYなど)であってもよい。
チャンバ本体102内に排気ポート126を画定し、内部容積106をポンプシステム128に結合してもよい。ポンプシステム128は1つ以上のポンプ及び絞り弁を含み、ポンプシステム128を処理チャンバ100の内部容積106の圧力を排気し調整するために利用してもよい。
シャワーヘッド130をチャンバ本体102の側壁108上及び/又はチャンバ本体最上部上で支持してもよい。シャワーヘッド130(又は蓋)は、処理チャンバ100の内部容積106へのアクセスを可能にするように開くことができ、閉じられている間は処理チャンバ100用のシールを提供することができる。ガスパネル158は、プロセスチャンバ100に結合され、処理ガス及び/又は洗浄ガスを、シャワーヘッド130又は蓋及びノズルを介して内部容積106に供給することができる。シャワーヘッド130は誘電体エッチング(誘電体材料のエッチング)に用いられる処理チャンバに用いることができる。シャワーヘッド130はシャワーヘッド130全体に亘って複数のガス供給孔132を含む。シャワーヘッド130は、アルミニウム、陽極酸化アルミニウム、アルミニウム合金(例えば、Al6061)、又は陽極酸化アルミニウム合金であってもよい。いくつかの実施形態では、シャワーヘッドはシャワーヘッドに結合されるガス分配プレート(GDP)を含む。GDPは、例えば、Si又はSiCであってもよい。GDPは、更に、シャワーヘッドの孔と整列する複数の孔を含むことができる。
処理チャンバ100内で基板を処理するために使用できる処理ガスの例には、ハロゲン含有ガス(例えば、とりわけ、C、SF、SiCl、HBr、NF、CF、CHF、CH、F、Cl、CCl、BCl及びSiFなど)、及び他のガス(例えば、O又はNO)が含まれる。キャリアガスの例には、処理ガスに対して不活性なN、He、Ar、及び他のガス(例えば、非反応性ガス)が含まれる。
基板サポートアセンブリ148は、シャワーヘッド130の下の処理チャンバ100の内部容積106内に配置される。基板サポートアセンブリ148は、処理中に基板144(例えば、ウェハ)を保持する。基板サポートアセンブリ148は、処理中に基板144を固定する静電チャックと、当該静電チャックに結合された金属冷却プレートと、及び/又は1つ以上の追加のコンポーネントとを含むことができる。内側ライナ(図示せず)は、基板サポートアセンブリ148の周囲を覆うことができる。内側ライナは、ハロゲン含有ガス耐性材料(例えば、Al又はYなど)であってもよい。
実施形態により、シャワーヘッド130(又は蓋及び/又はノズル)、側壁108、底部110、基板サポートアセンブリ148、外部ライナ116、内部ライナ(図示せず)、又は他のチャンバコンポーネントのいずれかは、金属酸化物コーティング上にM-O-F層を有するM-O-Fコーティング又は金属酸化物コーティングを含んでもよい。例えば、図示のシャワーヘッド130は、M-O-Fコーティング152を含む。いくつかの実施形態では、基板144上で別の処理を実施する前に、インサイチュ(in-situ)フッ素化処理を用いてM-O-F層が一時的に形成される。いくつかの実施形態では、M-O-Fコーティング152はY-O-Fコーティングである。Y-O-Fコーティングは、単一のY-O-F相又は複数の異なるY-O-F相を有してもよい。Y-O-Fコーティングが有することができるいくつかの可能なY-O-F相は、YOF ht、YOF rt、YOF tet、YOF(例えば、YOF ht-hp)、Y(例えば、Y ht-hp)、YO0.422(例えば、YO0.422ht-hp)、Y、Y、Y、及びY171423である。いくつかの実施形態では、M-O-FコーティングはY-Zr-O-Fコーティングである。
図2は、本発明の実施形態による、製造システム200の例示的な構造を示す。製造システム200はセラミック製造システムであってもよい。一実施形態では、製造システム200は、設備自動化層215に接続された処理装置201を含む。処理装置201は、加熱炉202、ウエットクリーナ203、プラズマ溶射システム204、原子層堆積(ALD)システム205、IADシステム206、プラズマエッチングリアクタ207、ビードブラスタ(図示せず)、CVDシステム(図示せず)、プラズマクリーナ208、及び/又はフッ素系プラズマを使用する別の処理チャンバを含むことができる。製造システム200は、設備自動化層215に接続された1つ以上のコンピューティングデバイス220を更に含むことができる。代替の実施形態では、製造システム200は、より多くの又はより少ないコンポーネントを含むことができる。例えば、製造システム200は、設備自動化層215又はコンピューティングデバイス220を備えず、手動(例えば、オフライン)処理装置201を含んでもよい。
加熱炉202は、物品(例えば、セラミック物品)を加熱するように設計された機械である。加熱炉202は、断熱されたチャンバ又はオーブンを備え、その中に挿入された物品(例えば、セラミック物品)に温度を制御して加えることができる。一実施形態では、チャンバは密封される。加熱炉202は、チャンバから空気を排出するため、したがってチャンバ内に真空を生成するためのポンプを備えてもよい。加熱炉202は、追加的又は代替的に、ガス(例えば、不活性ガス(例えば、Ar又はN)及び/又は反応性ガス(例えば、フッ化水素(HF)))をチャンバ内に注入するためのガス入口を備える。実施形態において、HF熱処理プロセスを実施するために加熱炉202を使用することができる。
ウエットクリーナ203は、浴槽及び加熱要素を含む装置である。ウエットクリーナ203は、ウエット洗浄処理を使用して物品(例えば、チャンバコンポーネント)を洗浄することができる。ウエットクリーナ203は、HF酸性溶液又は他のフッ素系酸性溶液(例えば、フルオロアンチモン酸、フッ化アンモニウム(及び/又はNHF)及び/又は硫酸フッ化水素酸を含む酸性溶液など)で満たされたウエット浴槽を備える。金属酸化物コーティングを有するチャンバコンポーネントは、約0~100℃(又はほぼ室温~約100℃)の温度でHF酸性溶液(又は他のフッ素系酸性溶液)に浸漬され、金属酸化物の少なくとも一部をM-O-Fに変換することができる。いくつかの実施形態では、HF酸性溶液(又は他のフッ素系酸性溶液)により、物品から表面汚染物質を除去し、及び/又は金属酸化物コーティングの表面からM(OH)層酸化物を除去することができる。一実施形態では、約0.05~50vol%のHF及び50~95vol%の水を含む酸性溶液が使用される。一実施形態では、約0.05~1.0(又は0.05~0.1)vol%のHF、緩衝剤として99.5~99.95vol%及びフッ化アンモニウム量を含む酸性溶液が使用される。
プラズマ溶射システム204は、セラミックコーティングを物品の表面にプラズマ溶射するように構成された機械である。プラズマ溶射システム204は、低圧プラズマ溶射(LPPS)システム又は大気圧プラズマ溶射(APPS)システムであってもよい。LPPSシステム及びAPPSシステムの両方を使用して、多孔質低密度プラズマ耐性層(例えば、多層プラズマ耐性コーティング用の第2のプラズマ耐性層)を堆積させてもよい。LPPSは低圧力まで(例えば、1Mbar、10Mbar、35Mbarなどの真空まで)排気できる真空チャンバを含むが、一方、APPSシステムはいかなる真空チャンバも含まず、その代わりに、開いたチャンバ又は空間を含んでもよい。
プラズマ溶射システム204では、ガスが流れる2つの電極間でアークが形成される。ガスがアークによって加熱されると、ガスは膨張し、プラズマトーチの成形ノズルを通して加速され、高速プラズマジェットが生成される。セラミック及び/又は金属材料からなる粉末は、粉末供給システムによってプラズマジェットに注入される。プラズマジェットの超高温度は粉末を溶融し、溶融したセラミック及び/又は金属材料を物品に向かって推進させる。物品と衝突すると、溶融粉末は平らになり、急速に固化し、物品に付着するセラミックコーティングの層を形成する。プラズマ溶射層の厚さ、密度、及び粗さに影響を及ぼすパラメータには、粉末の種類、粉末サイズ分布、粉末供給速度、プラズマガス組成、ガス流量、エネルギ入力、圧力及びトーチオフセット距離などが含まれる。代替的に、サスペンションプラズマ溶射(SPS)を実施してもよく、粉末をプラズマジェットに注入する前に懸濁液中に分散させてもよい。実施形態では、プラズマ溶射層は約2~5%の多孔率を有してもよい。多孔率は、材料中のボイド(例えば、空隙)の測定尺度であり、全体積又は材料に対するボイド体積の割合である。
ALDシステム205は、原子層堆積を実施し物品上に薄く高密度なコンフォーマル層を形成するシステムである。ALDにより、物品の表面との化学反応を介した物質の自己制限的堆積の制御が可能になる。コンフォーマル処理に加えて、ALDは均一処理でもある。高アスペクト比フィーチャ(例えば、約10:1~約300:1)を含めて物品の全ての曝露面は、同量又はほぼ同量の堆積材料を有するであろう。ALDプロセスの一般的な反応サイクルは、前駆体(すなわち、単一の化学物質A)がALDチャンバに流れこみ、前半の反応で物品の表面上に吸着されることから始まる。次いで、過剰な前駆体がALDチャンバから洗い流され、後半の反応のために反応物質(すなわち、単一の化学物質R)がALDチャンバに導入され、その後洗い流される。いくつかの実施形態では、このプロセスを繰り返し、約1ミクロンまでの厚さを有するALD層をビルドアップすることができる。
物品上にコーティングを堆積するために一般的に使用される他の技術(例えば、プラズマ溶射コーティング及びイオンアシスト堆積など)とは異なり、ALD技術は高アスペクト比フィーチャ内(すなわち、フィーチャの表面上)に材料層を堆積させることができる。更に、ALD技術により、多孔性のない(すなわち、ピンホールのない)比較的薄い(すなわち、1μm以下、又は場合によっては10μm以下)コーティングが生成される。本明細書で使用される「多孔性のない」という用語は、透過型電子顕微鏡(TEM)で測定したときに、コーティングの深さ全体に沿って細孔、ピンホール、又は空隙がないことを意味する。
プラズマ耐性層を形成するためにALDシステム205によって使用される前駆体は、形成されるプラズマ耐性層に依存する。いくつかの実施形態では、プラズマ耐性層はAlであり、アルミニウム前駆体(例えば、ジエチルアルミニウムエトキシド、トリス(エチルメチルアミド)アルミニウム、アルミニウムsec-ブトキシド、三臭化アルミニウム、三塩化アルミニウム、トリエチルアルミニウム、トリイソブチルアルミニウム、トリメチルアルミニウム、又はトリス(ジエチルアミド)アルミニウムなど)から形成される。 いくつかの実施形態では、プラズマ耐性層はY又はYFであり、イットリウム前駆体(例えば、トリス(N、N-ビス(トリメチルシリル)アミド)イットリウム(III)、トリス(2,2,6,6-テトラメチル-3、5-ヘプタンジオナト)イットリウム(III)又はイットリウム(III)ブトキシド)から形成される。いくつかの実施形態では、プラズマ耐性層はErであり、エルビウム前駆体(例えば、トリス-メチルシクロペンタジエニルエルビウム(III)(Er(MeCp))、エルビウムボランアミド(Er(BA))、 Er(TMHD)、エルビウム(III)トリス(2,2,6,6-テトラメチル-3,5-ヘプタンジオネート)、及びトリス(ブチルシクロペンタジエニル)エルビウム(III))から形成される。
プラズマ耐性層を形成するためにALDシステム205によって使用される反応物質は、堆積されたプラズマ耐性層が酸化物である場合、酸素、水蒸気、オゾン、純酸素、酸素ラジカル、又は別の酸素源であってもよい。YFプラズマ耐性層が形成される場合、反応物質はフッ化物(例えば、TiF)であってもよい。
CVDシステムは化学気相堆積(CVD)を実施する。CVDは、1つ以上の揮発性前駆体に物品を曝露し、物品と反応して及び/又は物品上で分解して層を形成する(例えば、YF層又はY層を形成する)化学プロセスである。
EB-IADシステム206は電子ビームイオンアシスト堆積を実施するシステムである。代替的に、他のタイプのIADシステム(例えば、活性化反応蒸発イオンアシスト堆積(ARE-IAD)又はイオンビームスパッタイオンアシスト堆積(IBS-IAD)など)を実施形態において使用することができる。EB-IADを蒸発によって実施することができる。IBS-IADは、固体ターゲット材料(例えば、固体金属ターゲット)をスパッタリングすることによって実施することができる。いずれのIAD法も、反応性ガス種(例えば、O、N、ハロゲンなど)の存在下で実施することができる。
様々なタイプのIADに対して、高エネルギ粒子(例えば、イオン)の存在下での堆積材料の蓄積によって、薄膜プラズマ耐性層が形成される。堆積材料は、原子、イオン、ラジカル、又はそれらの混合物を含む。高エネルギ粒子は、薄膜プラズマ耐性層が形成されると、それに衝突し、それを圧縮する可能性がある。
IADに対して、材料源が堆積材料フラックスを供給し、高エネルギ粒子源が高エネルギ粒子フラックスを供給し、これら両方がIADプロセスを通じて物品に衝突する。高エネルギ粒子源は、酸素又は他のイオン源であってもよい。高エネルギ粒子源は、他のタイプの高エネルギ粒子(粒子生成源から(例えば、プラズマ、反応性ガスから、又は堆積材料を供給する材料源から)生ずるラジカル、原子、イオン、及びナノサイズの粒子など)を供給することができる。堆積材料を供給するために使用される材料源(例えば、ターゲット本体)は、プラズマ耐性層が構成されるのと同じセラミックに対応するバルク焼結セラミックであってもよい。
IADは、1つ以上のプラズマ又はビームを利用して、材料源及び高エネルギイオン源を供給してもよい。プラズマ耐性コーティングの堆積中に反応種を供給してもよい。IADプロセスでは、他の堆積パラメータとは無関係に高エネルギイオン(又は他の粒子)源によって高エネルギ粒子を制御してもよい。高エネルギイオンフラックスのエネルギ(例えば、速度)、密度及び入射角を選択し、プラズマ耐性層のターゲット組成、構造、結晶配向及び粒度を実現してもよい。調節可能な追加のパラメータは、堆積中における物品の温度、及び堆積の継続時間である。EB-IAD及びIBS-IAD堆積は、広範な表面条件で実現可能である。しかし、研磨された表面上で実施されるIADでは、絶縁破壊電圧の上昇がもたらされる可能性がある。
プラズマエッチングリアクタ207はプラズマを用いてエッチング処理を実施する処理チャンバである。プラズマクリーナ208はプラズマを用いて洗浄処理を実施する処理チャンバである。実施形態において、プラズマエッチングリアクタ207及び/又はプラズマエッチングクリーナ208は、図1の処理チャンバ100に対応することができる。
設備自動化層215は、製造機械201の一部又は全部を、コンピューティングデバイス220と、他の製造機械と、計測ツール及び/又は他の装置と相互接続してもよい。設備自動化層215は、ネットワーク(例えば、ロケーションエリアネットワーク(LAN))、ルータ、ゲートウェイ、サーバ、データストアなどを含んでもよい。製造機械201は、SEMI設備通信規格/一般設備モデル(SECS/GEM)インタフェースを介して、イーサネット(登録商標)インタフェースを介して、及び/又は他のインタフェースを介して設備自動化層215に接続してもよい。一実施形態では、設備自動化層215により、処理データ(例えば、処理の実施中に製造機械201によって収集されるデータ)をデータストア(図示せず)に記憶することが可能になる。代替の実施形態では、コンピューティングデバイス220は、1つ以上の製造機械201に直接接続する。
一実施形態では、一部又は全ての製造機械201は、処理レシピをロードし、記憶し、実施することができるプログラマブルコントローラを含む。プログラマブルコントローラは、製造機械201の温度設定、ガス及び/又は真空度の設定、時間設定などを制御することができる。プログラマブルコントローラは、主メモリ(例えば、リードオンリメモリ(ROM)、フラッシュメモリ、ダイナミックランダムアクセスメモリ(DRAM)、スタティックランダムアクセスメモリ(SRAM)など)及び/又は二次メモリ(例えば、データ記憶装置(例えば、ディスクドライブ))を含んでもよい。主メモリ及び/又は二次メモリには、本明細書に記載の熱処理プロセスを実施するための命令を記憶することができる。
プログラマブルコントローラは、命令を実行するために主メモリ及び/又は二次メモリ(例えば、バスを介して)に結合された処理装置を含めてもよい。処理装置は、汎用処理装置(例えば、マイクロプロセッサ、中央処理装置など)であってもよい。処理装置は、専用処理装置(例えば、特定用途向け集積回路(ASIC)、フィールドプログラマブルゲートアレイ(FPGA)、デジタル信号プロセッサ(DSP)、ネットワークプロセッサなど)であってもよい。一実施形態では、プログラマブルコントローラはプログラマブルロジックコントローラ(PLC)である。
一実施形態では、製造機械201は、製造機械が物品を加熱処理し、物品をコーティングするなどのレシピを実行するようにプログラムされる。一実施形態では、製造機械201は、図3A、図4A及び図6Aを参照して説明するように、物品又はコーティングを製造するための多段階処理のオペレーションを実施する処理レシピ225を実行するようにプログラムされる。一実施形態では、図5を参照して説明するように、フッ素系プラズマを使用して基板を処理する処理レシピを実行する前に、1つ以上の製造機械201がインサイチュ(in-situ)フッ素化処理用の処理レシピを実行してチャンバコンポーネントを保護するようにプログラムされる。コンピューティングデバイス220は1つ以上の処理レシピ225を記憶することができ、当該の処理レシピ225を製造機械201にダウンロードし、製造機械201に本発明の実施形態による物品を製造させることができる。
図3Aは、一実施形態による、Yコーティング又は他のイットリウム系酸化物コーティングの少なくとも表面をY-O-F層又は他の金属オキシフッ化物層又はコーティングに変換するプロセス300を示す。代替的に、プロセス300を実施して、Y又は別の金属酸化物の焼結セラミック物品の表面にY-O-F層又は他の金属オキシフッ化物層を形成することができる。他の金属酸化物コーティングからM-O-F層の形成にも適用するようにプロセス300を変更できることに注意すべきである。実施形態において使用できる他の金属酸化物のいくつかの例には、Al、Er、Y安定化ZrO(YSZ)、ErAl12(EAG)、Y-ZrO固溶体、YAlとY-ZrO固溶体からなる複合セラミックなどが含まれる。一実施形態では、イットリウム系酸化物コーティングは、Yと、別の酸化物(ZrO及び/又はAlなど)の交互層の積層体を含む。いくつかの実施形態では、Y層はAl層より実質的に厚い(例えば、どこでも酸化アルミニウム層より5~10倍厚い)。例えば、Y層及び他の酸化物層がALDを使用して形成される場合、Y層は8~10回のALD堆積サイクルを適用することによって形成されるが、追加の酸化物層は1~2回のALD堆積サイクルを適用することによって形成することができ、各ALD堆積サイクルで約1単分子層が生成される。
一実施形態では、金属酸化物コーティングはイットリアとジルコニアの固溶体(Y-ZrO)を含むか、又はそれらから構成されるコーティングである。一実施形態では、Y-ZrOの固溶体は20~80mol%のY及び20~80mol%のZrOを含んでもよい。更なる実施形態において、Y-ZrO固溶体は30~70mol%のY及び30~70mol%のZrOを含む。更なる実施形態において、Y-ZrO固溶体は40~60mol%のY及び40~60mol%のZrOを含む。更なる実施形態において、Y-ZrO固溶体は50~80mol%のY及び20~50mol%のZrOを含む。更なる実施形態では、Y-ZrO固溶体は60~70mol%のY及び30~40mol%のZrOを含む。他の例では、Y-ZrO固溶体は、45~85mol%のY及び15~60mol%のZrO、55~75mol%のY及び25~45mol%のZrO、58~62mol%のY、38~42mol%のZrO、68~72mol%のY、28~32mol%のZrOを含むことができる。
前述の金属酸化物コーティングのいずれも、結合して最大約2mol%までのコーティングを含む1つ以上のドーパントを含有してもよい。このようなドーパントは、ランタノイド系列(例えば、Er(エルビウム)、Ce(セリウム)、Gd(ガドリニウム)、Yb(イッテルビウム)、Lu(ルテチウム)など)の希土類酸化物であってもよい。このようなドーパントは、追加的又は代替的に、Al(アルミニウム)及び/又はSi(ケイ素)を含むことができる。
形成されるM-O-F層は、使用される特定の金属酸化物コーティングに依存する。プロセス300は、イットリウム系酸化物コーティング(例えば、Y)及びY-O-Fを参照して説明される。しかし、プロセス300は、他の金属酸化物コーティング上での他のM-O-F層の形成にも等しく適用できることを理解すべきである。
プロセス300のブロック305において、Yコーティング又は他のイットリウム系酸化物コーティングが、第1の処理チャンバ用のチャンバコンポーネントの表面上に堆積される。イットリウム系酸化物コーティングは、本明細書に記載の堆積技術(例えば、プラズマ溶射、ALD、IADなど)のいずれかを使用して堆積させることができる。APPSが実施される場合、イットリウム系酸化物コーティングは約100~300ミクロンの厚さを有し、約2~5%の多孔率を有することができる。SPSが実施される場合、イットリウム系酸化物コーティングは約50~100ミクロンの厚さを有し、約1~3%の多孔率を有することができる。IADが実施される場合、イットリウム系酸化物コーティングは、約1~20ミクロンの厚さを有し、約0.1%未満(例えば、実質的に0%)の多孔率を有することができる。ALDが実施される場合、イットリウム系酸化物コーティングは、約10nm~約10ミクロン(例えば、約1ミクロン)の厚さを有し、約0%の多孔率を有することができる。ALD又はIADが実施される場合、イットリウム系酸化物コーティングはコンフォーマルなコーティングである。本明細書で使用される場合、層に適用されるコンフォーマルという用語は、物品のフィーチャを実質的に均一な厚さで覆う層を意味する。一実施形態では、本明細書で議論されるコンフォーマル層は、約±20%未満の厚さ変動、±10%の厚さ変動、±5%の厚さ変動、又はより小さい厚さ変動を有する均一な厚さでコーティングされた下層表面の(コーティングされた表面フィーチャを含めて)コンフォーマルな被覆を有する。
代替的に、いくつかの実施形態では、イットリウム系酸化物コーティング又は他の金属酸化物コーティングは堆積されない。代わりに、チャンバコンポーネント本体は、金属酸化物(例えば、Al又はYなど)で構成されてもよい
ブロック310において、チャンバコンポーネントは第2の処理チャンバ内に配置され、約50~500℃の高温度に加熱されてもよい。一実施形態では、チャンバコンポーネントは約150~350℃に加熱される。第2の処理チャンバは、例えば、加熱炉であってもよいし、又はHF酸浴槽(又は、他のフッ素系酸性溶液(例えば、NHF、又はHFとNHFの混合物)を含む酸浴槽)を含むウエットクリーナであってもよい。ブロック315において、チャンバコンポーネントは高温度でHFに曝露される。代替的に、チャンバコンポーネントは、別のフッ素源(例えば、NFガス、NFプラズマ、CFプラズマ(例えば、CF/Arプラズマ)、F、及び/又はFラジカルなど)に曝露されてもよい。HF酸性溶液(又は他のフッ素系酸性溶液)が使用される場合、HF酸性溶液(又は他のフッ素系酸性溶液)は、約0~100℃(又は、ほぼ室温から約100℃)の温度に維持されてもよい。このような実施形態では、第2の処理チャンバは加熱されてもされなくてもよい。高温度への曝露とHFとの組み合わせは、HF熱処理プロセスと呼ぶことができる。
一実施形態では、ブロック320において、HFガス(例えば、無水フッ化水素ガス)の流れがチャンバコンポーネントを含む第2の処理チャンバに導入される。HFガスの流量は、約100~1000SCCMであってもよい。一実施形態では、Oプラズマも第2の処理チャンバに流入する。Oプラズマに、約100~1000ワットの電力が使用されてもよい。Oプラズマは、実施形態において遠隔プラズマ源によって生成されてもよい。一実施形態における高温度は150~200℃である。
一実施形態では、ブロック325において、チャンバコンポーネントをHF酸浴槽溶液(又は他のフッ素系酸性溶液)に浸漬する。HF酸浴槽溶液は、約50~99.5vol%の水及び0.5~50vol%のHF酸を含有してもよい。一実施形態では、HF酸浴槽溶液は約0.5~1.0vol%のHF酸と約99~99.95vol%の水とを含む。一実施形態では、HF酸浴槽溶液は、前述のHF酸浴槽溶液のいずれかであり、更にフッ化アンモニウム(NHF)緩衝剤を含む。一実施形態では、HF酸浴槽溶液は0.5mol%のNHF緩衝剤を含む。一実施形態における温度は0~100℃である。代替的に、温度は250~350℃であってもよい。
一実施形態では、ブロック328において、NFプラズマ又はCFプラズマ(例えば、CF/Arプラズマ)が第2のチャンバに流される。プラズマは、誘導結合プラズマ(ICP)又は容量結合プラズマ(CCP)であってもよい。プラズマの電力は、例えば、150~500ワットであってもよい。
HFガス又はHF酸性溶液(又は他のフッ素源)の存在下での処理により、金属酸化物コーティング(又は金属酸化物セラミック物品)の表面で、酸素結合の一部がフッ素結合で置換される化学反応が起きる。HF酸性溶液の場合、溶液は上記のように加熱されないことがある。HF処理の結果として、YはY-O-Fになるが、これはYコーティングの表面から始まる。いくつかの反応例を以下に示す。
6HF+Al→2AlF+3H
6HF+Y→2YF+3H
4HF+ZrO→ZrF+2H
反応により生じる水は、処理温度で蒸発及び/又はHF酸性溶液の一部となり、フッ化物を残すことがある。したがって、物品又はコーティングの表面において、イットリウム酸化物(又は他の金属酸化物)コーティング中の酸素分子の一部をフッ素分子で置換する化学反応が行われる。反応の深さは時間及び温度の関数である。いくつかの実施形態において、反応は、約10nmの深さから約5μm以下の深さまで(例えば、約200nmまで)、物品又はコーティングの表面に浸透することがある。いくつかの実施形態では、イットリウム系酸化物コーティング(又は他の金属酸化物コーティング)の全体がY-O-Fコーティング(又は他のM-O-Fコーティング)に変換される。
M-O-F層中のフッ素濃度及びM-O-F層に変換された金属酸化物の深さ又は厚さは、フッ素化される金属酸化物の組成、フッ素系プラズマ(又はHF酸性溶液)中のフッ素濃度、 温度、及びフッ素化処理の継続時間に依存する。実験によると、比較的低温のフッ素化処理(例えば、約100℃未満で)を1~5時間行うと、Yコーティング又はYバルク焼結物品の最上部の約50~70nmでフッ素化が生じることが示されている。高温フッ素化(例えば、約400℃以上)により、Yコーティング全体がフッ素化され、約50nm~約5μm(例えば、約200nm)の厚さを有するコーティングが得られる。フッ素化処理条件及び得られる金属オキシフッ化物層の例は、以下の図7B~図12Bに示される。
金属酸化物を空気に曝露すると、一般に、金属酸化物の表面上に-OH基の層が形成される(例えば、M(OH)層を形成する)。上記のように、M(OH)層は複数の望ましくない効果を有する。前記温度でM(OH)層(例えば、Y(OH)層)をHFに曝露すると、金属酸化物コーティング又は物品と同様の方法で、M-OH層はM-O-F層に変換する。したがって、HF熱処理によってM(OH)層を除去することができる。更に、M-O-F層又はコーティングは、その表面上で-OH基の更なる形成の影響を受けにくい。
実施形態において、上述のようにイットリウム系酸化物コーティングがY層と追加の酸化物層との交互積層体である場合、Y層はY-O-F層に変換することができ、追加の酸化物層は追加のM-O-F層に変換することができる。
いくつかの実施形態では、チャンバコンポーネントはマグネシウムを含む(例えば、マグネシウムを含むアルミニウム合金である)。一実施形態では、ブロック335において、チャンバコンポーネントからのマグネシウムは、チャンバコンポーネントの表面に向かって拡散し、Y-O-Fコーティング又は他のM-O-Fコーティングへ拡散する。拡散は、HF処理の結果として生じる可能性がある。ブロック340において、マグネシウムはM-O-Fコーティングと反応して、M-O-Fコーティングの界面にMgF層を形成する。イットリウム系酸化物コーティングの全てがM-O-Fに変換された場合、M-O-Fコーティングの界面は、M-O-Fコーティングとチャンバコンポーネントとの間の界面である可能性がある。代替的に、イットリウム系酸化物コーティングの全てがM-O-Fに変換されていなくても、M-O-Fコーティングの界面はM-O-F層とイットリウム系酸化物コーティングとの間の界面である可能性がある。MgF層は、マグネシウムのバリア層として作用し、マグネシウムがMgF層を越えて拡散するのを防止する。同様に、他の金属はM-O-F層に向かって拡散し、M-O-F層と反応して、他の金属フッ化物バリア層を形成する可能性がある。
いくつかの実施形態では、HF処理の前及び/又はHF処理の後に、イットリウム系酸化物コーティングに対して化学処理を実施してもよい。この化学的処理により、M-O-F層の品質(例えば、安定性)が改善される可能性がある。
図3Bは、一実施形態による、チャンバコンポーネント350の本体355上のYコーティング360と、Yコーティング360上のY-O-F層365とを含むチャンバコンポーネント350の断面側面図を示す。チャンバコンポーネント350は金属体(例えば、アルミニウム又はアルミニウム合金(例えば、Al6061))又はセラミック体(例えば、Al、AlN、SiCなど)を有してもよい。
図4Aは、一実施形態による、YFコーティング又は他の希土類フッ化物コーティングをY-O-Fコーティング又は他のM-O-Fコーティングに変換するプロセス400を示す。プロセス400を実施して、他のイットリウム系フッ化物コーティングをY-O-Fコーティング又は他のイットリウム系オキシフッ化物に変換してもよい。他のイットリウム系フッ化物コーティングの例には、YxFyZrz(ここで、x、y、zは正の整数又は小数)、ErF3、YxErzFz(ここで、x、y、zは正の整数又は小数)などが含まれる。例えば、イットリウム系フッ化物は、20~80mol%のYFと20~80mol%のZrFとの混合物を含むことができる。他の例には、45~85mol%のYFと15~60mol%のZrF、55~75mol%のYFと25~45mol%のZrF、58~62mol%のYFと38~42mol%のZrF4、68~72mol%のYFと28~32mol%のZrFを含むことができる。別の例では、イットリウム系フッ化物は、50~90mol%のYFと10~50mol%のErF、10~90mol%のYFと10~90mol%のErF、30~70mol%のYFと30~70mol%のErF、60~80mol%のYFと20~40mol%のErFなどを含むことができる。プロセス400は、YFのY-O-Fへの変換を参照して議論される。しかし、プロセス400を実施して、他のイットリウム系フッ化物をイットリウム系オキシフッ化物に変換できることを理解すべきである。したがって、以下の議論におけるYFは他のイットリウム系フッ化物で置換されてもよく、以下の議論におけるY-O-Fは他のイットリウム系オキシフッ化物で置換されてもよい。
一例では、イットリウム系フッ化物は、YF-ZrF固溶体、YF層とAlF層又は他の金属フッ化物層との交互積層体、又はY-Al-Fの第1の相とY-Zr-Fの第2の相とを含む複合セラミックであってもよい。YF-ZrF固溶体は、約50~75mol%のYFと約25~50mol%のZrFとを含むことができ、YとZrとの比が約1:1~3:1であるY-Zr-O-Fに変換することができる。実施形態において、YF-ZrF固溶体は、55~65mol%のYFと約35~45mol%のZrFとを含むことができる。実施形態において、YF-ZrF固溶体は、65~75mol%のYFと約25~55mol%のZrFを含むことができる。YF層とAlF層(又は他の金属フッ化物層)との交互積層体の場合、YF層は、AlF層又は他の金属フッ化物層の厚さの約5~10倍の厚さを有する可能性がある。例えば、YF層は約5~100オングストロームの厚さを有し、AlF層は約1~20オングストロームの厚さを有する可能性がある。YF層は元のYF層の厚さをほぼ有するY-O-F層に変換される可能性があり、AlF層は元のAlF層の厚さをほぼ有するAl-O-F層に変換される可能性がある。複合セラミックの場合、Y-Al-Fの第1の相はY-Al-O-Fに変換され、第2の相はY-Zr-O-Fに変換される可能性がある。
プロセス400のブロック405において、ALD、CVD又はIADが実施されて、処理チャンバ用のチャンバコンポーネント上にYF又は他の希土類フッ化物コーティングが堆積される。ALDが実施される場合、YFコーティング(又は他のイットリウム系フッ化物コーティング)は約10nm~10ミクロンの厚さを有する。EB-IADが実施される場合、YFコーティング(又は、他のイットリウム系フッ化物コーティング)は約0.5~10ミクロンの厚さを有する。CVDが実施される場合、YFコーティング(又は、他のイットリウム系フッ化物コーティング)は約100nm~約10ミクロンの厚さを有する。一実施形態では、IAD堆積によるYFコーティング(又は、他のイットリウム系フッ化物コーティング)は5ミクロンの厚さを有する。ALDコーティング及びIADコーティングは、共に、約0%の非常に低い多孔率(例えば、多孔性を有さない)を有するコンフォーマルコーティングである。X線粉末回折(XRD)相研究によって決定されたように、実施形態では、YFコーティング(又は他のイットリウム系フッ化物コーティング)はアモルファスコーティングであってもよい。
ブロック410で、チャンバコンポーネントを処理チャンバ(例えば、加熱炉の処理チャンバ)内に配置することができ、約100~1500℃の高温度に加熱することができる。チャンバコンポーネントを加熱できる温度の例は、200℃、250℃、300℃、400℃、500℃、600℃、650℃、750℃及び800℃を含む。ブロック415で、チャンバコンポーネントは、ある時間の間、高温度で酸素源に曝露される。酸素源は、空気、Oガス、水蒸気、Oガス、Oプラズマ、及び/又は他の酸素系プラズマ又は酸素系ラジカルであってもよい。他の酸素源は、Oイオン及び/又はラジカルを使用するYFコーティング(又は、他のイットリウム系フッ化物コーティング)のイオンボンバードメントを含む。高温度と酸素源への曝露の組み合わせは、酸素熱処理プロセスと称してもよい。実施形態では、時間間隔は12~24時間であってもよい。他の実施形態では、時間間隔は0.1~72時間であってもよい。いくつかの実施形態では、処理チャンバは金属であるか又は金属を含み、高温度は150~650℃である。いくつかの実施形態では、高温度は300~400℃である。いくつかの実施形態では、処理チャンバはセラミックであり、YFコーティング(又は他のイットリウム系フッ化物コーティング)の熱膨張係数(CTE)と近接して一致するCTEを有する。このような実施形態では、高温度は1500℃に達する可能性がある。
一実施形態では、ブロック420で、YFコーティング(又は、他のイットリウム系フッ化物コーティング)はY-O-Fコーティング(又は、他のM-O-Fコーティング)に変換される。一実施形態では、YFコーティング(又は他のイットリウム系フッ化物コーティング)の一部は、Y-O-F層又は他のM-O-F層に変換される(例えば、YFコーティングの表面が変換される)。一実施形態では、YFコーティング(又は他のイットリウム系フッ化物コーティング)の全体がY-O-Fコーティング又は他のM-O-Fコーティングに変換される。Y-O-Fコーティングは、XRD相研究で示されているように、クラックのない結晶性コーティングの可能性がある。膜の厚さが10ミクロン以上の場合、YFからY-O-Fに変換されたとき垂直なクラックを経験することが示されている。したがって、実施形態では、10ミクロン未満のYF膜が使用される。
酸素源の存在下での熱処理により、コーティング表面で化学反応が生じ、フッ素結合の一部が酸素結合で置換される。したがって、物品又はコーティングの表面で、YFコーティング内のフッ素分子の一部を酸素分子で置換する化学反応が行われる。反応深さは時間及び温度の関数である。
いくつかの実施形態では、チャンバコンポーネントはマグネシウムを含む(例えば、マグネシウムを含むアルミニウム合金である)。一実施形態では、ブロック335で、チャンバコンポーネントからのマグネシウムがチャンバコンポーネントの表面に向かって拡散し、Y-O-Fコーティングへ拡散する。拡散は、HF処理の結果として生じ得る。ブロック340で、マグネシウムは、Y-O-Fコーティングと反応してY-O-Fコーティングの界面にMgF層を形成する。YFコーティングの全てがY-O-Fに変換された場合、Y-O-Fコーティングの界面はY-O-Fコーティングとチャンバコンポーネントとの間の界面であってもよい。
一例では、厚さ1ミクロンのアモルファスYFコーティングを350℃で空気に12時間曝露した。結果は、YFコーティングの大部分がクラックのない結晶性Y-O-Fコーティングに変換された。特に、コーティングは、酸素熱処理後に、83.7 wt.%のY-O-F及び13.7wt.%のYFを含有した。試験例では、チャンバコンポーネントはAl6061であり、マグネシウムを含んでいた。マグネシウムはY-O-Fコーティングに拡散し、MgFを形成した。したがって、XRD相研究によって、コーティングと基板との間の界面に2.6wt.%のMgFのマイナー相があることが示されている。堆積されたままのYFの放射率は0.351であり、Y-O-F層の放射率は0.149である。
Y-O-Fは、YFよりも小さいモル体積を有する。したがって、YFコーティングがY-O-Fコーティングに変換されるとき、YFコーティングの圧縮応力が低減される可能性がある。したがって、変換を実施してコーティングの「ゼロ応力状態」を調整することができる。「ゼロ応力状態」という用語は、コーティングがいかなる引張応力下にも圧縮応力下にもない状態(例えば、内部圧縮応力又は引張応力を有さない)を意味する。ゼロ応力状態は、一般に、堆積温度で発生する。
図4Bは、一実施形態による、チャンバコンポーネント350の本体355上にY-O-Fコーティング360を含むチャンバコンポーネント450の断面側面図を示す。チャンバコンポーネント350は、金属体(例えば、アルミニウム又はアルミニウム合金(例えば、Al6061))又はセラミック体(例えば、Al、AlN、SiCなど)を有してもよい。Y-O-Fコーティング360は、もともとはYFコーティングであってもよく、Y-O-Fコーティング460に完成して変換されていてもよい。同様の結果は、他のイットリウム系フッ化物のイットリウム系オキシフッ化物への変換によって達成することができる。
図5は、一実施形態による、製造プロセスの前に、金属酸化物コーティング上に一時的なY-O-F層、イットリウム系オキシフッ化物層又は他のM-O-F層を形成するためのインサイチュ(in-situ)プロセス500を示し、本明細書ではインサイチュ(in-situ)フッ素化処理と称される。代替的に、プロセス500を実施して、金属酸化物コーティングがない焼結金属酸化物チャンバコンポーネント上にM-O-F(例えば、Y-O-F又はイットリウム系オキシフッ化物)層を形成することができる。更に、プロセス500を実施して、M-O-F層を形成するのではなく、金属酸化物コーティング又は物品の表面に一時的なYF層又は他の金属フッ化物層を形成することもできる。
プロセス500のブロック505で、基板が処理チャンバ内にロードされる。処理チャンバは、金属酸化物コーティングを有する1つ以上のチャンバコンポーネントを含む。金属酸化物コーティング(又は焼結金属酸化物物品)は、いくつか例を挙げると、Al、Er、Y、Y安定化ZrO(YSZ)、ErAl12(EAG)、Y-ZrO固溶体、又はYAlとY-ZrO固溶体を含む複合セラミックであってもよい。金属酸化物コーティングは、10nm~1ミクロンの厚さを有するALDコーティング、1~10ミクロンの厚さを有するIADコーティング、100~300ミクロンの厚さを有するプラズマ溶射コーティング、50~100ミクロンの厚さを有するSPSコーティング、化学気相堆積(CVD)コーティング、又は別のタイプのコーティング(例えば、陽極酸化によって形成されるAlのコーティング)であってもよい。代替的に、チャンバコンポーネントは、金属酸化物コーティングがない金属酸化物のバルク焼結セラミック物品であってもよい。
ブロック510で、遠隔プラズマ源からのフッ素系プラズマが、1つ以上のチャンバコンポーネントが設置される処理チャンバ内に導入される。代替的に、異なるフッ素化源(例えば、HFガス)を使用してもよい。一実施形態では、フッ素系酸性溶液(例えば、HF酸性溶液)がフッ素化源として使用される。
ブロック515で、金属酸化物コーティング(又は金属酸化物品)をフッ素系プラズマ又は他のフッ素源と反応させて、金属酸化物コーティング(又は金属酸化物品)上に一時的なM-O-F層又は金属フッ化物層を形成する。一時的なM-O-F層又は金属フッ化物層は、1回のプロセス又は数回のプロセス以上に存続するように作られていない非常に薄い層の可能性がある。実施形態で、一時的なM-O-F層は1~50nm(例えば、1~5nm)の厚さを有する可能性がある。
実施形態で、フッ素系プラズマが処理チャンバに導入されてもよく、一方でチャンバの温度はほぼ室温~約1000℃である。更なる実施形態で、チャンバはほぼ室温~約400℃の温度を有してもよい。実施形態で、フッ素系プラズマは約0.5~10分間、処理チャンバに導入されてもよい。フッ素系プラズマは、前述したフッ素系プラズマのいずれでもよい。一実施形態では、フッ素系プラズマではなく酸素プラズマ及びHFガスが使用される。
代替の実施形態では、フッ素系酸性溶液は室温から最大約100℃までの温度で処理チャンバに導入されてもよい。実施形態では、酸性溶液自体を加熱してもよく、及び/又はチャンバを加熱してもよい。一実施形態では、フッ素系酸性溶液は50~95vol%の水と5~50vol%のHF酸とを含むHF酸性溶液である。フッ素系酸性溶液をチャンバに流入させて、チャンバを完全に又は部分的に充填してもよい。代替的に、フッ素系酸性溶液を1つ以上のチャンバコンポーネント上に噴霧してフッ素化してもよい。1つ以上のチャンバコンポーネントのフッ素系酸性溶液への曝露時間は、約0.5~10分(例えば、0.8分、1.0分、1.2分、1.5分など)であってもよい。いくつかの例では、曝露時間はより短くてもよい(例えば、約0.2~0.4分)。曝露時間が終了すると、チャンバコンポーネントを(例えば、DI水で)リンスしてもよい。
いくつかの実施形態では、ブロック505のオペレーションは、ブロック515のオペレーションの後、及びブロック520のオペレーションの前に実施される。
ブロック520で、製造プロセスが実施される。製造プロセスは、例えば、プラズマエッチングプロセス又はプラズマ洗浄プロセスであってもよく、処理チャンバ内に固定された基板(例えば、半導体回路が形成されたウェハ)をエッチング又は洗浄してもよい。製造プロセスは、腐食性ガスの使用を含んでもよい(例えば、プラズマエッチングプロセス又はプラズマ洗浄プロセスを可能にするであろうフッ素系プラズマ、塩素系ケミストリ、アンモニア系ケミストリなど)。金属酸化物コーティング(又は金属酸化物品)上にM-O-F層又は金属フッ化物層が存在することにより、腐食性ガスは金属酸化物コーティングを浸食したり、腐食させたり、又は損傷させたりしないことができる。いくつかの実施形態(例えば、塩素系ケミストリ又はアンモニア系ケミストリが使用される実施形態)では、腐食性ガスは製造プロセスの終わりまでにM-O-F層又は金属フッ化物層の全体を除去することができる。代替的に、腐食性ガスはM-O-F層又は金属フッ化物層の一部分だけを除去することができる(例えば、塩素系ケミストリ又はアンモニア系ケミストリが使用される場合)。いくつかの実施形態では、製造プロセスはM-O-F層又は金属フッ化物層を成長させる条件下でフッ素系プラズマを含む。これらの例のそれぞれにおいて、M-O-F層又は金属フッ化物層は、製造プロセスを通して、下層の金属酸化物コーティング及び/又は金属酸化物品を保護することができる。
インサイチュ(in-situ)フッ素化処理は、処理チャンバを腐食性ガスに曝露する各製造プロセスに先立って実施してもよい。M-O-F層又は金属フッ化物層は、還元ケミストリ(例えば、塩素ケミストリ、フッ素ケミストリ及びアンモニアケミストリ)に曝露されたとき、金属酸化物コーティングよりもはるかに低い浸食速度を有する可能性がある。その結果、処理チャンバ用のチャンバコンポーネントの有効寿命が大幅に延長され、プロセスドリフトが緩和され、腐食性ガスと金属酸化物コーティングとの化学反応によるオンウェハパーティクルが緩和される可能性がある。更に、M-O-F層又は金属フッ化物層は、製造プロセス中の金属拡散をブロックするための拡散バリアとして機能する可能性があり、処理された基板上の金属汚染を低減する可能性がある。
製造プロセスが(例えば、フッ素ガス又はフッ素プラズマを使用する)フッ素系プロセスであるいくつかの例では、製造プロセス自体によって、金属酸化物コーティングのある部分が金属フッ化物又は金属オキシフッ化物に変換されることがある。しかし、他の製造プロセス(例えば、塩素又はアンモニアを使用するプロセス)は、このような金属フッ化物又は金属オキシフッ化物への変換を引き起こすことはないであろう。更に、多くの場合、金属酸化物コーティングを有するチャンバコンポーネントの大きな表面が存在する。金属酸化物コーティングを保護するのに十分な金属オキシフッ化物又は金属フッ化物層が金属酸化物コーティング上にビルドアップされる前に、製造プロセスを多数反復する可能性がある。この間に、チャンバ状態の変化によるプロセスドリフトが発生することがある。インサイチュ(in-situ)フッ素化処理は、金属オキシフッ化物層又は金属フッ化物層を速く形成し、金属酸化物コーティングを直ちに保護し、プロセスドリフトを緩和するインサイチュ(in-situ)シーズニングプロセスとして機能することができる。更に、インサイチュ(in-situ)フッ素化処理を使用して、フッ素化条件を制御し、応力を制御してM-O-F層又は金属フッ化物のターゲットとする厚さを達成することができる。フッ素化条件の制御により、M-O-F層又は金属フッ化物層からのパーティクル生成を防止することができる。
実験から、一時的なM-O-F又は金属フッ化物層には、それを超えると粒子が生成される第1の閾値層厚さが存在する、と判断されている。したがって、いくつかの実施形態では、M-O-F層又は金属フッ化物層の厚さは、エッチバックプロセスを定期的に実施することによって更に制御される。例えば、インサイチュ(in-situ)フッ素化処理を各製造プロセスの開始時に実施してもよく、製造プロセスが閾値回数(例えば、5回、10回、24回、30回など)実施された後にエッチバック処理を実施してもよい。エッチバックプロセスは、インサイチュ(in-situ)プロセスとして実施してもよく、フッ素化処理の前に製造プロセスの終了時又は製造プロセスの開始時に定期的に実施してもよい。
したがって、一実施形態では、ブロック525で、エッチバックプロセスを実施するかどうかの判定が行われる。この判定は、M-O-F若しくは金属フッ化物層の厚さ又は他のエッチバック基準に基づいて行ってもよい。一実施形態では、M-O-F層又は金属フッ化物層がパーティクル生成が起こる第2の閾値厚さ未満の第1の閾値厚さに達したら、エッチバック処理を行う時期である。一実施形態では、エッチバックプロセスが最後に実施された後に実施された製造プロセスの反復回数のカウントに基づいて判定が行われる。例えば、インサイチュ(in-situ)フッ素化処理と製造プロセスの各反復の後にどの程度の厚さがM-O-F層又は金属フッ化物層に追加されるかを試験することによって知ることができる。この情報は、M-O-F層又は金属フッ化物層が第1の閾値厚さに達しエッチバック基準を満足したときを判定するために使用することができる。
追加的又は代替的に、処理後に基板上でパーティクルカウント試験を実施してもよい。イットリウム含有パーティクルのパーティクルカウントが閾値量だけ増加する(例えば、イットリウム含有パーティクル数が閾値に達する)場合、エッチバック基準が満たされ、エッチバックプロセスを実施すべきという判定がなされてもよい。
エッチバックプロセスが実施されない(例えば、M-O-F層又は金属フッ化物層が第1の閾値厚さに達していないか、又は閾値パーティクルカウントに達していない)場合、方法はブロック505に戻り、別の基板が処理のために処理チャンバにロードされる。エッチバックプロセスが実施される場合、方法はブロック530に続く。
ブロック530で、エッチバックプロセスが実施される。一実施形態では、エッチバックプロセスは処理チャンバから基板を除去した後に実施される。これにより、エッチバックプロセスが基板に影響を及ぼすことを防止できる。代替的に、場合によっては、エッチバックプロセスは、製造プロセスの後、又は別の基板上での次の製造プロセスの前に、インサイチュ(in-situ)プロセスとして実施されてもよい。
エッチバックプロセスは、M-O-F層又は金属フッ化物層の正味の厚さを制御するために使用される。エッチバックプロセスは、金属フッ化物又は金属オキシフッ化物をエッチングすることができる腐食性ケミストリを用いて実施される。一実施形態では、エッチバックプロセスは、四塩化ケイ素(SiCl)ガス又はSiClプラズマを使用して実施される。SiClは、金属フッ化物又はM-O-F層と反応して、揮発性が高く、高い蒸気圧を有するSiFx(xは任意の正の値であってもよい)を形成する。次いで、SiFxをM-O-F又は金属フッ化物層と反応させてMFzを形成し、その後これを処理チャンバからポンプで排出することができる(zは任意の正の値であってもよい)。 一実施形態では、エッチバックプロセスは、SiClガス又はプラズマとClガス又はプラズマとの組み合わせを用いて実施される。SiClへのClの添加により、M-O-F層又は金属フッ化物層のエッチバック速度は増加する。一実施形態では、約1~5SCCMのSiCl及び場合により1~5SCCMのClが1~5秒間処理チャンバに流入される。一実施形態では、約1~2SCCMのSiCl及び場合により1~2SCCMのClが処理チャンバに1~3秒間流入される。
一実施形態では、処理チャンバは発光分光(OES)装置を備える。エッチバックプロセス中にプラズマが生成され、当該プラズマの少なくとも一部はエッチングされているM-O-F層又は金属フッ化物層からのものである。OES装置は、当該プラズマによって出力される光の様々な波長の強度レベルを測定することができる。OES装置は、光の様々な波長の強度レベルの検出に基づいて、SiClによるM-O-F又は金属酸化物のエッチングから形成されているSiFxの光学的サインを検出することができる。追加的に又は代替的に、YClxの光学的サインをOESを使用して検出することができる(xは任意の正の値であってもよい)。一旦、M-O-F層又は金属フッ化物層が完全に除去されると、SiFx及び/又はYClはもはや生成されず、検出された波長強度が変化するであろう。したがって、OES装置はM-O-F層又は金属フッ化物層が除去されたときを検出することができる。この時点で、エッチバックプロセスを終了させ、ガス/プラズマを処理チャンバからポンプで排気することができる。更に、M-O-F層又は金属フッ化物層中のフッ素の比率は深さと共に減少する可能性があり、金属酸化物コーティングとの界面付近に少量のフッ素が存在する。OES装置は、このフッ素量変化を検出することができ、特定の光学的サインが検出されるときをエッチバックプロセスの終了のきっかけとすることができる。特定の光学的サインは、ある量のSiFx及び/又はYClを含む光学的サインであってもよい。したがって、OES装置は、M-O-F層又は金属フッ化物層のある部分がなおエッチバックプロセスの終了状態にあることを保証する部分エッチバックを実施するために使用されてもよい。
方法300、400及び500のそれぞれによって、金属フッ化物又は金属酸化物コーティング及び/又は物品を、少なくとも部分的に金属オキシフッ化物(M-O-F)層又はコーティングに変換することができる。イットリウムオキシフッ化物層又はコーティング及び他の金属オキシフッ化物層又はコーティングは、安定していると共に、プラズマによる浸食及びフッ素系ケミストリとの反応に対して耐性が高いことが試験で示されている。更に、Y-O-Fコーティング及び他のイットリウム系オキシフッ化物コーティングは、水酸化物からのアタック(OHアタック)に対して不活性である。したがって、Y-O-Fコーティング又は層が空気に曝露される場合、水酸化イットリウム(Y(OH))は生じない。試験は、Y-O-Fコーティングがチャンバコンポーネント上で使用される場合、パーティクルレベルが低下することを示している。更に、Cl、Br、F及びH種の存在下でさえも、Y-O-Fコーティングのエッチング速度は、YFコーティングと比較して、非常に安定でかつ遅い。
図6Aは、一実施形態による、イットリウム系コーティングの少なくとも一部をY-O-Fコーティング又は層(又は、他のイットリウム系オキシフッ化物コーティング又は層)に変換することによって、イットリウム系コーティングの応力を緩和するプロセス600を示す。プロセス600は、最初、イットリウム系酸化物コーティングのイットリウム系オキシフッ化物コーティングへの変換を参照して、記載される。しかし、方法600を実施して、イットリウム系フッ化物コーティングをイットリウム系オキシフッ化物コーティングに変換することもできる。実施形態において、チャンバコンポーネントは、金属チャンバコンポーネント(アルミニウムコンポーネント(例えば、純粋アルミニウム又はアルミニウム合金(例えば、Al6061))又はステンレス鋼コンポーネント)であってもよい。アルミニウムは約22~25ppm/KのCTEを有し、ステンレス鋼は約13ppm/KのCTEを有する。しかし、イットリウム系コーティングは、著しく低いCTE(例えば、Yに対して約6~8ppm/K)を有する。他の酸化物も一般に低いCTEを有する。例えば、AlのCTEは8ppm/Kである。イットリウム系コーティングとチャンバコンポーネントとの間のこのCTE差により、熱サイクル期間中にイットリウム系コーティングにクラックが発生する可能性がある。高密度コーティング(例えば、IAD、PVD、CVD及びALDによって製造されたコーティング)は、金属物品上に形成される場合、熱サイクル期間中に、特に、クラックを生じやすい。
プロセス600のブロック605で、イットリウム系コーティングが、第1の処理チャンバ用のチャンバコンポーネントの表面上に堆積される。イットリウム系酸化物コーティングは、Yコーティング、Y-Er固溶体からなるコーティング、Y-ZrO固溶体からなるコーティング、又は本明細書で議論する他のイットリウム系コーティングであってもよい。いくつかの実施形態では、イットリウム系コーティングは、より厚いY層と、別の金属酸化物(例えば、ZrO又はAl)のより薄い層の交互積層体を含む。より薄い金属酸化物層は、Y層内での結晶形成を防止し、又はY層内に形成される結晶のサイズを制限することができる。
イットリウム系コーティングは、IAD堆積プロセス、物理気相堆積(PVD)堆積プロセス、化学気相堆積(CVD)堆積プロセス、又は実施形態におけるALD堆積プロセスを使用して堆積された薄い高密度酸化物コーティングであってもよい。いくつかの実施形態で、イットリウム系コーティングは約100~300℃の堆積温度を使用して堆積してもよい。例えば、堆積中にチャンバコンポーネントを100~200℃の温度に加熱することができる。したがって、イットリウム系コーティングは、堆積温度約100~300℃で「ゼロ応力状態」、室温で低い圧縮応力、及び処理温度(オペレーション温度)で高い引張応力を有することができる。堆積温度は、実施される堆積プロセス及び/又はチャンバコンポーネントの特性によって支配される可能性がある。チャンバコンポーネントが室温にあるとき、イットリウム系コーティングはわずかな圧縮応力下に置かれる可能性があるが、これはチャンバコンポーネントが堆積温度以下に冷えるにつれてチャンバコンポーネントがイットリウム系コーティングよりも収縮するためである。しかし、堆積温度よりも高い処理温度では、チャンバコンポーネントがイットリウム系コーティングよりも膨張することにより、イットリウム系コーティングは引張応力下に置かれる。引張応力により、イットリウム系コーティングにクラックが入る可能性がある。実施形態では、チャンバコンポーネントは後で約250~350℃の高い処理温度で使用されてもよい。結果として、イットリウム含有コーティングとチャンバコンポーネントとの間のCTEの差により、今後の処理期間中には引張応力下に置かれることになるであろう。
イットリウム系コーティングは、実施形態では1%未満、更なる実施形態では0.1%未満、実施形態では約0%、又はなお更なる実施形態では多孔性なし、という非常に低い多孔率を有することができる。ALDを実施してイットリウム系コーティングを形成する場合、1回の完全なALD堆積サイクル後に、イットリウム系コーティングは1原子~数原子未満(例えば、2~3原子)の厚さを有することができる。複数回のALD堆積サイクルを実施して、より厚いイットリウム系コーティングを堆積させることができ、各堆積サイクルにより1原子~数原子の追加分ずつ厚さに加えられる。実施形態では、イットリウム系コーティングは、約10nm~約1.5μmの厚さを有することができる。更なる実施形態では、イットリウム系コーティングは、約300nm~約500nmの厚さを有することができる。
いくつかの実施形態では、イットリウム系コーティングはYと追加の金属含有酸化物の一連の交互層を含む。例えば、イットリウム系コーティングは、YとAlの一連の交互層、YとZrOの一連の交互層などであってもよい。ALDを用いて、チャンバコンポーネントの表面が1つ以上の前駆体で完全に吸着されて吸着層を形成するまで、ある時間、チャンバコンポーネントを1つ以上の前駆物質に導入してもよい。その後、チャンバコンポーネントが反応物質に導入され、吸着層と反応させてY層を成長させることができる。このプロセスを約5~10サイクルまで繰り返し、Y層を成長させることができる。
層の表面が1つ以上の前駆体で完全に吸着されて吸着層を形成するまで、Y層を有するチャンバコンポーネントは、ある時間、1つ以上の前駆物質に導入されてもよい。その後、チャンバコンポーネントは反応物質に導入され、吸着層と反応させて追加の固体金属酸化物層を成長させることができる。したがって、ALDを用いて、Y層上に、追加の金属酸化物層が完全に成長又は堆積される。一例では、前駆体は前半サイクルで使用されるアルミニウム含有前駆体であってもよく、反応物質は後半サイクルで使用されるHOであってもよい。金属酸化物層は、ZrO、Al、又は他の酸化物であってもよい。このプロセスを1回実施し、単原子層~数原子層未満の厚さを有する非常に薄い金属酸化物層を成長させることができる。例えば、TMA及びHOによって成長させたAl単分子層は、一般的に、約0.9~1.3A/サイクルの成長速度を有し、一方、Alの格子定数は(三方晶系構造に対して)a-4.7A及びc=13Aである。
層及び追加の金属酸化物層の堆積をn回繰り返し、交互層の積層体を形成することができる。ここでnは2より大きい整数値である。nは、ターゲットとされる厚さ及び特性に基づいて選択された有限の層数を表してもよい。交互層の積層体は、複数の交互副層を含むイットリウム系コーティングと考えてもよい。
実施形態では、前述の交互層は、Y層の厚さと追加の金属酸化物層の厚さとの比、約5:1~10:1を有してもよい。したがって、追加の金属酸化物層は、Y層の厚さの1/10~1/5の厚さを有することができる。一実施形態では、各Y層に対して8回のALD堆積サイクルが実施され、追加の金属酸化物層それぞれに対して1回のALD堆積サイクルが実施される。結果として、Y層はアモルファスであってもよい。別の実施形態では、各Y層に対して10回のALDサイクルが実施され、追加の金属酸化物層それぞれに対して1回のALD堆積サイクルが実施される。結果として、Y層は1又は数ナノメートルのオーダーの結晶サイズを有するナノ結晶であってもよい。代替的に、Y層及び/又は追加の金属酸化物層に対して、より少ないALD堆積サイクルをより多く実施してもよい。
前述の通り、チャンバコンポーネント上にY層が形成され、その後に、追加の金属酸化物層の形成、別のY層の形成などが続く。しかし、他の実施形態では、第1の層は追加の金属酸化物層であってもよく、次の層はY層であってもよく、その後に、別の追加の金属酸化物層などが続いてもよい。
一実施形態では、イットリウム系コーティングの堆積の前に、応力緩和層(例えば、アモルファスAl又は別のアモルファスセラミック)が堆積される。応力緩和層は、イットリウム系コーティングと同じ堆積技術、又はそれとは異なる堆積技術を用いて堆積されてもよい。応力緩和層がアルミナ(Al)応力緩和層である例では、チャンバコンポーネントの表面上の全ての反応部位が消費され、前半の反応においてAl含有吸着層が形成されるまで、ALDが実施され、第1の時間の間、チャンバコンポーネントは第1の前駆体(例えば、トリメチルアルミニウム(TMA))に導入されてもよい。残留する第1の前駆体を洗い流し、次いで、HOの第1の反応物質がチャンバコンポーネントを含むリアクタに注入され、後半サイクルを開始することができる。HO分子が前半反応によって生成されたAl含有吸着層と反応した後に、Alの応力緩和層が形成される。
応力緩和層は、均一で、連続し、コンフォーマルであってもよい。実施形態において、応力緩和層は、多孔性がなくても(例えば、多孔率0を有する)よいし、又は多孔率がほぼ0であってもよい(例えば、0%から0.01%の多孔率)。複数のALD堆積フルサイクルを実施し、各フルサイクル(例えば、前駆物質の導入、洗い流し、反応物質の導入、及び再び洗い流しを含む)で1原子~数原子の追加分ずつ厚さに加えられ、より厚い応力緩和層を堆積させることができる。実施形態において、応力緩和層は約10nm~約1.5μmの厚さを有してもよい。
ブロック610で、チャンバコンポーネントは約250~500℃(例えば、約250~350℃)の高温度に加熱される。ブロック615で、チャンバコンポーネントは、一定時間、高温度でフッ素源に曝露される。実施形態では、当該時間は約0.1時間~約72時間であってもよい。更なる実施形態では、当該時間は約12~24時間又は約1~12時間であってもよい。フッ素源は、ブロック620で説明したように、HFガス、NFガス、NFプラズマ、Fガス、ガス中のFラジカル、又は他のフッ素源であってもよい。
ブロック625で、イットリウム系コーティングは、M-O-Fコーティング又は層に変換される。F原子は、イットリウム系コーティング中を拡散し、コーティング中でYと反応し、Y-O-F及び可能性のある他のフッ素化相を形成する。変換の深さ及びパーセンテージは、パラメータ(例えば、処理時間、温度、F含有ガスの種類、ガス圧力及びチャンバ圧力)によって制御することができる。M-O-Fに変換されるべきイットリウム系酸化物コーティングのターゲットとなる深さ及びパーセンテージは、コーティングの「ゼロ応力状態」を調整するために、堆積温度とオペレーション温度又は処理温度との差に依存することができる。例えば、イットリウム系コーティングがYコーティングである場合、Yコーティング全体がY-O-Fに変換することができる。イットリウム系コーティングがY層と追加の金属酸化物層の交互積層体である場合、Y層はY-O-F層に変換することができ、追加の金属酸化物層はM-O-F層に変換することができる。ある場合には、追加の金属酸化物層は非常に薄い可能性があるので、フッ素化処理の結果として、当該追加の金属酸化物層の材料組成は変化しない。したがって、Y層はY-O-F層に変換することができ、追加の金属酸化物層は変化しなくてもよい。
と比較して、YOはより大きなモル体積を有する(x及びyは正の値であってもよい)。x及びyの値に応じて、YOのモル体積はYFのモル体積36.384cm/molと1/2Y形態のモル体積22.5359cm/molとの間にある。イットリウム系コーティングのY-O-Fコーティング又は層(例えば、YO)への変換により、体積膨張が生じ、堆積温度より低い温度で追加的な内部圧縮応力が導入されるが、これは堆積温度より低い温度でのイットリウム系コーティングの内部圧縮応力よりも大きい。この結果として、金属チャンバコンポーネント又は他の物品上のコーティングのゼロ応力状態は、高温度側にシフトする。したがって、チャンバコンポーネントが堆積温度を超える高い処理温度に加熱されると、M-O-Fコーティング又は層(例えば、Y-O-Fコーティング又は層)は低減した内部引張応力を有するが、これは堆積温度より高い温度でのイットリウム系コーティングの内部引張応力よりも小さい。体積膨張は、YFがYのモル体積よりも約60%大きいモル体積を有するためである。Y-O-Fのモル体積は、YFのモル体積とYのモル体積との間にある。引張応力の減少により、Y-O-Fコーティングのクラックの低減又は排除が可能になる。Y-O-Fは、フッ素系プラズマによる浸食及び腐食に対して耐性のあるプラズマ耐性コーティングである。
プロセス600は、イットリウム系コーティングのCTEよりも高いCTEを有するチャンバコンポーネント上のイットリウム系コーティングの圧縮応力を増加させる、と説明されている。しかし、同様のプロセスを実施し、イットリウム系コーティングのCTEよりも低いCTEを有するチャンバコンポーネント上のイットリウム系コーティングの圧縮応力を低減させることもできる。例えば、チャンバコンポーネントは、グラファイト(約4ppm/KのCTEを有する)、AlN(約4.6ppm/KのCTEを有する)、SiC(約3.7ppm/KのCTEを有する)又はSiN(約2.8ppm/KのCTEを有する)であってもよい。このような場合、イットリウム系コーティング内の圧縮応力を低減させることが望ましいことがある。これは、例えば、ブロック605で、YF又は他のイットリウム系フッ化物コーティングの堆積から開始することによって達成することができる。次いで、ブロック615で、チャンバコンポーネントを酸素源(例えば、本明細書で上記の酸素源のいずれか)に曝露し、イットリウム系フッ化物コーティングをY-O-Fコーティング若しくは層又は他のイットリウム系オキシフッ化物コーティング若しくは層に変換することができる。実施形態では、酸素源(例えば、Oプラズマ及び/又はOラジカル)への曝露を200~300℃の温度で実施することができる。YFからY-O-F(又は別のイットリウム系フッ化物からイットリウム系オキシフッ化物)への変換により(例えば、モル体積の減少に起因して)体積収縮が生じ、このことにより、室温及び/又は高い処理温度で、引張応力が導入される、及び/又は、圧縮応力が低減される可能性がある。したがって、プロセス600を実施し、イットリウム系酸化物コーティング又はイットリウム系フッ化物コーティング内の応力を調節することができる。イットリウム系オキシフッ化物コーティングに変換できるイットリウム系フッ化物コーティングの例は、図4Aを参照して上記で提供される。
図6Bは、一実施形態による、チャンバコンポーネント650の本体655上にY-O-F/M-O-Fコーティング670を含むチャンバコンポーネント650の断面側面図を示す。チャンバコンポーネント650は、金属体(例えば、アルミニウム、アルミニウム合金(例えば、Al6061又はAl6063)、ステンレス鋼(例えば、SST316L)など)又はセラミック体(例えば、Al、AlN、SiCなど)を有してもよい 。Y-O-F/M-O-Fコーティング670は、より厚いY-O-F層660とより薄いM-O-F層665との交互積層体を含んでもよい。代替的に、より薄い層はM層であってもよい。
図7Aは、一実施形態による、透過型電子顕微鏡(TEM)によって観察されるYコーティング705を含むチャンバコンポーネント710の断面側面図を示す。キャッピング層715は、TEM画像を生成する目的で、Yコーティング705の上に配置されている。面A1はYコーティング705の最上部を示し、面B1はチャンバコンポーネント710とYコーティング705との間の界面を示す。
図7Bは、図7Aのチャンバコンポーネントの材料組成を示す。図示の通り、キャッピング層715はIrからなる。Yコーティング705はイットリウム725と酸素720とからなる。チャンバコンポーネント710はSi735からなる。
図8Aは、一実施形態による、透過型電子顕微鏡(TEM)によって観察されるフッ素化処理後のY-O-Fコーティング805を含むチャンバコンポーネント810の断面側面図を示す。フッ素化処理は、電力200WでNFプラズマを用いて約12時間、500℃で実施された。キャッピング層815は、TEM画像を生成する目的でY-O-Fコーティング805上に配置されている。面A2はY-O-Fコーティング805の最上部を示し、面B2はチャンバコンポーネント810とY-O-Fコーティング805との間の界面を示す。X線回折(XRD)による歪み測定によれば、歪みは室温で約1.34±0.13%増加し、微結晶サイズは11.4±1.5nmであり、これは室温での圧縮応力の増加と等価である。これと比較して、フッ素化処理のないイットリアコーティングは、室温で、歪み0.22±0.14%及び微結晶サイズ6.1±0.5nmを有した。Y-O-Fコーティングの室温での圧縮応力が大きくなったことにより、結果としてオペレーション温度(例えば、約100℃又はそれ以上)でこのコーティングの膜応力は低下する。
図8Bは、図8Aのチャンバコンポーネントの材料組成を示す。図示の通り、キャッピング層815はIrからなる。Y-O-Fコーティング805は、イットリウム825、酸素820及びフッ素840からなる。チャンバコンポーネント810はSi835からなる。図示の通り、Y-O-Fコーティング805は、コーティングの深さに依存して、約30~50at.%のF、約20~30at.%のO及び約30~40at.%のYを含む。フッ素化処理により、Yコーティング705全体に亘ってO分子はF分子に置換されている。
図9Aは、一実施形態による、透過型電子顕微鏡(TEM)によって観察されたフッ素化処理後のY-O-F層とAl-O-F層との交互積層体からなるイットリウム系オキシフッ化物コーティング905を含むチャンバコンポーネント910の断面側面図を示す。イットリウム系オキシフッ化物コーティングは、Y層とAl層の交互積層体を含むイットリウム系酸化物コーティングのフッ素化によって製造された。フッ素化処理は250℃で実施してもよい。キャッピング層915は、TEM画像を生成する目的で、イットリウム系酸化物コーティング905上に配置されている。面A3はイットリウム系酸化物コーティング905の最上部を示し、面B3はイットリウム系酸化物コーティングとアルミナ応力緩和層912との間の界面を示し、面C3はアルミナ応力緩和層912とチャンバコンポーネント910との間の界面を示す。
図9Bは、図9Aのチャンバコンポーネントの材料組成を示す。図示の通り、キャッピング層915はIrからなる。イットリウム系酸化物コーティング905は、イットリウム925、酸素920、フッ素940、アルミニウム935からなる。応力緩和層は酸素920とアルミニウム935とからなる。チャンバコンポーネント810は、異なる比率のアルミニウム935と酸素920とからなる。
フッ素化の前、イットリウム系オキシフッ化物コーティング905は、Y層とAl層の交互積層体を含むイットリウム系酸化物コーティングであった。いくつかの実施形態では、Y層はAl層よりも約2~12倍厚くてもよい。希土類酸化物副層と追加の金属酸化物副層との厚さの比の例には、2:1、3:1、4:1、5:1、8:1、10:1及び12:1が含まれる。いくつかの実施形態では、約5~12サイクルのALDプロセスを使用してY層が形成されるが、各サイクルで1ナノ層(又は、1ナノ層よりわずかに少ない又はわずかに多いナノ層)の希土類金属含有酸化物が形成される。Alの各層は、1回のALDサイクル(又は数回のALDサイクル)で形成することができ、1原子~数原子未満の厚さを有することができる。実施形態では、各Y層は約5~100オングストロームの厚さを有することができ、各Al層は約1~20オングストロームの厚さを有することができる。図示の実施形態では、Y層とAl層との厚さの比は約10:1である。実施形態では、Al層はY層が結晶質になるのを防ぐことができる。追加のAl層の結果として、Y層は多結晶状態のままである。
フッ素化の結果、Y層はY-O-F層に変換され、Al層はAl-O-F層に変換された。代替的に、Al層の一部又は全部がAl-O-F層に変換されなくてもよい。図示の通り、フッ素のat.%は約2at.%から約25at.%まで変化する。F濃度はコーティングの表面付近で高く、コーティングの底部付近で低い。
図10Aは、一実施形態による、透過型電子顕微鏡(TEM)によって観察されたフッ素化処理後のY-O-F層とAl-O-F層との交互積層体を含むイットリウム系オキシフッ化物コーティング1005を含む別のチャンバコンポーネントの断面側面図を示す。このコーティングは、Y層とAl層の交互積層体を含むALDコーティングのフッ素化によって作られた。コーティング1005は約500nmの厚さを有する。キャッピング層1015は、TEM画像を生成する目的で、イットリウム系オキシフッ化物コーティング1005上に配置されている。面A4はイットリウム系オキシフッ化物コーティング1005の最上部を示し、面B4はイットリウム系酸フッ化物コーティング1005とアルミナ応力緩和層1012との間の界面を示し、面C4はアルミナ応力緩和層1012とチャンバコンポーネント1010との間の界面を示す。
図10Bは、図10Aのチャンバコンポーネントの材料組成を示す。図示の通り、キャッピング層1015はIrからなる。イットリウム系オキシフッ化物コーティング1005は、イットリウム1025、酸素1020、フッ素1040及びアルミニウム1035とからなる。応力緩和層は、酸素1020とアルミニウム1035とからなる。チャンバコンポーネント1010は、異なる比率のアルミニウム1035と酸素1020とからなる。イットリウム系オキシフッ化物コーティング1005を作るために使用されたフッ素化処理は、NFプラズマを用いた450℃での遠隔誘導結合プラズマ(ICP)プロセスであった。図示の通り、イットリウム系酸化物コーティング全体がイットリウム系オキシフッ化物コーティング1005に変換された。コーティング1005中のフッ素濃度は、約35at.%~約60at.%で変化し、深さによって変わる。特に、これらの処理条件下では、フッ素濃度は、コーティング1005の中央部及び底部の近くで、コーティング1005の最上部よりも大きい。回折分析により、フッ素化処理後のコーティング1005のY-O-F層は多結晶のままの状態であることが示された。
図11Aは、一実施形態による、透過型電子顕微鏡(TEM)によって観察されたフッ素化処理後のY-ZrO固溶体からなる固体焼結(バルク)セラミック1105であるチャンバコンポーネントの断面側面図を示す。キャッピング層1015は、TEM画像を生成する目的で、固体焼結セラミック1105の上に配置されている。面A5は固体焼結セラミック1105の最上部を示す。
図11Bは、図11Aのチャンバコンポーネントの材料組成を示すEDSラインスキャンを示す。図示の通り、固体焼結セラミック1105の最上部の約70nmがY-ZrO固溶体からY-Zr-Oに変換された。EDSラインスキャンは、酸素1120、フッ素1140、イットリウム1125、及びジルコニウム1150の濃度を示す。Y-ZrO固溶体は、最初に約60mol%のYと約40mol%のZrOを含有し、エネルギ分散型エレクトロスコピ(EDS)ラインスキャンの結果は、(図11Aに示す通り)約23at.%のY、約65at.%のO及び約12at.%のZrである。フッ素化の後、フッ素濃度は、固体焼結セラミック1105の最上部100nmにおいて約5at.%から約30at.%まで変化した。フッ素化の処理条件は、プラズマ電力200W、NF3プラズマの直接容量結合プラズマ(CCP)、及び450℃で処理時間2時間を含む。ZrがY格子中の空孔を占めることによって、Y-ZrO固溶体のフッ素化の速度が落ちる。フッ素濃度及びフッ素化の深さは、処理時間及び/又はプラズマ中のフッ素ラジカルの密度を増加させることによって増加させることができる。
プラズマ電力200W、NF3プラズマの直接CCP、450℃で処理時間2時間という同様の試験条件を使用して、他のバルク焼結セラミック物品及びコーティング上でフッ素化を実施した。これらの条件下で、第1のY前駆体を用いて製造された100nmのYALDコーティングのフッ素化により、結果としてコーティング全体がY-O-Fコーティングに変換され、フッ素濃度は約25at.%から約55at.%まで変化した。酸素はコーティングの表面でほぼ空乏化し、その結果、当該表面でほぼYF層が形成されることが分かった。その上、フッ素濃度は深さと共に徐々に減少した。これらの条件下で、第2のY前駆体を使用して製造された別の100nmのYALDコーティングのフッ素化により、結果としてコーティング全体がY-O-Fコーティングに変換され、フッ素濃度は約20at.%~約30at.%まで変化した。フッ素濃度は、コーティングの上半分よりコーティングの下半分でわずかに高いことが分かった。第1のY前駆体を用いて製造されたYALDコーティングと第2のY前駆体を用いて製造されたYALDコーティングとの間にはわずかな微細構造の相違が判明し、フッ素化において予想外の差が生じる結果となった。
プラズマ電力200W、NFプラズマの直接CCP、450℃で処理時間2時間という条件下で、100nmのAlALDコーティング上でフッ素化が行われた。このようなフッ素化により、コーティング最上部の約20nmのフッ素化が得られた。コーティング最上部20nmでのフッ素濃度は約5~7at.%Fであった。したがって、最上部20nmは、約35at.%のAl、5~7at.%のF及び58~60at.%のOを有するAl-O-Fコーティングに変換された。
フッ素化は、プラズマ電力200W、NFプラズマの直接CCP、450℃で処理時間2時間という条件下で、バルク焼結Y物品上で実施された。このようなフッ素化により、物品の最上部約150nmのフッ素化が得られた。フッ素濃度は、最上部50nmで約30~40at.%であり、深さ150nm付近の約5at.%まで徐々に減少した。
図12Aは、一実施形態による、透過型電子顕微鏡(TEM)によって観察されたフッ素化処理後のSiO基板1265上のAlのコーティング1205を含むチャンバコンポーネント1265の断面側面図を示す。キャッピング層1215は、TEM画像を生成する目的で、コーティング1205上に配置されている。面A6は、コーティング1205の最上部を示す。面B6は、コーティング1205の底部及びチャンバコンポーネント1265の最上部を示す。
図12Bは、図12Aのチャンバコンポーネントの材料組成を示すEDSラインスキャンを示す。図示の通り、コーティング1205の最上部約50nmがAlからAl-O-Fに変換された。Alは、最初に、約63~67at.%のAlと約33~37at.%のOを含んでいたので、図12Bに示されるようなEDSラインスキャンが得られた。EDSラインスキャンは、アルミニウム1220、酸素1260、及びフッ素1240の濃度を示す。フッ素化の後、フッ素濃度は面A6で約15at.%から深さ50nmで約5at.%又はそれ以下まで変化した。フッ素化の処理条件は、プラズマ電力450W、CF/Arプラズマの直接CCP、処理時間5時間を含む。特に、Alのフッ素化は、Yのフッ素化より著しく遅い。フッ素濃度及びフッ素化の深さは、処理時間及び/又はプラズマ中のフッ素ラジカルの密度を増加させることによって増加させることができる。
プラズマ電力450W、CF/Arプラズマの直接CCP、処理時間1~5時間という同様の試験条件を用いて、他のバルク焼結セラミック物品及びコーティング上でもフッ素化を実施した。これらの条件下で、100nmのAlのALDコーティングを処理時間5時間でフッ素化した結果、コーティング最上部10~15nmがフッ素濃度3~30at.%を有するAl-O-Fに変換され、フッ素濃度は深さ約3~5nmで約30at.%であった。これらの条件下で、100nmのYALDコーティングを5時間でフッ素化した結果、コーティング最上部の約70nmがフッ素化された。Yコーティングは、フッ素濃度約3~25at.%を有するY-O-Fコーティングに変換され、フッ素濃度は深さ約4~5nmで約25at.%であり、深さ約10~70nmで約5~10at.%であった。これらの条件下で、5μmのYALDコーティングを5時間でフッ素化した結果、コーティング最上部の約70nmがフッ素化された。Yコーティングはフッ素濃度約5~20at.%を有するY-O-Fコーティングに変換され、フッ素濃度は約8~10nmの深さで約20at.%であり、深さが深くなるにつれて徐々に減少した。
プラズマ電力450W、CF/Arプラズマを用いた直接CCPプラズマで処理時間5時間という条件下で、YAlの第1の相とY-ZrO固溶体の第2の相とを含む複合セラミックからなるバルク焼結物品上でフッ素化が実施された。このようなフッ素化の結果、物品の最上部約20nmでフッ素化された。元々、YAlの第1の相を有するラメラはフッ素化処理によってY-Al-O-Fに変換されたが、元々、Y-ZrO固溶体の第2の相を有するラメラはフッ素化処理によってY-Zr-O-Fに変換された。元々、第2の相を有するラメラの中のフッ素濃度は約4~18at.%であった。
図13Aは、Yコーティングのフッ素化から生じたY-O-F層1300を示す。フッ素化は、遠隔フッ素プラズマ源を使用して実施された。Y-O-F層1300の厚さは138~182nmである。図示の通り、Y-O-F層は、クラック1305、1310及び剥離1315を含む。このようなクラック1305、1310及び剥離1315は、フッ素化処理の速度を落とすことによって緩和することができる。
図13Bは、Y-ZrO固溶体コーティングのフッ素化から生じたY-Z-O-F層1320を示す。図示のY-Z-O-F層1320は、60mol%のYと40mol%のZrOとを含むY-ZrOのフッ素化に基づく。しかし、70mol%のYと30mol%のZrOを用いて同様の結果が得られる。Y-Z-O-F層は約32~60nmの厚さを有する。図示の通り、Y-Z-O-F層1320はクラック又は剥離を含まない。Y-ZrO固溶体は、Yよりも遅い速度でフッ素源と反応することが発見された。更に、フッ素化されたY-ZrO固溶体コーティング(例えば、Y-Z-O-F層1320)の微細構造の完全性は、クラック及び剥離がない優れた微細構造完全性を有することが示されている。結果として、Y-Z-O-F層1320により、パーティクルパフォーマンスの改善(処理された基板上のイットリウム系パーティクル数の減少)及び有益な寿命の長期化がもたらされる。
図14は、YF1405コーティングの材料組成を示すエネルギ分散型エレクトロスコピ(EDS)ラインスキャンを示す。図示の通り、YFコーティング1405は約25~30at.%のY1425及び約60~70at.%のF1440を含む。YFコーティングは、約3~6at.%のF1420及び約2~10at.%のC1422を更に含む。YFコーティングは、IADによって堆積され、約5μmの厚さを有する。
図15は、一実施形態による、図14のYFコーティング1405の酸化処理後の材料組成を示すEDSラインスキャンを示し、YFコーティング1405はY-O-F層を含む。酸化処理は、プラズマ電力50W、約350℃で、マイクロ波Oプラズマの処理条件で実施された。OプラズマはArと共に1:1の比で流された。図示の通り、酸化処理によりYFの最上部約500nmがY-O-F層に変換された。Y-O-F層中のO1520の濃度は約10~30at.%、F1540の濃度は約30~50mol%であり、YFコーティング1405の表面でより高いO濃度を有する。C1522の濃度はほぼ変わらない。
図16Aは、一実施形態による、TEMによって観察されたHF酸性溶液中でのフッ素化処理後のY1610のコーティングを含むチャンバコンポーネント1605の断面側面図を示す。Yコーティング1610は約600nmの厚さを有し、ALDによって堆積された。フッ素化処理は、約49%のHFを含む酸性溶液を使用し、約1分の処理時間の間、超音波攪拌をしながら、実施された。
図16Bは、図16Aのチャンバコンポーネントの材料組成を示す。図示の通り、Yコーティング1610はその最上部に約50nmの厚さを有するY-O-F層を含む。Y-O-F層中のF1640の濃度は、約3~15at.%であり、表面付近でより高いF濃度を有する。Yコーティング1610は、更に、約60~70at.%のO1620及び約19~24at.%のY1625を含む。更に、Yコーティング1610はC1680を含む。
複数の異なるイットリウム系コーティング上で、約1分の処理時間の間、超音波攪拌しながら約49%のHFを含む酸性溶液を用いてフッ素化処理が実施された。このフッ素化処理は、ゾーン制御付きのALDによって堆積されたYとAlの交互層(Y対Alの厚さ比率は10:1)を含む厚さ1ミクロンのコーティング上で実施された。結果として、コーティングの最上部50nmは、約5at.%のフッ素濃度を有するY-O-Fに変換された。これらの条件によるフッ素化処理は、ゾーン制御なしのALDによって堆積された厚さ600nmのYコーティング上でも試験された。結果として、コーティングの最上部500nmは、約18at.%のフッ素濃度を有するY-O-Fに変換された。これらの条件によるフッ素化処理もまた、Y-ZrO固溶体からなる50nmのコーティング上で試験された。結果として、コーティングの最上部25nmは、約5at.%のフッ素濃度を有するY-Zr-O-Fに変換された。
別の実施形態では、様々のイットリウム系コーティング上で、約0.5vol%のHF、0.5モルのNHF、10vol%のH及び残りとして水を含有する酸性溶液を使用し、1分の間、酸性溶液の超音波処理を行いながら、フッ素化処理を実施した。これらの条件によるフッ素化処理が、ゾーン制御付きALDによって堆積されたYとAlとの交互層(Y対Alの厚さ比が10:1)を含む厚さ1ミクロンのコーティング上で試験された。結果として、コーティングの最上部50nmは約1at.%のフッ素濃度を有するY-O-Fに変換された。これらの条件によるフッ素化処理も、ゾーン制御なしALDによって堆積された厚さ600nmのYコーティング上で試験された。結果として、コーティングの最上部25nmは約2.5at.%のフッ素濃度を有するY-O-Fに変換された。これらの条件によるフッ素化処理も、Y-ZrO固溶体からなる50nmのコーティング上で試験された。結果として、コーティングの最上部25nmは約1at.%のフッ素濃度を有するY-Zr-O-Fに変換された。
フッ素化処理が実施され、Yコーティングはフッ素系酸性溶液に曝露された。この酸ベースのフッ素化レシピを用いて、厚さ1ミクロンのYコーティングを試験した。
図17は、ALDによって堆積されたYFコーティングの材料組成を示すX線光電子分光(XPS)表面分析を示す。図示の通り、YFコーティングはF1740及びY1725を含み、160nmの深さを有する。
図18は、一実施形態による、図17のYFコーティングの酸化から形成されたY-O-Fコーティングの材料組成を示すXPS表面分析を示す。酸化処理は、プラズマ電力50W、約350℃で、マイクロ波Oプラズマの処理条件で実施された。OプラズマはArと共に1:1の比で流された。図示の通り、酸化処理により、YFコーティング全体が約35~60at.%の酸素濃度を有するY-O-Fコーティングに変換された。
図19は、y軸に処理された基板上で検出されたYパーティクルを、x軸に高周波数時間(RFH)数を示すチャートである。RFHは処理条件下での処理時間数を示す。図19は、Y-O-Fを有するライナと、YAl(YAM)の第1の相及びY-ZrO固溶体である第2の相とを含む複合セラミックである蓋と、石英ノズルとを含む第1の処理チャンバの第1のパーティクルパフォーマンス1910を示す。また、ライナ、蓋及びノズル上のY-Z-O-Fコーティングを含む第2の処理チャンバの第2のパーティクルパフォーマンス1915も示されている。図示の通り、製造業者の仕様1905は、処理チャンバ内で処理される基板に追加される35nm以上のサイズを有するYパーティクルは5個未満であるべきことを規定している。第1の処理チャンバの第1のパーティクルパフォーマンス1910は、約80~100高周波数時間でアダー5個という仕様1905を超過した。図示の通り、第2の処理チャンバの第2のパーティクルパフォーマンス1915は、第1のパーティクルパフォーマンス1910よりもはるかに優れており、約60及び70高周波数時間でわずか1~2個のアダーに限定される。Y-Z-O-Fコーティングにより、250高周波数時間後でさえも、処理された基板上のパーティクルカウントは著しく低くなることが示されている。更に、蓋、ノズル及びライナ上にY-Z-O-Fコーティングを用いることで、ジルコニウム系パーティクル(例えば、ZrOパーティクル)は検出されなかった。
前述の説明は、本発明のいくつかの実施形態の良好な理解を提供するために、多数の特定の詳細(例えば、具体的なシステム、コンポーネント、方法などの例)を述べている。しかし、当業者には、本発明の少なくともいくつかの実施形態は、これらの特定の詳細なしに実施され得ることは明らかである。他の例では、本発明を不必要に不明瞭にすることを避けるために、周知のコンポーネント又は方法は詳細には記載されていないか、又は単純なブロック図形式で示されている。したがって、記載された特定の詳細は単なる例示である。特定の実施形態がこれらの例示的な詳細から変化してもよいが、特定の実施形態は依然として本開示の範囲内にあると考えられる。
本明細書を通じて、「一(one)実施形態」又は「一(a)実施形態」は、実施形態に関連して説明した特定のフィーチャ、構造、又は特性が少なくとも1つの実施形態に含まれることを意味する。したがって、本明細書の様々な箇所における「一(one)実施形態では」又は「一(a)実施形態では」という表現の出現は、必ずしも全て同じ実施形態を指しているとは限らない。更に、「又は(or)」という用語は、排他的な「又は」ではなく包括的な「又は」を意味することを意図している。「約(about)」又は「約(approximately)」という用語が本明細書で使用される場合、これは提示される名目値が±10%以内で正確である。
本明細書の方法のオペレーションは特定の順序で示され説明されているが、各方法のオペレーションの順序が変更されて、特定のオペレーションが逆順で実行されるようにするか、又は特定のオペレーションが、少なくとも部分的に、他のオペレーションと並行して実行される可能性がある。別の実施形態では、個別オペレーションの命令又はそのサブオペレーションは、間欠的方法及び/又は交互の方法であってもよい。
上記の説明は例示的なものであり、限定的なものではないことを理解すべきである。上記の説明を読み、理解すれば、当業者にとって他の多くの実施形態は明らかである。本発明の範囲は、添付の特許請求の範囲を参照して、このような特許請求の範囲が権利を与えられる均等物の全範囲とともに決定されるべきである。

Claims (8)

  1. 第1の処理チャンバ用のチャンバコンポーネントの表面上にイットリウム系酸化物コーティングを堆積するステップと、
    前記チャンバコンポーネントを約150~1000℃の高温度に加熱するステップと、
    前記チャンバコンポーネントを前記高温度でCF、HF、F、Fラジカル又はNFの少なくとも1つに0.1~72時間曝露するステップと、
    少なくとも前記イットリウム系酸化物コーティングの表面をイットリウム系オキシフッ化物層に変換するステップとを含み、
    前記チャンバコンポーネントは、前記イットリウム系酸化物コーティングの第2の熱膨張係数(CTE)よりも大きい第1のCTEを有する金属を含み、
    前記イットリウム系酸化物コーティングを堆積させるステップは原子層堆積(ALD)又はイオンアシスト堆積(IAD)を実施し約100~300℃の堆積温度で前記イットリウム系酸化物コーティングを堆積させるステップを含み、前記イットリウム系酸化物コーティングは前記堆積温度より低い温度で内部圧縮応力を有し、前記堆積温度より高い温度で内部引張応力を有し、
    前記イットリウム系酸化物コーティングを前記イットリウム系オキシフッ化物層に変換させるステップにより体積膨張が生じ、a)前記堆積温度より低い前記温度における前記イットリウム系酸化物コーティングの前記内部圧縮応力よりも大きい、前記堆積温度より低い前記温度における追加的な内部圧縮応力、及びb)前記堆積温度より高い前記温度における前記イットリウム系酸化物コーティングの前記内部引張応力よりも小さい、前記堆積温度より高い前記温度における低減された内部引張応力、が導入され、
    前記イットリウム系酸化物コーティングは第1の厚さを有するY 層と前記第1の厚さの約1/10~1/5である第2の厚さを有するAl 層との交互積層体を含み、前記イットリウム系オキシフッ化物層は前記第1の厚さを有するY-O-F層と前記第2の厚さを有するAl-O-F層との交互積層体を含む、方法。
  2. 前記チャンバコンポーネントをNFに曝露するステップは前記チャンバコンポーネントを含む第2の処理チャンバ内にNFプラズマを導入するステップを含む、請求項1に記載の方法。
  3. 前記チャンバコンポーネントをCFに曝露するステップは前記チャンバコンポーネントを含む第2の処理チャンバ内にCFのプラズマとArプラズマを導入するステップを含む、請求項1に記載の方法。
  4. 前記イットリウム系オキシフッ化物層の厚さは約10nm~約5μmである、請求項1に記載の方法。
  5. 前記イットリウム系酸化物コーティングは前記イットリウム系酸化物コーティングの前記表面にY(OH)層を含み、前記チャンバコンポーネントを前記高温度で前記HFに曝露するステップにより前記Y(OH)層を前記イットリウム系オキシフッ化物層に変換する、請求項1に記載の方法。
  6. 前記イットリウム系酸化物コーティングの全体がイットリウム系オキシフッ化物層に変換され、前記イットリウム系オキシフッ化物層は250~350℃の温度でクラックに耐性を示す、請求項に記載の方法。
  7. 処理チャンバ用のチャンバコンポーネントの表面上にイットリウム系酸化物コーティングを堆積するステップと、
    前記イットリウム系酸化物を含む前記チャンバコンポーネントをフッ素系酸性溶液に浸漬するステップと、
    前記フッ素系酸性溶液を用いて前記イットリウム系酸化物コーティングの少なくとも一表面をイットリウム系オキシフッ化物層に変換するステップとを含み、
    前記チャンバコンポーネントは、前記イットリウム系酸化物コーティングの第2の熱膨張係数(CTE)よりも大きい第1のCTEを有する金属を含み、
    前記イットリウム系酸化物コーティングを堆積させるステップは原子層堆積(ALD)又はイオンアシスト堆積(IAD)を実施し約100~300℃の堆積温度で前記イットリウム系酸化物コーティングを堆積させるステップを含み、前記イットリウム系酸化物コーティングは前記堆積温度より低い温度で内部圧縮応力を有し、前記堆積温度より高い温度で内部引張応力を有し、
    前記イットリウム系酸化物コーティングを前記イットリウム系オキシフッ化物層に変換させるステップにより体積膨張が生じ、a)前記堆積温度より低い前記温度における前記イットリウム系酸化物コーティングの前記内部圧縮応力よりも大きい、前記堆積温度より低い前記温度における追加的な内部圧縮応力、及びb)前記堆積温度より高い前記温度における前記イットリウム系酸化物コーティングの前記内部引張応力よりも小さい、前記堆積温度より高い前記温度における低減された内部引張応力、が導入され、
    前記イットリウム系酸化物コーティングは第1の厚さを有するY 層と前記第1の厚さの約1/10~1/5である第2の厚さを有するAl 層との交互積層体を含み、前記イットリウム系オキシフッ化物層は前記第1の厚さを有するY-O-F層と前記第2の厚さを有するAl-O-F層との交互積層体を含む、方法。
  8. 前記フッ素系酸性溶液は50~95vol.%の水と0.1~50vol.%のHF酸とを含むHF酸性溶液であり、前記フッ素系酸性溶液は0~100℃の温度を有する、請求項7に記載の方法。
JP2018090834A 2017-05-10 2018-05-09 チャンバコンポーネント用金属オキシフッ化物膜 Active JP7408273B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2023136949A JP2023159368A (ja) 2017-05-10 2023-08-25 チャンバコンポーネント用金属オキシフッ化物膜

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
US201762504470P 2017-05-10 2017-05-10
US62/504,470 2017-05-10
US15/965,812 US10443125B2 (en) 2017-05-10 2018-04-27 Flourination process to create sacrificial oxy-flouride layer
US15/965,813 2018-04-27
US15/965,810 2018-04-27
US15/965,812 2018-04-27
US15/965,813 US10563303B2 (en) 2017-05-10 2018-04-27 Metal oxy-flouride films based on oxidation of metal flourides
US15/965,810 US20180327892A1 (en) 2017-05-10 2018-04-27 Metal oxy-flouride films for chamber components

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2023136949A Division JP2023159368A (ja) 2017-05-10 2023-08-25 チャンバコンポーネント用金属オキシフッ化物膜

Publications (2)

Publication Number Publication Date
JP2018190985A JP2018190985A (ja) 2018-11-29
JP7408273B2 true JP7408273B2 (ja) 2024-01-05

Family

ID=64097080

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2018090834A Active JP7408273B2 (ja) 2017-05-10 2018-05-09 チャンバコンポーネント用金属オキシフッ化物膜
JP2023136949A Pending JP2023159368A (ja) 2017-05-10 2023-08-25 チャンバコンポーネント用金属オキシフッ化物膜

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2023136949A Pending JP2023159368A (ja) 2017-05-10 2023-08-25 チャンバコンポーネント用金属オキシフッ化物膜

Country Status (5)

Country Link
US (4) US10443125B2 (ja)
JP (2) JP7408273B2 (ja)
KR (2) KR102592210B1 (ja)
CN (2) CN208791750U (ja)
TW (2) TWI794228B (ja)

Families Citing this family (201)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6742341B2 (ja) * 2015-12-28 2020-08-19 日本イットリウム株式会社 成膜用材料
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9850573B1 (en) * 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP7071175B2 (ja) * 2017-04-18 2022-05-18 東京エレクトロン株式会社 被処理体を処理する方法
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10443125B2 (en) * 2017-05-10 2019-10-15 Applied Materials, Inc. Flourination process to create sacrificial oxy-flouride layer
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11014853B2 (en) * 2018-03-07 2021-05-25 Applied Materials, Inc. Y2O3—ZrO2 erosion resistant material for chamber components in plasma environments
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11390947B2 (en) * 2019-03-04 2022-07-19 Applied Materials, Inc. Method of forming a fluorinated metal film
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
US11118263B2 (en) * 2019-05-10 2021-09-14 Applied Materials, Inc. Method for forming a protective coating film for halide plasma resistance
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
CN112053929A (zh) * 2019-06-06 2020-12-08 中微半导体设备(上海)股份有限公司 用于等离子体腔室内部的部件及其制作方法
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
KR20210006229A (ko) * 2019-07-08 2021-01-18 주성엔지니어링(주) 기판 처리 장치의 챔버 클리닝 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR102315829B1 (ko) * 2019-07-11 2021-10-21 세메스 주식회사 내식성 보호막 증착 방법
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
WO2021021436A1 (en) * 2019-07-30 2021-02-04 Corning Incorporated Methods for coating a substrate with magnesium fluoride via atomic layer deposition
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
CN114402413A (zh) * 2019-08-09 2022-04-26 应用材料公司 用于处理腔室部件的保护性多层涂层
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111410562B (zh) * 2019-09-10 2022-12-30 包头稀土研究院 带有稀土氟氧化物涂层的碱土氧化物坩埚的制备方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
CN112899617B (zh) * 2019-12-04 2023-03-31 中微半导体设备(上海)股份有限公司 形成耐等离子体涂层的方法、装置、零部件和等离子体处理装置
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112908822B (zh) * 2019-12-04 2024-04-05 中微半导体设备(上海)股份有限公司 形成耐等离子体涂层的方法、零部件和等离子体处理装置
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
WO2021145341A1 (ja) * 2020-01-16 2021-07-22 信越化学工業株式会社 溶射材料
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR102290498B1 (ko) * 2020-03-30 2021-08-17 (주)도 은 렌즈 코팅용 옥시불화이트륨을 함유하는 저굴절 물질 및 그의 제조방법
CN113522688B (zh) * 2020-03-30 2022-12-30 中微半导体设备(上海)股份有限公司 耐等离子体腐蚀部件及其制备方法,等离子体处理设备
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20220166331A (ko) * 2020-04-14 2022-12-16 엔테그리스, 아이엔씨. 이트륨 플루오라이드 막 및 이트륨 플루오라이드 막의 제조 및 사용 방법
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
CN113707525A (zh) * 2020-05-20 2021-11-26 中微半导体设备(上海)股份有限公司 零部件、形成耐等离子体涂层的方法和等离子体反应装置
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
KR20230027034A (ko) * 2020-05-28 2023-02-27 램 리써치 코포레이션 프로세싱 챔버 컴포넌트들에 대한 원자 층 증착 코팅된 분말 코팅
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
KR20230029926A (ko) * 2020-06-30 2023-03-03 램 리써치 코포레이션 알루미늄 플루오라이드 플라즈마 노출된 표면을 가진 리모트 플라즈마 소스 샤워헤드 어셈블리
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
EP4179127A1 (en) * 2020-07-09 2023-05-17 Entegris, Inc. Coatings that contain fluorinated yttrium oxide and a metal oxide, and methods of preparing and using the coatings
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN114068276A (zh) * 2020-08-05 2022-02-18 中微半导体设备(上海)股份有限公司 半导体零部件、等离子体反应装置和涂层形成方法
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
KR102497053B1 (ko) * 2020-09-25 2023-02-08 한국과학기술연구원 레이저 소결을 이용한 내플라즈마 코팅막 치밀화 방법
CN114277340B (zh) * 2020-09-28 2023-12-29 中微半导体设备(上海)股份有限公司 零部件、耐等离子体涂层的形成方法和等离子体反应装置
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20230091895A (ko) * 2020-10-19 2023-06-23 오를리콘 서피스 솔루션스 아크티엔게젤샤프트, 페피콘 불소 플라즈마 에칭 공정에서 보호층으로 사용되는 탄소 도핑된 이트륨 옥시플루오라이드(c:y-0-f) 층
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220351960A1 (en) * 2021-05-03 2022-11-03 Applied Materials, Inc. Atomic Layer Deposition Of Metal Fluoride Films
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
JP7214935B1 (ja) * 2021-06-07 2023-01-30 株式会社新菱 イットリウム系薄膜の密着性を改善する方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2024047746A1 (ja) * 2022-08-30 2024-03-07 株式会社日立ハイテク プラズマ処理装置、プラズマ処理装置の内部部材、および、プラズマ処理装置の内部部材の製造方法
WO2024123579A1 (en) * 2022-12-07 2024-06-13 Lam Research Corporation Semiconductor processing chamber with metal or metalloid fluoride process exposed coating

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008273823A (ja) 2007-04-27 2008-11-13 Applied Materials Inc ハロゲン含有プラズマに露出された表面の浸食速度を減じる装置及び方法
US20090025751A1 (en) 2002-02-14 2009-01-29 Applied Materials, Inc. Method of removing contaminants from a coating surface comprising an oxide or fluoride of a group IIIB metal
JP2013140950A (ja) 2011-12-05 2013-07-18 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
US20140099491A1 (en) 2012-10-09 2014-04-10 Mohammed Ameen Plasma Etch Resistant Films, Articles Bearing Plasma Etch Resistant Films and Related Methods
US20150126036A1 (en) 2013-11-05 2015-05-07 Tokyo Electron Limited Controlling etch rate drift and particles during plasma processing
JP2014240511A5 (ja) 2013-06-11 2016-04-07
US20160273095A1 (en) 2015-03-18 2016-09-22 Entegris, Inc. Articles Coated With Fluoro-Annealed Films

Family Cites Families (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5069938A (en) 1990-06-07 1991-12-03 Applied Materials, Inc. Method of forming a corrosion-resistant protective coating on aluminum substrate
US6514897B1 (en) * 1999-01-12 2003-02-04 Hyperion Catalysis International, Inc. Carbide and oxycarbide based compositions, rigid porous structures including the same, methods of making and using the same
JP4283925B2 (ja) 1999-01-27 2009-06-24 太平洋セメント株式会社 耐蝕性部材
TW514996B (en) 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
US6916534B2 (en) 2001-03-08 2005-07-12 Shin-Etsu Chemical Co., Ltd. Thermal spray spherical particles, and sprayed components
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
US7887889B2 (en) 2001-12-14 2011-02-15 3M Innovative Properties Company Plasma fluorination treatment of porous materials
US7147749B2 (en) 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7137353B2 (en) 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7204912B2 (en) 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
CN1249789C (zh) 2002-11-28 2006-04-05 东京毅力科创株式会社 等离子体处理容器内部件
JP3894313B2 (ja) 2002-12-19 2007-03-22 信越化学工業株式会社 フッ化物含有膜、被覆部材及びフッ化物含有膜の形成方法
US6806949B2 (en) 2002-12-31 2004-10-19 Tokyo Electron Limited Monitoring material buildup on system components by optical emission
CN100418187C (zh) 2003-02-07 2008-09-10 东京毅力科创株式会社 等离子体处理装置、环形部件和等离子体处理方法
CN100495413C (zh) 2003-03-31 2009-06-03 东京毅力科创株式会社 用于邻接在处理元件上的相邻覆层的方法
US7291566B2 (en) 2003-03-31 2007-11-06 Tokyo Electron Limited Barrier layer for a processing element and a method of forming the same
US20050098106A1 (en) 2003-11-12 2005-05-12 Tokyo Electron Limited Method and apparatus for improved electrode plate
US7461614B2 (en) 2003-11-12 2008-12-09 Tokyo Electron Limited Method and apparatus for improved baffle plate
US20050193951A1 (en) 2004-03-08 2005-09-08 Muneo Furuse Plasma processing apparatus
US20050199183A1 (en) 2004-03-09 2005-09-15 Masatsugu Arai Plasma processing apparatus
US7208044B2 (en) 2004-11-24 2007-04-24 Mark A. Zurbuchen Topotactic anion exchange oxide films and method of producing the same
US7552521B2 (en) 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
JP5006203B2 (ja) * 2005-10-19 2012-08-22 パナソニック株式会社 金属酸化膜の形成方法、金属酸化膜及び光学電子デバイス
US7674751B2 (en) * 2006-01-10 2010-03-09 American Superconductor Corporation Fabrication of sealed high temperature superconductor wires
US20070215278A1 (en) 2006-03-06 2007-09-20 Muneo Furuse Plasma etching apparatus and method for forming inner wall of plasma processing chamber
US7998307B2 (en) 2006-09-12 2011-08-16 Tokyo Electron Limited Electron beam enhanced surface wave plasma source
US7833924B2 (en) 2007-03-12 2010-11-16 Ngk Insulators, Ltd. Yttrium oxide-containing material, component of semiconductor manufacturing equipment, and method of producing yttrium oxide-containing material
JP2008251765A (ja) 2007-03-30 2008-10-16 Hitachi High-Technologies Corp プラズマエッチング装置
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US20090151870A1 (en) 2007-12-14 2009-06-18 Tokyo Electron Limited Silicon carbide focus ring for plasma etching system
JP2009176787A (ja) 2008-01-22 2009-08-06 Hitachi High-Technologies Corp エッチング処理装置及びエッチング処理室用部材
JP5363132B2 (ja) 2008-02-13 2013-12-11 日本碍子株式会社 酸化イットリウム材料、半導体製造装置用部材及び酸化イットリウム材料の製造方法
US20090214825A1 (en) * 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
JP5117891B2 (ja) 2008-03-11 2013-01-16 日本碍子株式会社 酸化イットリウム材料、半導体製造装置用部材及び酸化イットリウム材料の製造方法
US8858745B2 (en) 2008-11-12 2014-10-14 Applied Materials, Inc. Corrosion-resistant bonding agents for bonding ceramic components which are exposed to plasmas
US10157731B2 (en) * 2008-11-12 2018-12-18 Applied Materials, Inc. Semiconductor processing apparatus with protective coating including amorphous phase
US9017765B2 (en) 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
US20100140222A1 (en) 2008-12-10 2010-06-10 Sun Jennifer Y Filled polymer composition for etch chamber component
US20130115418A1 (en) 2011-11-03 2013-05-09 Coorstek, Inc. Multilayer rare-earth oxide coatings and methods of making
JP5396672B2 (ja) 2012-06-27 2014-01-22 日本イットリウム株式会社 溶射材料及びその製造方法
JP5939084B2 (ja) 2012-08-22 2016-06-22 信越化学工業株式会社 希土類元素オキシフッ化物粉末溶射材料の製造方法
CN103794460B (zh) 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于半导体装置性能改善的涂层
CN103794445B (zh) 2012-10-29 2016-03-16 中微半导体设备(上海)有限公司 用于等离子体处理腔室的静电夹盘组件及制造方法
CN103794458B (zh) 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于等离子体处理腔室内部的部件及制造方法
US20140206137A1 (en) * 2013-01-23 2014-07-24 David H. Levy Deposition system for thin film formation
JP2014240511A (ja) * 2013-06-11 2014-12-25 株式会社フジミインコーポレーテッド 溶射皮膜の製造方法および溶射用材料
CN104419913B (zh) * 2013-08-29 2018-02-16 赵培 一种激光化学气相沉积法制备高温超导带材的技术及设备
CN104701125A (zh) 2013-12-05 2015-06-10 中微半导体设备(上海)有限公司 气体分布板
US9739913B2 (en) * 2014-07-11 2017-08-22 Applied Materials, Inc. Extreme ultraviolet capping layer and method of manufacturing and lithography thereof
US9355922B2 (en) * 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
JP5927656B2 (ja) 2014-11-08 2016-06-01 リバストン工業株式会社 皮膜付き基材、その製造方法、その皮膜付き基材を含む半導体製造装置部材
US20160254125A1 (en) 2015-02-27 2016-09-01 Lam Research Corporation Method for coating surfaces
JP6281507B2 (ja) 2015-03-03 2018-02-21 信越化学工業株式会社 希土類元素オキシフッ化物粉末溶射材料及び希土類元素オキシフッ化物溶射部材の製造方法
KR101867322B1 (ko) 2015-03-05 2018-06-15 닛폰 이트륨 가부시키가이샤 소결용 재료 및 소결용 재료를 제조하기 위한 분말
KR20160124992A (ko) 2015-04-20 2016-10-31 삼성전자주식회사 기판 제조 장치, 및 그의 세라믹 박막 코팅 방법
US10138167B2 (en) 2015-05-08 2018-11-27 Tokyo Electron Limited Thermal spray material, thermal spray coating and thermal spray coated article
US20170018408A1 (en) 2015-07-15 2017-01-19 Lam Research Corporation Use of sintered nanograined yttrium-based ceramics as etch chamber components
JP6500681B2 (ja) * 2015-07-31 2019-04-17 信越化学工業株式会社 イットリウム系溶射皮膜、及びその製造方法
US20170040146A1 (en) 2015-08-03 2017-02-09 Lam Research Corporation Plasma etching device with plasma etch resistant coating
CN107848831A (zh) * 2015-09-07 2018-03-27 三井金属矿业株式会社 氟氧化钇、稳定化氟氧化钇制造用原料粉末以及稳定化氟氧化钇的制造方法
JP6668024B2 (ja) 2015-09-25 2020-03-18 株式会社フジミインコーポレーテッド 溶射材料
JP6706894B2 (ja) 2015-09-25 2020-06-10 株式会社フジミインコーポレーテッド 溶射材料
JP2017061735A (ja) 2015-09-25 2017-03-30 株式会社フジミインコーポレーテッド 溶射用スラリー
JP2017071843A (ja) 2015-10-09 2017-04-13 日本イットリウム株式会社 成膜用材料
JP6681168B2 (ja) 2015-10-20 2020-04-15 株式会社フジミインコーポレーテッド 溶射用スラリー、溶射皮膜および溶射皮膜の形成方法
JP6384536B2 (ja) 2015-10-23 2018-09-05 信越化学工業株式会社 フッ化イットリウム溶射材料及びオキシフッ化イットリウム成膜部品の製造方法
JP6181224B1 (ja) * 2016-03-04 2017-08-16 株式会社東芝 グラフェン配線構造とその作製方法
JP2016153369A (ja) 2016-03-22 2016-08-25 日本イットリウム株式会社 焼結体
US10538845B2 (en) * 2016-06-22 2020-01-21 Ngk Spark Plug Co., Ltd. Yttrium oxyfluoride sprayed coating and method for producing the same, and sprayed member
US11414325B2 (en) * 2016-11-02 2022-08-16 Nippon Yttrium Co., Ltd. Film-forming material and film
JP6650385B2 (ja) 2016-11-07 2020-02-19 東京エレクトロン株式会社 溶射用材料、溶射皮膜および溶射皮膜付部材
US10443125B2 (en) * 2017-05-10 2019-10-15 Applied Materials, Inc. Flourination process to create sacrificial oxy-flouride layer

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090025751A1 (en) 2002-02-14 2009-01-29 Applied Materials, Inc. Method of removing contaminants from a coating surface comprising an oxide or fluoride of a group IIIB metal
JP2008273823A (ja) 2007-04-27 2008-11-13 Applied Materials Inc ハロゲン含有プラズマに露出された表面の浸食速度を減じる装置及び方法
JP2013140950A (ja) 2011-12-05 2013-07-18 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
US20140099491A1 (en) 2012-10-09 2014-04-10 Mohammed Ameen Plasma Etch Resistant Films, Articles Bearing Plasma Etch Resistant Films and Related Methods
JP2014240511A5 (ja) 2013-06-11 2016-04-07
US20150126036A1 (en) 2013-11-05 2015-05-07 Tokyo Electron Limited Controlling etch rate drift and particles during plasma processing
US20160273095A1 (en) 2015-03-18 2016-09-22 Entegris, Inc. Articles Coated With Fluoro-Annealed Films
JP2018511943A (ja) 2015-03-18 2018-04-26 インテグリス・インコーポレーテッド フッ化アニールした膜でコーティングした物品

Also Published As

Publication number Publication date
CN108866509A (zh) 2018-11-23
KR20230148142A (ko) 2023-10-24
US20180327898A1 (en) 2018-11-15
TW201900905A (zh) 2019-01-01
TWM574155U (zh) 2019-02-11
CN208791750U (zh) 2019-04-26
JP2023159368A (ja) 2023-10-31
JP2018190985A (ja) 2018-11-29
US20180327899A1 (en) 2018-11-15
KR20180123992A (ko) 2018-11-20
US20200140996A1 (en) 2020-05-07
US10443125B2 (en) 2019-10-15
KR102592210B1 (ko) 2023-10-19
TWI794228B (zh) 2023-03-01
US10563303B2 (en) 2020-02-18
US20180327892A1 (en) 2018-11-15

Similar Documents

Publication Publication Date Title
JP7408273B2 (ja) チャンバコンポーネント用金属オキシフッ化物膜
TWI835892B (zh) 用於預清除及蝕刻設備之高溫塗層及相關方法
TWI753163B (zh) 用於腔室組件之多層電漿腐蝕保護
US11639547B2 (en) Halogen resistant coatings and methods of making and using thereof
KR102592883B1 (ko) 원자 층 증착에 의한 다공성 바디의 내플라즈마성 코팅
JP2022046471A (ja) 原子層堆積による多層耐プラズマ性コーティング
CN111164735B (zh) 用于腔室产量提升的稀土基氧氟化物原子层沉积涂层
CN212357383U (zh) 制品
TW201920742A (zh) 用於高溫加熱器的原子層沉積塗層
WO2022231732A1 (en) High-temperature chamber and chamber component cleaning and maintenance method and apparatus
TWI843088B (zh) 高溫腔室及腔室部件清洗維護的方法及設備
CN105304465B (zh) 工艺室、制备工艺室的方法和操作工艺室的方法
WO2023059502A1 (en) Advanced barrier nickel oxide (bnio) coating development for the process chamber components

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210504

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220315

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220614

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20221101

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230130

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20230425

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230825

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20230904

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20231124

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20231220

R150 Certificate of patent or registration of utility model

Ref document number: 7408273

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150