CN114402413A - 用于处理腔室部件的保护性多层涂层 - Google Patents

用于处理腔室部件的保护性多层涂层 Download PDF

Info

Publication number
CN114402413A
CN114402413A CN202080064780.XA CN202080064780A CN114402413A CN 114402413 A CN114402413 A CN 114402413A CN 202080064780 A CN202080064780 A CN 202080064780A CN 114402413 A CN114402413 A CN 114402413A
Authority
CN
China
Prior art keywords
precursor
metal nitride
oxide layer
processing chamber
nitride layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202080064780.XA
Other languages
English (en)
Inventor
吉蒂卡·巴贾
尤吉塔·巴瑞克
达尔尚·撒卡尔
普莉娜·松特海利亚·古拉迪雅
安库尔·凯达姆
凯文·A·帕克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN114402413A publication Critical patent/CN114402413A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/081Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/083Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/042Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material including a refractory ceramic layer, e.g. refractory metal oxides, ZrO2, rare earth oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/044Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material coatings specially adapted for cutting tools or wear applications
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本公开内容涉及用于处理腔室及处理腔室部件的保护性多层涂层。在一个实施方式中,多层保护性涂层包括金属氮化层及设置于其上的氧化层。在一个实施方式中,多层保护性涂层进一步包括氮氧化夹层和/或氟氧化层。多层保护性涂层可形成于金属合金或陶瓷基板上,例如在电子装置制造的领域中,例如半导体装置制造使用的处理腔室或处理腔室部件。在一个实施方式中,金属氮化层及氧化层通过原子层沉积沉积于基板上。

Description

用于处理腔室部件的保护性多层涂层
技术领域
本公开内容的实施方式大致涉及保护性涂层。具体而言,本公开内容的实施方式涉及用于对在半导体装置制造的领域中使用的处理腔室及腔室部件形成保护性多层堆叠的方法及设备。
背景技术
常常,例如处理腔室主体及处理腔室部件的半导体装置处理装备及其部件以金属合金或陶瓷材料形成。用于这样的装备及部件的材料经选择以提供所期望机械及化学特性,即拉伸强度、密度、延展性、可成形性、可加工性及抗腐蚀性。除了铝、碳、铁、硅及钇等等的主要元素之外,在处理腔室部件中利用的材料通常包括额外元素,例如钴,铜、铬、镁、锰、镍、锡、钨、锌及其结合。这些额外元素经选择以意图改善所得到装备或部件的机械和/或化学特性。
不幸地,在半导体基板处理期间,例如硅晶片处理期间,额外元素可非所期望地从处理腔室或处理腔室部件表面迁移至其他表面。举例而言,微量金属将迁移至处理腔室中经处理的基板的表面,因此导致在基板表面上的微量金属污染。微量金属污染对形成于基板上例如半导体装置的电子装置为有害的,常常造成装置无法功能化,因而降级装置性能和/或缩短其可使用寿命。
避免元素从处理腔室及处理腔室部件表面迁移或滤出的传统方法包括以阻挡层涂层表面的步骤。通常,形成于这样的表面的阻挡层归因于在基板处理期间处理腔室之中存在的反应或腐蚀环境本质而倾向在处理腔室或处理腔室部件的使用寿命的终点之前早就被腐蚀。阻挡层的腐蚀在处理腔室之中形成非所期望的粒子,且非所期望地暴露下方的装备或部件表面。如上述的微量金属,粒子可迁移至基板的表面且造成其上形成的装置不适合其意图的目的。
因此,本领域中需要用于处理腔室表面及处理腔室部件的改良的保护性涂层,及形成此的方法。
发明内容
本公开内容大致涉及用于处理腔室表面及处理腔室部件的保护性涂层,及形成此的方法。
在一个实施方式中,提供一种在等离子体处理腔室中使用的腔室部件。腔室部件包括以金属合金或陶瓷形成的表面及设置于表面上的涂层。涂层进一步包括金属氮化层及设置于金属氮化层上的氧化层。
在一个实施方式中,提供一种处理部件。处理部件包括以金属合金或陶瓷形成的基板、设置于基板上的金属氮化层、设置于金属氮化层上的氮氧化层及设置于氮氧化夹层上的氧化层。
在一个实施方式中,提供一种在腔室部件上形成涂层的方法。方法包括以下步骤:在腔室部件的表面上沉积金属氮化层,及在金属氮化层上沉积氧化层。
附图说明
由此方式可详细理解本公开内容以上所载的特征,以上简要概述的本公开内容的更特定说明可通过参考实施方式而获得,某些实施方式图示于随附附图中。然而,应理解随附附图仅图标范例实施方式,且因此不应考虑为其范围的限制,且本公开内容可认可其他均等效果的实施方式。
图1根据此处所述的实施方式,图标范例处理腔室的截面示意视图。
图2A根据此处所述的实施方式,示意图示保护性多层涂层的截面视图。
图2B根据此处所述的实施方式,示意图示保护性多层涂层的截面视图。
图3根据此处所述的实施方式,图示在基板上沉积保护性多层涂层的方法的流程图。
图4根据此处所述的实施方式,图示在基板上沉积保护性多层涂层的方法的流程图。
为了促进理解,已尽可能地使用相同的附图标记代表共通附图中相同的元件。应考虑一个实施方式的元件及特征可有益地并入其他实施方式中而无须进一步说明。
具体实施方式
本公开内容涉及用于处理腔室及处理腔室部件的保护性多层涂层。在一个实施方式中,多层保护性涂层包括金属氮化层及设置于其上的氧化层。在一个实施方式中,多层保护性涂层进一步包括氮氧化夹层和/或氟氧化层。多层保护性涂层可形成于金属合金或陶瓷基板上,例如在电子装置制造的领域中,例如半导体装置制造使用的处理腔室或处理腔室部件。在一个实施方式中,金属氮化层及氧化层通过原子层沉积而沉积于基板上。
图1根据一个实施方式,为范例处理腔室及可一起利用的处理部件的范例的截面示意视图。图1描绘处理腔室100,处理腔室100具有以高温度处理腔室利用的各种处理部件,例如等离子体增强沉积腔室及等离子体增强蚀刻腔室。然而,进一步考虑此处所述的保护性多层涂层可利用于任何处理腔室,处理部件或基板表面,其中增强的耐热性及扩散减少为所期望的。
处理腔室100包括腔室主体102,腔室主体102具有腔室盖104、一个或多个侧壁106及腔室底部108,这些至少部分界定腔室空间110。在一个实施方式中,透过穿过腔室盖104设置的一个或多个入口112,透过穿过一个或多个侧壁106设置的一个或多个气体注入通口114,或两者将处理气体传输至处理空间110。在一些实施方式中,腔室盖104耦合至喷头116,喷头116具有穿过其设置的多个孔洞118,其用于均匀分配处理气体至处理空间110中。
如图1中描绘,处理腔室100包括靠近腔室盖104设置的电感耦合等离子体(ICP)线圈组件120。ICP线圈组件120包括由RF功率产生器124驱动的一个或多个感应线圈天线122。利用ICP线圈组件120以通过使用由感应线圈天线122产生的电磁场点燃且维持来自处理气体的等离子体126流至处理空间110中。在另一实施方式中,处理腔室100包括电容耦合等离子体(CCP)组件或微波等离子体产生器。举例而言,RF功率产生器124可直接耦合至喷头116以在处理空间110之中产生电容耦合等离子体。仍在另一实施方式中,处理腔室100包括远程等离子体源(未显示),以在传输至处理空间110之前与处理空间110远程地产生等离子体。
在一个实施方式中,处理空间110通过排气通口128耦合至真空源162,例如真空泵。真空源162经配置成从处理空间110排气处理气体以及其他气体,且维持处理空间110在低于大气压条件下。基板支撑件130可移动地设置于处理空间110中,且进一步耦合至支撑杆132而密封延伸通过在腔室底部108中的开口134。在一个实施方式中,支撑杆132由在腔室底部108下方区域中的波纹管(未显示)环绕。支撑杆132进一步耦合至举升伺服136,以致动支撑杆132,且因此致动基板支撑件130通过处理空间110。在一个实施方式中,基板支撑件130可从第一位置移动至处理空间110之中的第二位置,以促进将基板W通过在一个或多个侧壁106中的狭缝阀138传送至且离开基板支撑件130。
处理腔室100包括沿着且径向向内于腔室主体102的一个或多个内部表面142设置的一个或多个可移除衬垫140。在一些实施方式中,处理腔室100进一步包括一个或多个屏蔽件,例如第一屏蔽件144及第二屏蔽件146。如图1中描绘,第一屏蔽件144围绕基板支撑件130及支撑杆132,且第二屏蔽件146设置于第一屏蔽件144上方且径向向内于一个或多个侧壁106。屏蔽件144、146可利用以限定等离子体126至处理空间110的所期望区域,以界定处理空间110中处理气体的流动路径,或其结合。在一些实施方式中,以上所述的一个或多个部件,例如腔室主体102及设置于其中或与其一起利用的处理部件以金属合金或陶瓷形成,且包含保护性多层涂层,例如参照图2A及图2B所述者。
图2A根据一个实施方式,图示形成于基板202上的保护性多层涂层200。保护性多层涂层200避免微量金属从基板202滤出,同时改善受到经常存在于例如处理腔室100的处理腔室之中的反应或腐蚀环境攻击的抗性。因此,可减少或避免下层装备或部件的恶化及其微量金属的滤出。通常,基板202或其表面以陶瓷或金属合金形成。举例而言,基板202可包含硅(Si)、碳化硅(SiC)、氧化铝(Al2O3)、热解氮化硼(PBN)、氧化钇(Y2O3)及类似者。在另一范例中,基板202可包含铝(Al)、铬(Cr)、铜(Cu)、铁(Fe)、镁(Mg)、锰(Mn)、锡(Sn)及锌(Zn)。基板202可为任何类型的处理腔室装备或其部件,包括但非限于在图1中所述的那些,以及举升销、加热器、静电夹盘、边缘环、圆顶或其他处理腔室部件。
如图2A中所显示,保护性多层涂层200包括设置于基板202上的金属氮化层210及设置于金属氮化层210上的氧化层230。在一些实施方式中,金属氮化层210包含以下一个或多个者:氮化铝(AlN)、氮化钛(TiN)、氮化钽(TaN)或类似者。在一些实施方式中,氧化层230包含以下一个或多个者:氧化铝(Al2O3)、氧化镧(La2O3)、氧化铪(HfO2)、氧化钇(Y2O3)、氧化锆(ZrO2)、氧化铈(CeO2)、氧化钛(TiO2)或类似者。在进一步实施方式中,保护性多层涂层200包括形成于金属氮化层210及氧化层230之间的氮氧化夹层220。氮氧化夹层220可通过在形成氧化层230之后,退火保护性多层涂层200而形成,因此建立介于氧化层230及金属氮化层210之间的界面层。
保护性多层涂层200的个别层大致具有介于约1nm及约1500nm之间的厚度。举例而言,金属氮化层210具有小于约250nm的第一厚度T(1),例如介于约1nm及约225nm之间。在一些实施方式中,金属氮化层210的厚度T(1)为介于约10nm及约200nm之间,例如介于约25nm及约175nm之间,介于约40nm及约160nm之间,介于约50nm及约150nm之间,介于约75nm及约125nm之间,或介于约90nm及约110nm之间。举例而言,金属氮化层210的厚度T(1)为约100nm。在一个范例中,氧化层230具有介于约1nm及约1250nm之间的第二厚度T(2),例如介于约10nm及约1000nm之间。在一些实施方式中,氧化层230的厚度T(2)为介于约20nm及约900nm之间,例如介于约50nm及约800nm之间,介于约100nm及约700nm之间,介于约200nm及约600nm之间,或介于约300nm及约500nm之间。举例而言,氧化层230的厚度T(2)为约400nm。在进一步实施方式中,氮氧化夹层220具有介于约0.5nm及约10nm之间的第三厚度T(3),例如介于约1nm及约8nm之间。举例而言,氮氧化夹层220具有介于约2nm及约6nm之间的第三厚度T(3),例如约4nm。
图2B根据一个实施方式,图示形成在例如以上图1中所述的处理部件的基板202上的保护性多层涂层201。此处,保护性多层涂层201包括图2A中所述的金属氮化层210及氧化层230。保护性多层涂层201进一步包括设置于氧化层230上的可选的氟氧化层240,以改善保护性多层涂层201的抗腐蚀性。氟氧化层240通过在形成氧化层230之后氟化氧化层230而形成。举例而言,氟氧化层240通过在抬升的温度下将沉积的氧化层230的表面暴露至含氟气体一段时段而形成,含氟气体例如氢氟酸(HF)、三氟化氮(NF3)、氟(F2)、NF3等离子体、F自由基及类似者。在一些实施方式中,时段可为约0.1-24小时。在一个范例中,氟氧化层240具有介于约1nm及约100nm之间的第四厚度T(4),例如介于约10nm及约80nm之间。举例而言,氮氧化夹层220具有介于约20nm及约70nm之间的第三厚度T(3),例如介于约30nm及约60nm之间,例如介于约40nm及约50nm之间。
图3根据一个实施方式,为阐述在处理腔室之中于基板上沉积保护性多层涂层的方法300的流程图。方法300可用以在处理部件的任一者或结合上形成图2A-图2B中所述的保护性多层涂层的任一者或结合,例如如图1中所述腔室主体102及与其一起利用的处理部件。
在操作310处,方法300包括在基板上沉积金属氮化层的步骤。金属氮化层可为金属氮化层210,且基板可为基板202。在一个范例中,金属氮化层可包含以下一个或多个者:氮化铝、氮化钛、氮化钽或类似者。在一些实施方式中,金属氮化层210使用涂层处理沉积,涂层处理包括高温蒸发及溅射,例如原子层沉积(ALD)、等离子体增强ALD(PEALD)、物理气相沉积(PVD)、等离子体增强PVD(PEPVD)、化学气相沉积(CVD)、等离子体增强CVD(PECVD)、混合CVD、电子束汽化、或其他适合的处理,用于在处理装备或其处理部件上沉积涂层。
在一个实施方式中,金属氮化层使用ALD处理沉积,ALD处理包含将基板交替暴露至第一前驱物及第二前驱物的步骤。举例而言,第一前驱物为含金属前驱物,且第二前驱物为含氮前驱物。由于ALD处理的共形,若基板展现非平面形貌则可有利地实行ALD处理。ALD处理也适合用于在实质上平面表面上沉积。
在一个实施方式中,第一前驱物包括任何适合的含金属前驱物,用于形成金属氮化膜,例如铝、钛、钽及类似者。在一些实施方式中,第一含金属前驱物是选自包含以下构成的组:(叔丁基亚胺基)三(二乙基胺基)钽(TBTDET)、四(二乙基胺基)钛(TDEAT)、四(二甲基胺基)钛(TDMAT)、四(乙基甲基胺基)钛(TEMAT)、三甲基铝(TMA)、五(二甲基胺基)钽(V)(PDMAT)及其结合。在一些实施方式中,含金属前驱物不含氟。适合的第二前驱物的范例包括含氮前驱物,例如氨(NH3)、联氨(N2H4)、甲基联氨(CH3(NH)NH2)、二甲基联氨(C2H8N2)、叔丁基联氨(C4H12N2)、苯基联氨(C6H8N2)、偶氮异丁烷(C4H8N2)、叠氮乙烷(CH3N3)及其结合。
在其中通过ALD处理沉积金属氮化层210的一些实施方式中,于沉积金属氮化层210之前加热基板202。举例而言,将基板202加热至从约100℃至约400℃的范围之中的温度,例如介于约200℃及约300℃之间,例如约250℃。金属氮化层210的沉积期间,将处理腔室加热至从约200℃至约350℃的范围之中的温度,例如介于约225℃及约325℃之间,例如约275℃。对于热ALD处理,处理腔室可维持在介于约300℃及约350℃之间的温度下,例如约325℃。对于等离子体ALD处理,可将处理腔室维持在介于约200℃及约275℃之间的温度下,例如约250℃。
用于金属氮化层的第一前驱物以在从约200sccm至约1000sccm的范围之中的流率流至处理腔室,例如介于约400sccm及约800sccm之间的流率。在一些实施方式中,将第一前驱物与载体气体一起引入处理腔室中,载体气体例如惰性气体,像氮。第一前驱物可进一步脉冲至处理腔室中。此处所使用“脉冲”一词意图代表特定成分的量间歇地或非连续地引入处理腔室的反应区中。由于其脉冲,可在基板上形成第一前驱物的单层。在一些实施方式中,将第一前驱物脉冲至处理腔室中达约100ms至约10s的范围中的时段,例如介于约150ms及约800ms之间,例如介于约200ms及约250ms之间。在流至处理腔室中之前,可将第一前驱物加热至介于约25℃及约125℃之间的温度。举例而言,可将第一前驱物加热至介于约40℃及约80℃之间的温度,例如约65℃。
在将第一前驱物流至处理腔室中之后,可实行第一净化处理以移除处理腔室中任何残余第一前驱物。第一净化处理可包括脉冲诸如氩气或氮气的净化气体至处理腔室中达介于约500ms及约10s之间的时段,例如介于约1s及约5s之间,举例而言,约3s。
诸如含氮前驱物的第二前驱物接着脉冲至处理腔室中达介于约150ms及约30s之间的时段,例如介于约2s及约25s之间,举例而言,约10s。第二前驱物以在从约50sccm至约1000sccm的范围之中的流率流至处理腔室中,例如介于约200sccm及约800sccm之间的流率。在流至处理腔室之前,可将第二前驱物加热至约室温。举例而言,可将第二前驱物加热至介于约20℃及约25℃之间的温度。在一些实施方式中,在处理腔室中产生等离子体,同时于其中流动含氮第二前驱物。等离子体可通过施加RF功率至等离子体产生器而产生,例如参照图1所述的ICP线圈组件120或CCP组件。举例而言,NH3等离子体RF产生器可将介于约100W至约300W之间,例如约200W的RF功率,且以介于13.56MHz之间的频率施加至ICP线圈组件或CCP组件。
可跟随着第二前驱物的脉冲实行第二净化处理。可实行第二净化处理以移除在处理腔室中的任何残余第二前驱物。类似于第一净化处理,第二净化处理可包括脉冲净化气体,例如氩,至处理腔室中达介于约500ms及约60s之间的时段,例如介于约1s及约30s,举例而言,约15s。
将第一前驱物及第二前驱物脉冲至处理腔室中可为一周期,且周期可包括在将第一前驱物流至处理腔室中之后及在将第二前驱物流至处理腔室之后的第一及第二净化处理。重复周期以成长金属氮化层。周期的数量基于最终金属氮化层的所期望厚度。金属氮化层的成长率可为每次周期从约0.2A至约2A的范围。举例而言,金属氮化层的成长率可为每次周期约1A,取决于利用的前驱物材料。金属氮化层的最终厚度可介于约5nm及约250nm之间,例如介于约10nm及约200nm之间。举例而言,金属氮化层的最终厚度为介于约25nm及约175nm之间,例如介于约50nm及约150nm之间,介于约75nm及约125nm之间,介于约90nm及约110nm之间,例如约100nm。
在操作320处,方法300包括在金属氮化层上沉积氧化层的步骤。氧化层可为图2A或图2B中描绘的氧化层230。在一个范例中,氧化层230可包含以下一个或多个者:氧化铝、氧化镧、氧化铪、氧化钇、氧化锆、氧化铈或类似者。在一些实施方式中,氧化层230利用类似于金属氮化层210的方法沉积,包括高温蒸发及溅射。举例而言,氧化层230可通过ALD、PEALD、PVD、PEPVD、CVD、PECVD、混合CVD、电子束汽化或其他适合的处理来沉积,用于在处理装备或其处理部件上沉积涂层。
在一个实施方式中,氧化层使用ALD处理沉积,ALD处理包含将基板交替暴露至第三前驱物及第四前驱物的步骤,类似于以上所述利用以形成金属氮化物的ALD处理。举例而言,第三前驱物为含金属或陶瓷前驱物,且第四前驱物为含氧前驱物。第三前驱物包括用于形成氧化膜的任何适合的金属前驱物,例如TMA、TDEAT、TDMAT、四(二甲基胺基)铪(Hf(NMe2)4)(TDMAH)、四(二甲基胺基)锆(Zr(NMe2)4)(TDMAZ)、[Ce(thd)4]、[Ce(thd)3phen]、[Ce(Cp)3]、[Ce(CpMe)3]、[Ce(iprCp)3]及其结合。适合的第四前驱物的范例包括含氧前驱物,例如一氧化二氮(N2O)、氧气(O2)、臭氧(O3)、水蒸汽(H2O)、一氧化碳(CO)、二氧化碳(CO2)及类似者。
已具有金属氮化层沉积于其上的基板可在沉积氧化层之前加热。举例而言,将具有金属氮化层210形成于其上的基板202加热至介于约100℃及约400℃之间的温度,例如介于约150℃及约350℃之间,举例而言,介于约200℃及约300℃之间。在沉积氧化层230期间,将处理腔室加热至在从约150℃至约300℃的范围之中的温度,例如介于约175℃及约275℃之间,举例而言,200℃。
第三前驱物以在从约200sccm及约1000sccm的范围之中的流率流至处理腔室中,例如介于约400sccm及约800sccm之间的流率。在一些实施方式中,将第三前驱物与载体气体一起引入处理腔室中,载体气体例如惰性气体,像氮。在一些实施方式中,将用以形成氧化层的第三前驱物脉冲至处理腔室中达约100ms至约10s的范围中的时段,例如介于约150ms及约800ms之间,例如介于约200ms及约250ms之间。在流至处理腔室中之前,可将第三前驱物加热至介于约25℃及约125℃之间的温度。举例而言,可将第三前驱物加热至介于约40℃及约80℃之间的温度,例如约65℃。
在将第三前驱物流至处理腔室中之后,可实行第三净化处理以移除处理腔室中任何残余第三前驱物。类似于第一及第二净化处理,第三净化处理可包括脉冲净化气体至处理腔室中达介于约500ms及约10s之间的时段,例如介于约1s及约5s之间,举例而言,约3s。
将诸如含氧前驱物的第四前驱物接着脉冲至处理腔室中达介于约150ms及约30s之间的时段,例如介于约2s及约25s之间,举例而言,约10s。第四前驱物以从约50sccm至约1000sccm之间的流率流至处理腔室中,例如介于约200sccm及约800sccm之间的流率。类似于第二含氮前驱物,在流至处理腔室之前,可将第四含氧前驱物加热至约室温的温度,举例而言,介于约20℃及约25℃之间。
跟随着第四前驱物的脉冲,可实行第四净化处理以移除在处理腔室中的任何残余第四前驱物。类似于先前的净化处理,第四净化处理可包括脉冲净化气体至处理腔室中达介于约500ms及约60s之间的时段,例如介于约1s及约30s,举例而言,约15s。
将第三前驱物及第四前驱物脉冲至处理腔室中可为一周期,且周期可包括在将第三前驱物流至处理腔室中之后及在将第四前驱物流至处理腔室之后的第三及第四净化处理。重复周期以成长氧化层。周期的数量基于最终氧化层的所期望厚度。每次周期的成长率可为每次周期从约0.2A至约2A的范围,取决于第三及第四前驱物所使用的材料。氧化层的最终厚度可介于约10nm及约1μm之间,例如介于约100nm及约750nm之间。举例而言,金属氮化层的最终厚度为介于约150nm及约700nm之间,例如介于约200nm及约600nm之间,介于约300nm及约500nm之间,介于约350nm及约450nm之间,例如约400nm。
在操作330处,方法300可选地包括以下步骤:退火具有金属氮化层及氧化层形成于其上的基板,例如具有金属氮化层210及氧化层230形成于其上的基板202。在一个实施方式中,将基板202暴露至具有大于约200℃的温度的加热处理。举例而言,基板202以在约275℃至约375℃的范围之中的温度加热,例如介于约300℃及约350℃之间,例如约325℃的温度。在操作330处退火基板202可于金属氮化层及氧化层之间形成氮氧化夹层,例如氮氧化夹层220,而进一步改善保护性多层涂层的性能及抗性。
图4根据一个实施方式,为阐述在处理腔室之中于基板上沉积保护性多层涂层的方法400的流程图。方法400可用以在诸如图1中所述的腔室主体102及与其一起利用的处理部件的处理部件的任何一者或结合上形成图2A-图2B中所述的保护性多层涂层的任何一者或结合。
操作410及420实质上类似于操作310及320,且因此将不会进一步详细说明。然而,在操作430处,不像方法300,方法400包括可选地氟化具有金属氮化层及氧化层形成于其上的基板的步骤,例如具有金属氮化层210及氧化层230形成于其上的基板202。在一个实施方式中,例如氟氧化层240的氟氧化层通过将氧化层230暴露至氟化物处理气体或等离子体以转化氧化层230的顶部部分而形成。在另一实施方式中,氟氧化层240通过将基板202暴露至氟化物ALD处理而形成,因此在氧化层230上沉积共形氟氧化膜。在操作430处形成氟氧化层240可进一步改善保护性多层涂层的性能及抗腐蚀性。
综上所述,本公开内容的保护性多层涂层抗微量金属的滤出以及在半导体腔室处理环境之中反应物种的攻击(化学或物理上任一者),减少下层材料的恶化及腐蚀。因此,此处所公开的金属氮化层及氧化层通过作用为热及扩散阻挡层,对处理腔室装备及其部件提供改善的保护。
尽管以上针对本公开内容的实施方式,可衍生本公开内容的其他及进一步实施方式而不会背离其基本范围,且其范围由随附权利要求来确定。

Claims (20)

1.一种在等离子体处理腔室中使用的腔室部件,包含:
腔室部件,具有表面,所述表面包含金属合金或陶瓷;和
保护性涂层,设置于所述腔室部件的所述表面上,所述保护性涂层包含:
金属氮化层,具有介于约10nm及约200nm之间的厚度;和
氧化层,设置于所述金属氮化层上,且具有介于约1nm及约1μm之间的厚度,所述金属氮化层及所述氧化层通过ALD处理沉积于所述腔室部件的所述表面上。
2.如权利要求1所述的腔室部件,进一步包含氮氧化夹层,所述氮氧化夹层具有介于约0.5nm及约10nm之间的厚度。
3.如权利要求1所述的腔室部件,进一步包含氟氧化层,所述氟氧化层具有介于约1nm及约100nm之间的厚度。
4.如权利要求1所述的腔室部件,其中所述金属氮化层包含以下一个或多个者:氮化铝、氮化钛及氮化钽。
5.如权利要求1所述的腔室部件,其中所述氧化层包含以下一个或多个者:氧化铝、氧化镧、氧化铪、氧化钇、氧化锆、氧化铈或氧化钛。
6.如权利要求1所述的腔室部件,其中所述保护性涂层具有介于约1nm及约1500nm之间的厚度。
7.一种用于在处理腔室部件上形成涂层的方法,包含以下步骤:
经由ALD在所述处理腔室部件的表面上沉积金属氮化层,所述金属氮化层具有介于约10nm及约200nm之间的厚度;
经由ALD在所述金属氮化层上沉积氧化层,所述氧化层具有介于约1nm及约1μm之间的厚度。
8.如权利要求7所述的方法,进一步包含以下步骤:
在沉积所述金属氮化层及所述氧化层之前,将所述处理腔室部件的所述表面加热至介于约200℃及约300℃之间的温度。
9.如权利要求7所述的方法,其中沉积所述金属氮化层的步骤进一步包含以下步骤:
将第一前驱物流至所述处理腔室中达约150ms及约800s之间的时段,所述第一前驱物经加热至介于约40℃及约80℃之间的温度,所述第一前驱物包含含金属物种;和
将第二前驱物流至所述处理腔室中达约2s及约25s之间的时段,所述第二前驱物经加热至介于约20℃及约25℃之间的温度,所述第二前驱物包含含氮物种。
10.如权利要求9所述的方法,其中所述第一前驱物是选自以下构成的组:TBTDET、TDEAT、TDMAT、TEMAT、TMA及PDMAT。
11.如权利要求9所述的方法,其中所述第二前驱物是选自以下构成的组:NH3、N2H4、CH3(NH)(NH2)、C2H8N2、C4H12N2、C6H8N2、C4H8N2及CH3N3
12.如权利要求9所述的方法,进一步包括在将所述第一前驱物流至所述处理腔室中之后且在将所述第二前驱物流至所述处理腔室中之后,净化所述处理腔室。
13.如权利要求7所述的方法,其中沉积所述氧化层的步骤进一步包含以下步骤:
将第三前驱物流至所述处理腔室中达约150ms及约800s之间的时段,所述第三前驱物经加热至介于约40℃及约80℃之间的温度;和
将第四前驱物流至所述处理腔室中达约2s及约25s之间的时段,所述第四前驱物经加热至介于约20℃及约25℃之间的温度,所述第四前驱物包含含氧物种。
14.如权利要求13所述的方法,其中所述第三前驱物是选自以下构成的组:TMA、TDEAT、TDMAT、TDMAH、TDMAZ、[Ce(thd)4]、[Ce(thd)3phen]、[Ce(Cp)3]、[Ce(CpMe)3]及[Ce(iprCp)3]。
15.如权利要求13所述的方法,其中所述第四前驱物是选自以下构成的组:N2O、O2、O3、H2O、CO及CO2
16.如权利要求7所述的方法,进一步包含以下步骤:
退火所述金属氮化层及所述氧化层,以在所述金属氮化层与所述氧化层之间形成氮氧化夹层。
17.如权利要求7所述的方法,进一步包含以下步骤:
将所述氧化层暴露至含氟气体,以在所述氧化层上形成氟氧化层。
18.一种用于在腔室部件上形成涂层以在处理腔室中使用的方法,包含以下步骤:
经由第一ALD处理,在处理腔室部件的表面上沉积金属氮化层,所述第一ALD处理包含以下步骤:
将所述处理腔室部件的所述表面加热至介于约200℃及约300℃之间的温度;
将第一前驱物流至所述处理腔室中达约150ms及约800s之间的时段,所述第一前驱物经加热至介于约40℃及约80℃之间的温度,所述第一前驱物包含含金属物种;和
将第二前驱物流至所述处理腔室中达约2s及约25s之间的时段,所述第二前驱物经加热至介于约20℃及约25℃之间的温度,所述第二前驱物包含含氮物种;和
经由第二ALD处理,在所述金属氮化层上沉积氧化层,所述第二ALD处理包含以下步骤:
将第三前驱物流至所述处理腔室中达约150ms及约800s之间的时段,所述第三前驱物经加热至介于约40℃及约80℃之间的温度;和
将一第四前驱物流至所述处理腔室中达约2s及约25s之间的时段,所述第四前驱物经加热至介于约20℃及约25℃之间的温度,所述第二前驱物包含含氧物种。
19.如权利要求18所述的方法,其中所述第一前驱物是选自以下构成的组:TBTDET、TDEAT、TDMAT、TEMAT、TMA及PDMAT;且所述第二前驱物是选自以下构成的组:NH3、N2H4、CH3(NH)(NH2)、C2H8N2、C4H12N2、C6H8N2、C4H8N2及CH3N3
20.如权利要求18所述的方法,其中所述第三前驱物是选自以下构成的组:TMA、TDEAT、TDMAT、TDMAH、TDMAZ、[Ce(thd)4]、[Ce(thd)3phen]、[Ce(Cp)3]、[Ce(CpMe)3]及[Ce(iprCp)3];且所述第四前驱物是选自以下构成的组:N2O、O2、O3、H2O、CO及CO2
CN202080064780.XA 2019-08-09 2020-06-22 用于处理腔室部件的保护性多层涂层 Pending CN114402413A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
IN201941032296 2019-08-09
IN201941032296 2019-08-09
PCT/US2020/038873 WO2021029970A1 (en) 2019-08-09 2020-06-22 Protective multilayer coating for processing chamber components

Publications (1)

Publication Number Publication Date
CN114402413A true CN114402413A (zh) 2022-04-26

Family

ID=74570695

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202080064780.XA Pending CN114402413A (zh) 2019-08-09 2020-06-22 用于处理腔室部件的保护性多层涂层

Country Status (7)

Country Link
US (1) US20220277936A1 (zh)
EP (1) EP4010916A4 (zh)
JP (1) JP7366234B2 (zh)
KR (1) KR20220044338A (zh)
CN (1) CN114402413A (zh)
TW (1) TWI737379B (zh)
WO (1) WO2021029970A1 (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US11761079B2 (en) 2017-12-07 2023-09-19 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
US10760158B2 (en) * 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US11373845B2 (en) * 2020-06-05 2022-06-28 Applied Materials, Inc. Methods and apparatus for symmetrical hollow cathode electrode and discharge mode for remote plasma processes
EP4308746A1 (en) * 2021-03-19 2024-01-24 Entegris, Inc. Substrate with fluorinated yttrium coatings, and methods of preparing and using the substrates
KR102649530B1 (ko) * 2021-12-23 2024-03-20 연세대학교 산학협력단 Ald 공정을 이용한 산화지르코늄 결정 박막 저온 증착 방법

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101015047A (zh) * 2004-07-20 2007-08-08 应用材料股份有限公司 以钽前驱物taimata进行含钽材料的原子层沉积
JP2009272355A (ja) * 2008-05-01 2009-11-19 Hitachi Kokusai Electric Inc 基板処理システム
TW201100581A (en) * 2009-05-13 2011-01-01 Applied Materials Inc Method for tuning a deposition rate during an atomic layer deposition process
US20140357090A1 (en) * 2013-05-31 2014-12-04 Asm Ip Holding B.V. Cyclic aluminum nitride deposition in a batch reactor
CN107849704A (zh) * 2016-07-15 2018-03-27 应用材料公司 具扩散阻障层及抗侵蚀层的多层涂层
CN108866509A (zh) * 2017-05-10 2018-11-23 应用材料公司 用于腔室部件的金属氧氟化物膜

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7311797B2 (en) * 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US7033956B1 (en) * 2004-11-01 2006-04-25 Promos Technologies, Inc. Semiconductor memory devices and methods for making the same
WO2011150311A1 (en) * 2010-05-28 2011-12-01 Praxair Technology, Inc. Substrate supports for semiconductor applications
KR102177738B1 (ko) * 2013-03-08 2020-11-11 어플라이드 머티어리얼스, 인코포레이티드 불소 플라즈마에 대한 보호에 적합한 보호 코팅을 갖는 챔버 컴포넌트
SG11201510292VA (en) * 2013-07-02 2016-01-28 Ultratech Inc Formation of heteroepitaxial layers with rapid thermal processing to remove lattice dislocations
US20180061617A1 (en) * 2016-08-23 2018-03-01 Applied Materials, Inc. Method to deposit aluminum oxy-fluoride layer for fast recovery of etch amount in etch chamber
US10760158B2 (en) * 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
CN111566255A (zh) * 2017-12-18 2020-08-21 恩特格里斯公司 通过原子层沉积涂覆的耐化学性多层涂层

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101015047A (zh) * 2004-07-20 2007-08-08 应用材料股份有限公司 以钽前驱物taimata进行含钽材料的原子层沉积
JP2009272355A (ja) * 2008-05-01 2009-11-19 Hitachi Kokusai Electric Inc 基板処理システム
TW201100581A (en) * 2009-05-13 2011-01-01 Applied Materials Inc Method for tuning a deposition rate during an atomic layer deposition process
US20140357090A1 (en) * 2013-05-31 2014-12-04 Asm Ip Holding B.V. Cyclic aluminum nitride deposition in a batch reactor
CN107849704A (zh) * 2016-07-15 2018-03-27 应用材料公司 具扩散阻障层及抗侵蚀层的多层涂层
CN108866509A (zh) * 2017-05-10 2018-11-23 应用材料公司 用于腔室部件的金属氧氟化物膜

Also Published As

Publication number Publication date
TW202113129A (zh) 2021-04-01
US20220277936A1 (en) 2022-09-01
JP7366234B2 (ja) 2023-10-20
KR20220044338A (ko) 2022-04-07
EP4010916A1 (en) 2022-06-15
WO2021029970A1 (en) 2021-02-18
TWI737379B (zh) 2021-08-21
EP4010916A4 (en) 2023-08-09
JP2022543862A (ja) 2022-10-14

Similar Documents

Publication Publication Date Title
CN114402413A (zh) 用于处理腔室部件的保护性多层涂层
CN110230038B (zh) 方法和腔室部件
US10297462B2 (en) Methods of etching films comprising transition metals
TWI655310B (zh) 來自金屬脒鹽前驅物與鋁前驅物的金屬鋁合金膜
US20080102204A1 (en) Vapor deposition of metal carbide films
CN110735128B (zh) 通过原子层沉积来沉积的抗侵蚀金属氟化物涂层
US11421319B2 (en) Plasma etch-resistant film and a method for its fabrication
CN213295503U (zh) 用于半导体处理腔室的制品
US20200063263A1 (en) Oxygen Free Deposition Of Platinum Group Metal Films
CN114586131A (zh) 通过原子层沉积而沉积的铪铝氧化物涂层
US11239058B2 (en) Protective layers for processing chamber components
US11473198B2 (en) Homoleptic lanthanide deposition precursors
TWI837131B (zh) 用於處理腔室部件的保護層
TWI557256B (zh) 來自金屬pcai前驅物與鋁前驅物的金屬鋁合金膜
US20240153745A1 (en) Protection treatments for surfaces of semiconductor fabrication equipment
WO2018129295A1 (en) Water assisted highly pure ruthenium thin film deposition

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination