KR20180123992A - 챔버 컴포넌트들을 위한 금속-옥시-플루오라이드 막들 - Google Patents

챔버 컴포넌트들을 위한 금속-옥시-플루오라이드 막들 Download PDF

Info

Publication number
KR20180123992A
KR20180123992A KR1020180053818A KR20180053818A KR20180123992A KR 20180123992 A KR20180123992 A KR 20180123992A KR 1020180053818 A KR1020180053818 A KR 1020180053818A KR 20180053818 A KR20180053818 A KR 20180053818A KR 20180123992 A KR20180123992 A KR 20180123992A
Authority
KR
South Korea
Prior art keywords
yttrium
coating
layer
oxy
plasma
Prior art date
Application number
KR1020180053818A
Other languages
English (en)
Other versions
KR102592210B1 (ko
Inventor
시아오웨이 우
데이비드 펜위크
구오동 잔
제니퍼 와이. 선
미첼 알. 라이스
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20180123992A publication Critical patent/KR20180123992A/ko
Priority to KR1020230138545A priority Critical patent/KR20230148142A/ko
Application granted granted Critical
Publication of KR102592210B1 publication Critical patent/KR102592210B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/28Deposition of only one other non-metal element
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B15/00Layered products comprising a layer of metal
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B15/00Layered products comprising a layer of metal
    • B32B15/04Layered products comprising a layer of metal comprising metal as the main or only constituent of a layer, which is next to another layer of the same or of a different material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B9/00Layered products comprising a layer of a particular substance not covered by groups B32B11/00 - B32B29/00
    • B32B9/005Layered products comprising a layer of a particular substance not covered by groups B32B11/00 - B32B29/00 comprising one layer of ceramic material, e.g. porcelain, ceramic tile
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B9/00Layered products comprising a layer of a particular substance not covered by groups B32B11/00 - B32B29/00
    • B32B9/04Layered products comprising a layer of a particular substance not covered by groups B32B11/00 - B32B29/00 comprising such particular substance as the main or only constituent of a layer, which is next to another layer of the same or of a different material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0694Halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/081Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/221Ion beam deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5826Treatment with charged particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5846Reactive treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45555Atomic layer deposition [ALD] applied in non-semiconductor technology
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/042Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material including a refractory ceramic layer, e.g. refractory metal oxides, ZrO2, rare earth oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/40Coatings including alternating layers following a pattern, a periodic or defined repetition
    • C23C28/42Coatings including alternating layers following a pattern, a periodic or defined repetition characterized by the composition of the alternating layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • C23C4/11Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/12Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
    • C23C4/134Plasma spraying
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/18After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32908Utilities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32963End-point detection
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2250/00Layers arrangement
    • B32B2250/022 layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2250/00Layers arrangement
    • B32B2250/033 layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2255/00Coating on the layer surface
    • B32B2255/06Coating on the layer surface on metal layer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2255/00Coating on the layer surface
    • B32B2255/20Inorganic coating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2311/00Metals, their alloys or their compounds
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2315/00Other materials containing non-metallic inorganic compounds not provided for in groups B32B2311/00 - B32B2313/04
    • B32B2315/02Ceramics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12014All metal or with adjacent metals having metal particles
    • Y10T428/12028Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12014All metal or with adjacent metals having metal particles
    • Y10T428/12028Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, etc.]
    • Y10T428/12049Nonmetal component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/1266O, S, or organic compound in metal component
    • Y10T428/12667Oxide of transition metal or Al
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31678Of metal

Abstract

물건은 코팅을 갖는 바디를 포함한다. 코팅은, 이트륨-계 산화물 코팅에 대해 플루오르화 프로세스를 수행함으로써 또는 이트륨-계 불소 코팅에 대해 산화 프로세스를 수행함으로써 생성되는 Y-O-F 코팅 또는 다른 이트륨-계 옥시-플루오라이드 코팅을 포함한다.

Description

챔버 컴포넌트들을 위한 금속-옥시-플루오라이드 막들{METAL-OXY-FLUORIDE FILMS FOR CHAMBER COMPONENTS}
본 개시내용의 실시예들은 일반적으로, 금속 플루오라이드 및/또는 금속 산화물 코팅들을 M-O-F 층들 및 코팅들로 변환시키는 방법들에 관한 것이다. 부가적으로, 실시예들은 금속 산화물 표면들 위의 일시적인(temporary) 금속 플루오라이드 및/또는 M-O-F 층들의 인-시튜(in-situ) 형성에 관한 것이다.
다양한 제조 프로세스들은 높은 온도들, 고 에너지 플라즈마, 부식성 가스들의 혼합물, 고 응력, 및 이들의 조합들에 챔버 컴포넌트들 및 그 챔버 컴포넌트들의 코팅 재료들을 노출시킨다. 희토류 산화물들은, 플라즈마 에칭 케미스트리(chemistry)들로부터의 침식에 대한 그 희토류 산화물들의 저항으로 인해, 챔버 컴포넌트 제조에서 빈번하게 사용된다. 그러나, 불소 계 플라즈마에 대한 희토류 산화물들의 노출은 크래킹(cracking)을 발생시킬 수 있고, 웨이퍼들 상에 입자들이 떨어지게 할 수 있다.
게다가, 산화물 코팅들, 이를테면 Y2O3는 물에 대해 투과성이고, 물의 흡착을 발생시킬 수 있다. 결과로서, 공기에 대한 산화물 코팅들, 이를테면 Y2O3의 노출은 일반적으로, 산화물 코팅의 표면에 취성의(brittle) M(OH) 층(예컨대, Y(OH)3 층)이 형성되게 한다. 테스트들은 공기에 노출된 Y2O3 코팅들의 표면에서 다수의 -OH 기들의 존재를 나타내었다. M(OH) 층은 취성이고, 프로세싱된 웨이퍼들 상에 입자들을 떨어뜨릴 수 있다. 부가적으로, M(OH) 층은 금속 산화물 코팅에서(예컨대, Y2O3 코팅에서) 누설 전류를 증가시킨다.
일부 경우들에서, YF3가 챔버 컴포넌트들을 위한 코팅으로서 사용되었다. YF3 코팅의 사용은 프로세싱된 웨이퍼들 상의 이트륨 계 입자들의 문제를 완화시킬 수 있다. 그러나, 에칭 반응기의 챔버 컴포넌트들에 YF3 코팅을 적용하는 것은 상당한 에칭 레이트 하락(예컨대, 60 %만큼의 에칭 레이트 하락), 프로세스 드리프트, 및 챔버 매칭 문제들을 발생시키는 것으로 나타났었다.
Y-O-F 층 또는 코팅을 형성하기 위한 제1 방법의 예시적인 구현에서, 이트륨-함유 코팅(예컨대, Y2O3 코팅 또는 Y2O3-ZrO2 고용체 코팅)이 제1 프로세싱 챔버에 대한 챔버 컴포넌트의 표면 상에 증착된다. 대안적으로, MxOy 코팅이 증착될 수 있고, 여기서, M은 금속, 이를테면 Al 또는 희토류 금속이다. 챔버 컴포넌트는 약 150 내지 1000 ℃(예컨대, 150 내지 500 ℃)의 상승된 온도로 가열된다. 챔버 컴포넌트는 시간의 지속기간 동안 상승된 온도에서 불소 소스, 이를테면 HF, NF3, NF3 플라즈마, F2, F 라디칼들 등에 노출된다. 결과로서, 이트륨-함유 산화물 코팅의 적어도 표면은 Y0O-F 층 또는 다른 이트륨-계 옥시-플루오라이드 층 또는 크팅으로 변환된다. 일부 경우들에서, 이트륨-함유 산화물 코팅의 전체가 Y-O-F 또는 다른 이트륨 함유 옥시-플루오라이드로 변환된다. 대안적으로, MxOy 코팅의 적어도 표면이 M-O-F 층으로 변환된다.
Y-O-F 층 또는 코팅을 형성하기 위한 제2 방법의 예시적인 구현에서, 프로세싱 챔버에 대한 챔버 컴포넌트의 표면 상에 약 10 nm 내지 약 10 미크론의 두께를 갖는 YF3 코팅을 증착하기 위해, 원자 층 증착(ALD), 화학 기상 증착(CVD), 또는 이온 보조 증착(IAD)이 수행된다. 챔버 컴포넌트는 약 150 내지 1500 ℃의 상승된 온도로 가열된다. 챔버 컴포넌트는 약 12 내지 24 시간의 지속기간 동안 상승된 온도에서 산소 소스에 노출된다. 결과로서, YF3 코팅은 Y-O-F 코팅으로 변환된다.
M-O-F 층 또는 코팅을 형성하기 위한 제3 방법의 예시적인 구현에서, 기판이 프로세싱 챔버 내에 로딩되고, 프로세싱 챔버는 금속 산화물 코팅을 포함하는 하나 또는 그 초과의 챔버 컴포넌트들을 포함한다. 원격 플라즈마 소스로부터의 불소-계 플라즈마가 프로세싱 챔버 내에 도입된다. 금속 산화물 코팅 위에 일시적인 M-O-F 층 또는 금속 플루오라이드 층을 형성하기 위해, 금속 산화물 코팅이 불소-계 플라즈마와 반응된다. 이어서, 부식성 가스를 활용하는 프로세스가 기판에 대해 수행된다. 프로세스는 일시적인 M-O-F 층 또는 금속 플루오라이드 층을 제거하거나 또는 증가시키지만, 일시적인 M-O-F 층 또는 금속 플루오라이드 층은 부식성 가스로부터 금속 산화물 코팅을 보호한다.
본 개시내용은 유사한 참조부호들이 유사한 엘리먼트들을 표시하는 첨부 도면들의 도들에서 예로써 그리고 제한적이지 않게 예시된다. 본 개시내용에서 단수 표현의 실시예에 대한 상이한 언급들이 반드시 동일한 실시예에 대한 것이 아니고, 그러한 언급들은 적어도 하나를 의미한다는 것이 유의되어야 한다.
도 1은 프로세싱 챔버의 일 실시예의 단면도를 도시한다.
도 2는 본 발명의 일 실시예에 따른, 제조 시스템의 예시적인 아키텍처를 예시한다.
도 3a는 실시예에 따른, 금속 산화물 코팅의 표면에 M-O-F 층을 형성하기 위한 프로세스를 예시한다.
도 3b는 실시예에 따른, Y2O3 코팅 및 Y-O-F 층을 포함하는 챔버 컴포넌트의 측단면도를 예시한다.
도 4a는 실시예에 따른, YF3 코팅을 Y-O-F 코팅으로 변환시키기 위한 프로세스를 예시한다.
도 4b는 실시예에 따른, Y-O-F 코팅을 포함하는 챔버 컴포넌트의 측단면도를 예시한다.
도 5는 실시예에 따른, 제조 프로세스 전에, 금속 산화물 코팅 또는 금속 산화물 물건 상에 일시적인 M-O-F 층 또는 금속 플루오라이드 층을 형성하기 위한 인-시튜 프로세스를 예시한다.
도 6a는 실시예에 따른, 이트륨-계 코팅의 적어도 일부를 Y-O-F 코팅 또는 층으로 변환시킴으로써 이트륨-계 코팅의 응력을 완화시키기 위한 프로세스를 예시한다.
도 6b는 실시예에 따른, 챔버 컴포넌트의 바디 상에 Y-O-F/M-O-F 코팅을 포함하는 챔버 컴포넌트의 측단면도를 예시한다.
도 7a는 실시예에 따른, 투과 전자 현미경(TEM)에 의해 보는 경우의 Y2O3 코팅을 포함하는 챔버 컴포넌트의 측단면도를 예시한다.
도 7b는 도 7a의 챔버 컴포넌트의 재료 조성을 예시한다.
도 8a는 실시예에 따른, TEM에 의해 보는 경우의 플루오르화 프로세스 후의 Y-O-F 코팅을 포함하는 챔버 컴포넌트의 측단면도를 예시한다.
도 8b는 도 8a의 챔버 컴포넌트의 재료 조성을 예시한다.
도 9a는 실시예에 따른, TEM에 의해 보는 경우의 플루오르화 프로세스 후의 Y-O-F 층들 및 Al-O-F 층들의 교번 스택을 포함하는 챔버 컴포넌트의 측단면도를 예시한다.
도 9b는 도 9a의 챔버 컴포넌트의 재료 조성을 예시한다.
도 10a는 실시예에 따른, TEM에 의해 보는 경우의 플루오르화 프로세스 후의 Y-O-F 층들과 Al-O-F 층들의 교번 스택을 포함하는 챔버 컴포넌트의 측단면도를 예시한다.
도 10b는 도 10a의 챔버 컴포넌트의 재료 조성을 예시한다.
도 11a는 실시예에 따른, TEM에 의해 보는 경우의 플루오르화 프로세스 후의 Y2O3-ZrO2 고용체로 구성된 솔리드 소결된(벌크) 세라믹을 포함하는 챔버 컴포넌트의 측단면도를 예시한다.
도 11b는 도 11a의 챔버 컴포넌트의 재료 조성을 예시한다.
도 12a는 실시예에 따른, TEM에 의해 보는 경우의 플루오르화 프로세스 후의 Al2O3의 코팅을 포함하는 챔버 컴포넌트의 측단면도를 예시한다.
도 12b는 도 12a의 챔버 컴포넌트의 재료 조성을 예시한다.
도 13a는 실시예에 따른, Y2O3 코팅의 플루오르화로부터 기인하는 Y-O-F 코팅을 예시한다.
도 13b는 실시예에 따른, Y2O3-ZrO2 고용체 코팅의 플루오르화로부터 기인하는 Y-Z-O-F 코팅을 예시한다.
도 14는 YF3 코팅의 재료 조성을 EDS(energy dispersive electroscopy) 라인 스캔을 예시한다.
도 15는 실시예에 따른, 산화 프로세스 후의 도 14의 YF3 코팅의 재료 조성을 나타내는 EDS 라인 스캔을 예시하고, 여기서, YF3 코팅은 Y-O-F 층을 포함한다.
도 16a는 실시예에 따른, TEM에 의해 보는 경우의 HF 산성 용액에서의 플루오르화 프로세스 후의 Y2O3의 코팅을 포함하는 챔버 컴포넌트의 측단면도를 예시한다.
도 16b는 도 16a의 챔버 컴포넌트의 재료 조성을 예시한다.
도 17은 ALD에 의해 증착된 YF3 코팅의 재료 조성을 나타내는 XPS(x-ray photoelectron spectroscopy) 표면 분석을 예시한다.
도 18은 실시예에 따른, 도 17의 YF3 코팅의 산화로부터 형성된 Y-O-F 코팅의 재료 조성을 나타내는 XPS 표면 분석을 예시한다.
도 19는 Y-O-F 코팅 및 Y-Z-O-F 코팅의 입자 성능을 예시한다.
본 발명의 실시예들은 Y-O-F 층들 및 코팅들뿐만 아니라 다른 M-O-F 층들 및 코팅들을 형성하기 위한 프로세스들에 관한 것이고, 여기서, M은 금속, 이를테면 Al, 희토류, 또는 다수의 금속들의 조합이다. Y-O-F 코팅들 및 층들 및 다른 이트륨-함유 옥시-플루오라이드 코팅들 및 층들은 불소-계 플라즈마들에 의한 침식 및 부식에 고도로 저항적이다. 부가적으로, M-O-F 코팅들은 일반적으로, 불소-계 플라즈마들에 의한 플루오르화에 저항적이다. 부가적으로, M-O-F 코팅들은 M(OH), 이를테면 Y(OH)3의 형성에 저항적일 수 있다. 더욱이, M-O-F 코팅들은, YF3가 챔버 컴포넌트들을 코팅하기 위해 사용되는 경우에 관찰되었던 에칭 레이트 감소들을 발생시키기 않는다. 이들 특성들의 결과로서, 본원에서 설명되는 바와 같은 Y-O-F 및 다른 M-O-F 코팅들 및 층들은, 프로세싱 챔버들에 대한 챔버 컴포넌트들 상에서 사용되는 경우에, 입자들의 상당한 감소를 제공하고, 또한, 에칭 레이트 균일성 및 챔버 간 균일성을 개선한다. 실시예들에서, "M-O-F"라는 명칭은 1-99 at.% M, 1-99 at.% O, 및 1-99 at.% F를 의미한다.
일부 실시예들에서, 금속 산화물 코팅은 대기압 플라즈마 스프레이(APPS), 저압 플라즈마 스프레이(LPPS), 서스펜션 플라즈마 스프레이(SPS), 이온 보조 증착(IAD), 화학 기상 증착(CVD), 원자 층 증착(ALD), 또는 다른 증착 기법을 통해 형성된다. 금속 산화물 코팅은 MxOy로서 표현될 수 있고, 여기서, M은 금속, 이를테면 Al 또는 희토류 금속이고, x 및 y는 양의 수치 값들(예컨대, 1 내지 9의 양의 정수들)이다. 일부 예들에서, 금속 산화물 코팅은 Al2O3 또는 희토류 산화물, 이를테면 Gd2O3, Yb2O3, Er2O3 또는 Y2O3일 수 있다. 금속 산화물 코팅은 또한, 더 복잡한 산화물들, 이를테면, Y3Al5O12(YAG), Y4Al2O9(YAM), Y2O3 안정화 ZrO2(YSZ), Er3Al5O12(EAG), Y2O3-ZrO2 고용체, 또는 Y2O3-ZrO2의 고용체와 Y4Al2O9를 포함하는 복합 세라믹일 수 있다. 금속 산화물 코팅의 적어도 표면은 시간 기간 동안 상승된 온도에서 불소 소스, 이를테면 HF, NF3, F2, NF3 플라즈마, F 라디칼들 등에 금속 산화물 코팅을 노출시킴으로써, M-O-F로 변환된다. 실시예들에서, 시간 기간은 약 0.1 내지 72 시간(예컨대, 약 1 내지 24 시간)일 수 있다.
얇은 고밀도 코팅들, 이를테면, IAD 및 ALD를 사용하여 증착된 코팅들은, 얇은 고밀도 코팅의 CTE와 상이한 열 팽창 계수(CTE)를 갖는 물건들 위에 증착되는 경우에, 크래킹에 취약하다. 두꺼운 그리고 다공성 플라즈마 스프레잉된 이트륨-계 코팅들과 달리, 얇은 고밀도 이트륨-계 코팅들은 인장 응력을 허용가능하지 않다. 인장 응력은 종종, 프로세싱 동안에, 고도의 반응성 종을 제공하는 얇은 고밀도 이트륨-계 코팅들에서의 크랙들을 통해 아래 놓인 코팅된 표면을 공격하기 위한 직접적인 통로를 발생시킨다. 예컨대, Y2O3는 대략 6 내지 8 ppm/K(또한, x10-6/℃, ppm/℃ 및 x10-6/K로 표현됨, 이들 모두는 동등함)의 CTE를 갖고, YF3는 대략 14 ppm/K의 CTE를 갖고, 알루미늄은 약 22 내지 25 ppm/K의 CTE를 갖는다. 알루미늄 물건과 Y2O3 또는 YF3 코팅들 사이의 CTE의 미스매치는 알루미늄 상의 YF3 및 Y2O3의 고밀도 코팅들이, CTE의 미스매치에 의해 야기되는 인장 응력으로 인해, (예컨대, 대략 250 내지 350 ℃의) 프로세스 온도들에서 크래킹되게 할 수 있다. 일부 경우들에서, 크래킹은 얇은 고밀도 이트륨-계 코팅의 증착 동안에 물건을 가열함으로써 완화될 수 있다. 그러나, 일부 증착 프로세스들, 이를테면 ALD는, 물건이 사용될 프로세스 온도들의 범위보다 더 낮을 수 있는 특정한 범위의 온도들에서 수행되어야 한다. 따라서, 이트륨-계 코팅에 대한 증착 온도를 증가시키는 것은 실현가능하지 않을 수 있다.
YF3는 Y2O3의 몰 부피보다 약 60 % 더 낮은 몰 부피를 갖는다. 예컨대, YF3는 36.384 cm3/mol의 몰 부피를 갖고, Y2O3는 약 22.5359 cm3/mol의 몰 부피를 갖는다. Y-O-F는 Y2O3 및 YF3의 몰 부피들 사이의 몰 부피를 갖는다. 결과로서, Y2O3가 YF3로 변환되는 경우에 최대 약 60 %의 볼륨 팽창이 존재하고, Y2O3가 Y-O-F로 변환되는 경우에 더 적은 볼륨 팽창이 존재한다. 실시예들에서, 위에서 논의된 바와 같이, 이트륨-계 코팅의 적어도 일부를 Y-O-F 코팅 또는 층으로 변환시키기 위해 플루오르화 프로세스가 이트륨-계 산화물 코팅에 대해 수행된다. Y2O3와 비교하여 더 큰 Y-O-F의 몰 부피로 인해, Y-O-F 코팅 또는 층으로의 이트륨-계 산화물 코팅의 변환은 실온에서 코팅에 압축 응력을 도입한다. 실온에서의 부가된 압축 응력은 (예컨대, 대략 250 내지 350 ℃의) 프로세스 온도들에서 더 적은 인장 응력으로 전환시킨다. 프로세스 온도들에서의 감소된 인장 응력은 얇은 고밀도 이트륨-계 코팅의 크래킹을 감소시킬 수 있거나 또는 제거할 수 있다.
일부 실시예들에서, YF3 또는 다른 이트륨-계 플루오라이드(예컨대, 이트륨 계 플루오라이드) 코팅은 이온 보조 증착(IAD), 원자 층 증착(ALD), CVD, 또는 다른 증착 기법을 통해 형성된다. YF3 코팅 또는 다른 이트륨-계 플루오라이드 코팅은, 시간 기간 동안 상승된 온도에서 산소 소스에 금속 산화물 코팅을 노출시킴으로써, Y-O-F 또는 M-O-F로 변환된다.
일부 실시예들에서, YF3 코팅 또는 다른 이트륨-계 플루오라이드 코팅은 YF3 또는 다른 이트륨-계 플루오라이드 코팅보다 더 낮은 CTE를 갖는 물건 상에 형성된다. 예컨대, YF3 또는 다른 이트륨-계 플루오라이드 코팅은 대략 4 ppm/K의 CTE를 갖는 그래파이트 물건 상에 형성될 수 있다. Y-O-F 코팅으로의 YF3 코팅(또는 M-O-F 코팅으로의 다른 이트륨-계 플루오라이드)의 변환(여기서, M은 Y와 다른 금속의 조합임)은 코팅의 몰 부피가 감소되게 할 수 있고, 이는 실온 및 프로세스 온도들에서 코팅 내의 압축 응력을 감소시킬 수 있다. 이는 CTE 미스매치에 의해 야기되는 열 사이클링 동안의 크래킹을 감소시킬 수 있다.
일부 실시예들에서, 기판에 대해 제조 프로세스를 수행하기 전에, 하나 또는 그 초과의 챔버 컴포넌트들 상의 금속 산화물 코팅의 표면에 얇은 M-O-F 층(예컨대, 얇은 Y-O-F 층 또는 얇은 Y-Z-O-F 층) 또는 얇은 금속 플루오라이드 층(예컨대, 얇은 YF3 층)을 형성하기 위해 인-시튜 플루오르화 프로세스가 수행된다. 예컨대, 인-시튜 플루오르화 프로세스는 플라즈마 에칭 프로세스 또는 플라즈마 세정 프로세스 전에 수행될 수 있다. 플루오르화 프로세스는 원격 플라즈마 소스로부터의 불소-계 플라즈마를 하나 또는 그 초과의 챔버 컴포넌트들을 포함하는 프로세싱 챔버 내로 도입하는 것을 포함할 수 있다. 불소-계 플라즈마는, 얇은 M-O-F 또는 금속 플루오라이드 층의 형성에 최적이고, 후속하여 수행될 제조 프로세스의 파라미터들과 상이한 프로세스 파라미터 값들을 사용하여 도입될 수 있다. 금속 산화물 코팅은 금속 산화물 코팅 위에 일시적인 M-O-F 층 또는 금속 플루오라이드 층을 형성하기 위해 불소-계 플라즈마와 반응된다. 이어서, 부식성 가스(예컨대, 불소-계 플라즈마 또는 환원 케미스트리, 이를테면 암모니아 계 케미스트리 또는 염소 계 케미스트리)를 활용하는 제조 프로세스가 기판에 대해 수행된다. 제조 프로세스는, 제조 프로세스에 따라, 일시적인 M-O-F 층 또는 금속 플루오라이드 층을 제거할 수 있거나, 또는 일시적인 M-O-F 층 또는 금속 플루오라이드 층을 증가시킬 수 있지만, 일시적인 M-O-F 층 또는 금속 플루오라이드 층은 부식성 가스로부터 금속 산화물 코팅을 보호한다.
다른 실시예에서, 인-시튜 플루오르화 프로세스는 불소-계 산성 용액(예컨대, HF 산성 용액 및/또는 NH4F 산성 용액)에 프로세싱 챔버의 하나 또는 그 초과의 챔버 컴포넌트들을 노출시키는 것을 포함할 수 있다. 예컨대, 화학 기계적 평탄화(CMP) 또는 습식 세정 벤치들을 위한 챔버들과 같은 비-진공 챔버들에 대해 플루오르화를 수행하기 위하여 불소-계 산성 용액이 사용될 수 있다. 불소-계 산성 용액은 얇은 M-O-F 또는 금속 플루오라이드 층의 형성에 최적인 프로세스 파라미터 값들을 사용하여 도입될 수 있다. 금속 산화물 코팅은 금속 산화물 코팅 위에 일시적인 M-O-F 층 또는 금속 플루오라이드 층을 형성하기 위해 불소-계 플라즈마와 반응된다. 이이서, 부식성 가스(예컨대, 불소-계 플라즈마 또는 환원 케미스트리, 이를테면 암모니아 계 케미스트리 또는 염소 계 케미스트리)를 활용하는 제조 프로세스가 기판에 대해 수행된다. 제조 프로세스는, 제조 프로세스에 따라, 일시적인 M-O-F 층 또는 금속 플루오라이드 층을 제거할 수 있거나, 또는 일시적인 M-O-F 층 또는 금속 플루오라이드 층을 증가시킬 수 있지만, 일시적인 M-O-F 층 또는 금속 플루오라이드 층은 부식성 가스로부터 금속 산화물 코팅을 보호한다.
일부 실시예들에서, 금속 산화물 코팅으로부터 일시적인 M-O-F 층 또는 금속 플루오라이드 층의 적어도 일부를 제거하기 위해 에치백 프로세스가 주기적으로 수행된다. 에치백은 M-O-F 층 또는 금속 플루오라이드 층이 임계 두께에 도달하지 않는 것을 보장하기 위해 사용될 수 있다. 임계 두께를 넘으면, M-O-F 층 또는 금속 플루오라이드 층은, M-O-F 또는 금속 플루오라이드로의 금속 산화물의 변환으로부터의 볼륨 팽창에 의해 야기되는 부가된 응력으로 인해, 입자들을 떨어뜨리기 시작할 수 있다. 그러나, 임계 두께 미만에서, 입자 부가물들이 완화 또는 방지될 수 있다.
"열 처리"라는 용어는, 이를테면 퍼니스에 의해, 세라믹 물건에 상승된 온도를 적용하는 것을 의미하기 위해 본원에서 사용된다. "플라즈마 저항성 재료"는 플라즈마 프로세싱 조건들에 대한 노출로 인한 침식 및 부식에 저항적인 재료를 지칭한다. 플라즈마 프로세싱 조건들은 할로겐 함유 가스들, 이를테면 특히, C2F6, SF6, SiCl4, HBR, NF3, CF4, CHF3, CH2F3, F, NF3, Cl2, CCl4, BCl3 및 SiF4, 및 다른 가스들, 이를테면 O2 또는 N2O로부터 생성되는 플라즈마를 포함한다. 플라즈마에 대한 재료의 저항은, 플라즈마에 대한 노출 및 코팅된 컴포넌트들의 동작의 지속기간의 전체에 걸쳐, 옹스트룸/분(Å/min)의 단위들을 가질 수 있는 "에칭 레이트(ER)"를 통해 측정된다. 플라즈마 저항은 또한, 나노미터/무선 주파수 시간(nm/RFHr)의 단위들을 갖는 침식 레이트를 통해 측정될 수 있고, 여기서, RFHr은 플라즈마 프로세싱 조건들에서의 프로세싱의 하나의 시간을 표현한다. 측정들은 상이한 프로세싱 시간들 후에 행해질 수 있다. 예컨대, 측정들은 프로세싱 전에, 50 프로세싱 시간 후에, 150 프로세싱 시간 후에, 200 프로세싱 시간 후에 등에 행해질 수 있다. 약 100 nm/RFHr보다 더 낮은 침식 레이트가 플라즈마 저항성 코팅 재료에 대해 전형적이다. 단일 플라즈마 저항성 재료는 다수의 상이한 플라즈마 저항 또는 침식 레이트 값들을 가질 수 있다. 예컨대, 플라즈마 저항성 재료는 제1 타입의 플라즈마와 연관된 제1 플라즈마 저항 또는 침식 레이트, 및 제2 타입의 플라즈마와 연관된 제2 플라즈마 저항 또는 침식 레이트를 가질 수 있다.
"약" 및 "대략"이라는 용어들이 본원에서 사용되는 경우에, 이들은 제시되는 공칭 값이
Figure pat00001
10 % 내에서 정확하다는 것을 의미하도록 의도된다. 일부 실시예들은 반도체 제조를 위한 플라즈마 에칭기들에 설치된 챔버 컴포넌트들 및 다른 물건들을 참조하여 본원에서 설명된다. 그러나, 그러한 플라즈마 에칭기들이 또한, MEMS(micro-electro-mechanical systems) 디바이스들을 제조하기 위해 사용될 수 있다는 것이 이해되어야 한다. 부가적으로, 본원에서 설명되는 물건들은 플라즈마 또는 다른 부식성 환경들에 노출되는 다른 구조들일 수 있다. 본원에서 논의되는 물건들은 반도체 프로세싱 챔버들과 같은 프로세싱 챔버들에 대한 챔버 컴포넌트들일 수 있다. 예컨대, 물건들은 플라즈마 에칭기, 플라즈마 세정기, 플라즈마 추진 시스템, 또는 다른 프로세싱 챔버들에 대한 챔버 컴포넌트들일 수 있다. 본 발명의 실시예들로부터 이익을 얻을 수 있는 챔버 컴포넌트들의 예들은 기판 지지 조립체, 정전 척(ESC), 링(예컨대, 프로세스 키트 링 또는 단일 링), 챔버 벽, 베이스, 가스 분배 플레이트, 페이스 플레이트, 샤워헤드, 노즐, 덮개, 라이너, 라이너 키트, 실드, 플라즈마 스크린, 유동 등화기, 냉각 베이스, 챔버 뷰포트, 챔버 덮개 등을 포함한다.
더욱이, 실시예들은, 플라즈마 풍부 프로세스들을 위한 프로세스 챔버에서 사용되는 경우에 임자 오염을 감소시키는 M-O-F 층들 및 코팅들을 참조하여 본원에서 설명된다. 그러나, 본원에서 논의되는 M-O-F 층들 및 코팅들이 또한, 다른 프로세스들을 위한 프로세스 챔버들, 이를테면, 비-플라즈마 에칭기들, 비-플라즈마 세정기들, 화학 기상 증착(CVD) 챔버들, 물리 기상 증착(PVD) 챔버들, 플라즈마 강화 화학 기상 증착(PECVD) 챔버들, 플라즈마 강화 물리 기상 증착(PEPVD) 챔버들, 플라즈마 강화 원자 층 증착(PEALD) 챔버들 등에서 사용되는 경우에 임자 오염의 감소를 제공할 수 있다는 것이 이해되어야 한다. 부가적으로, M-O-F 층들 및 코팅들의 형성에 대하여 본원에서 논의되는 기법들은 또한, 프로세싱 챔버들에 대한 챔버 컴포넌트들 이외의 물건들에 적용가능하다.
더욱이, 실시예들은 금속 플루오라이드 코팅들(예컨대, 이트륨-계 플루오라이드 코팅들) 및 금속 산화물 코팅들(또는 그러한 코팅들의 부분들)을 Y-O-F 층들 및 다른 M-O-F 층들로 변환시키는 것을 참조하여 본원에서 설명된다. 그러나, 실시예들이 또한, M-O-F로의 벌크 금속 산화물들의 표면들의 변환에 적용된다는 것이 이해되어야 한다. 예컨대, 소결된 Y2O3 세라믹 물건의 표면은 아래의 도 3a 및 도 5를 참조하여 설명되는 프로세스들에 의해 Y-O-F로 변환될 수 있다.
더욱이, 일부 실시예들은 이트륨 계 산화물들 및/또는 이트륨 계 플루오라이드들을 참조하여 논의된다. 에르븀이 이트륨과 완전히 섞일 수 있다. 따라서, 이들 실시예들이 에르븀으로 임의의 양의 이트륨을 대체함으로써 유사한 결과들을 갖도록 변형될 수 있다는 것이 이해되어야 한다. 따라서, 이트륨 계 플루오라이드들, 이트륨 계 산화물들, 및 이트륨 계 옥시-플루오라이드들에 대하여 본원에서 논의되는 실시예들 중 임의의 실시예에서, 이트륨이 에르븀으로 치환될 수 있다. 실시예들에서, 이트륨의 일부가 에르븀으로 치환될 수 있거나, 또는 모든 이트륨이 에르븀으로 치환될 수 있다. 따라서, 본원에서 논의되는 실시예들 중 임의의 실시예는 기재된 이르튬의 0 % 내지 100 %를 에르븀으로 대체할 수 있다. 예에서, Y2O3가 아니라, 코팅은 대신에, 1 내지 99 mol% Y2O3 및 1 내지 99 mol% Er2O3의 혼합물일 수 있다. 이어서, 결과적인 금속 옥시-플루오라이드는 Y-Er-O-F일 수 있고, 여기서, Y 대 Er의 비율은 1:99 내지 99:1이다.
도 1은 본 발명의 실시예들에 따른, M-O-F 층 또는 코팅을 포함하는 하나 또는 그 초과의 챔버 컴포넌트들을 갖는 프로세싱 챔버(100)(예컨대, 반도체 프로세싱 챔버)의 단면도이다. 프로세싱 챔버(100)는 부식성 환경이 제공되는 프로세스들을 위해 사용될 수 있다. 예컨대, 프로세싱 챔버(100)는 플라즈마 에칭 반응기(플라즈마 에칭기라고 또한 알려져 있음), 플라즈마 세정기 등을 위한 챔버일 수 있다. M-O-F 층 또는 코팅을 포함할 수 있는 챔버 컴포넌트들의 예들은 기판 지지 조립체(148), 정전 척(ESC), 링(예컨대, 프로세스 키트 링 또는 단일 링), 챔버 벽, 베이스, 샤워헤드(130), 가스 분배 플레이트, 라이너, 라이너 키트, 실드, 플라즈마 스크린, 유동 등화기, 냉각 베이스, 챔버 뷰포트, 챔버 덮개, 노즐, 프로세스 키트 링들 등이다.
일 실시예에서, 프로세싱 챔버(100)는 챔버 바디(102) 및 샤워헤드(130)를 포함하고, 그 챔버 바디(102) 및 샤워헤드(130)는 내부 볼륨(106)을 에워싼다. 샤워헤드(130)는 가스 분배 플레이트를 포함할 수 있거나 또는 포함하지 않을 수 있다. 예컨대, 샤워헤드는 다중-피스 샤워헤드일 수 있고, 그 다중-피스 샤워헤드는 샤워헤드 베이스, 및 샤워헤드 베이스에 본딩된 샤워헤드 가스 분배 플레이트를 포함한다. 대안적으로, 샤워헤드(130)는 일부 실시예들에서 노즐 및 덮개로 대체될 수 있거나, 또는 다른 실시예들에서 다수의 파이 형상 샤워헤드 격실들 및 플라즈마 생성 유닛들로 대체될 수 있다. 챔버 바디(102)는 알루미늄, 스테인리스 강, 또는 다른 적합한 재료로 제작될 수 있다. 챔버 바디(102)는 일반적으로, 측벽들(108) 및 하단(110)을 포함한다.
외측 라이너(116)가 챔버 바디(102)를 보호하기 위해 측벽들(108)에 인접하게 배치될 수 있다. 외측 라이너(116)는 할로겐-함유 가스 저항 재료, 이를테면 Al2O3 또는 Y2O3일 수 있다.
배기 포트(126)가 챔버 바디(102)에 정의될 수 있고, 펌프 시스템(128)에 내부 볼륨(106)을 커플링시킬 수 있다. 펌프 시스템(128)은 프로세싱 챔버(100)의 내부 볼륨(106)의 압력을 조절하고 진공배기시키는 데 활용되는 하나 또는 그 초과의 펌프들 및 스로틀 밸브들을 포함할 수 있다.
샤워헤드(130)는 챔버 바디(102)의 측벽들(108) 상에 그리고/또는 챔버 바디의 상단 부분 상에 지지될 수 있다. 샤워헤드(130)(또는 덮개)는 프로세싱 챔버(100)의 내부 볼륨(106)으로의 접근을 허용하기 위해 개방될 수 있고, 폐쇄되는 경우에 프로세싱 챔버(100)에 대한 밀봉을 제공할 수 있다. 가스 패널(158)이 샤워헤드(130) 또는 덮개 및 노즐을 통해 내부 볼륨(106)에 프로세스 및/또는 세정 가스들을 제공하기 위해 프로세싱 챔버(100)에 커플링될 수 있다. 샤워헤드(130)는 유전체 에칭(유전체 재료들의 에칭)을 위해 사용되는 프로세싱 챔버들에 대해 사용될 수 있다. 샤워헤드(130)는 샤워헤드(130)의 전체에 걸쳐 다수의 가스 전달 홀들(132)을 포함한다. 샤워헤드(130)는 알루미늄, 양극산화 알루미늄, 알루미늄 합금(예컨대, Al 6061), 또는 양극산화 알루미늄 합금일 수 있다. 일부 실시예들에서, 샤워헤드는 샤워헤드에 본딩된 가스 분배 플레이트(GDP)를 포함한다. 예컨대, GDP는 Si 또는 SiC일 수 있다. 부가적으로, GDP는 샤워헤드 내의 홀들과 정렬된 다수의 홀들을 포함할 수 있다.
프로세싱 챔버(100)에서 기판들을 프로세싱하기 위해 사용될 수 있는 프로세싱 가스들의 예들은 할로겐-함유 가스들, 이를테면 특히, C2F6, SF6, SiCl4, HBr, NF3, CF4, CHF3, CH2F3, F, Cl2, CCl4, BCl3 및 SiF4, 다른 가스들, 이를테면 O2 또는 N2O를 포함한다. 캐리어 가스들의 에들은 N2, He, Ar, 및 프로세스 가스들에 대해 비활성인 다른 가스들(예컨대, 비-반응성 가스들)을 포함한다.
기판 지지 조립체(148)가 샤워헤드(130) 아래에서 프로세싱 챔버(100)의 내부 볼륨(106)에 배치된다. 기판 지지 조립체(148)는 프로세싱 동안에 기판(144)(예컨대, 웨이퍼)을 홀딩한다. 기판 지지 조립체(148)는 프로세싱 동안에 기판(144)을 고정시키는 정전 척, 정전 척에 본딩된 금속 냉각 플레이트, 및/또는 하나 또는 그 초과의 부가적인 컴포넌트들을 포함할 수 있다. 내측 라이너(미도시)가 기판 지지 조립체(148)의 주변부를 덮을 수 있다. 내측 라이너는 할로겐-함유 가스 저항 재료, 이를테면 Al2O3 또는 Y2O3일 수 있다.
샤워헤드(130)(또는 덮개 및/또는 노즐), 측벽들(108), 하단(110), 기판 지지 조립체(148), 외측 라이너(116), 내측 라이너(미도시), 또는 다른 챔버 컴포넌트 중 임의의 것은, 실시예들에 따라, M-O-F 코팅, 또는 금속 산화물 코팅 상에 M-O-F 층을 갖는 금속 산화물 코팅을 포함할 수 있다. 예컨대, 도시된 바와 같이, 샤워헤드(130)는 M-O-F 코팅(152)을 포함한다. 일부 실시예들에서, M-O-F 층은 기판(144)에 대해 다른 프로세스를 수행하기 전에 인-시튜 플루오르화 프로세스를 사용하여 일시적으로 형성된다. 일부 실시예들에서, M-O-F 코팅(152)은 Y-O-F 코팅이다. Y-O-F 코팅은 단일 Y-O-F 상 또는 다수의 상이한 Y-O-F 상들을 가질 수 있다. Y-O-F 코팅이 가질 수 있는 일부 가능한 Y-O-F 상들은 YOF ht, YOF rt, YOF tet, Y2OF4(예컨대, Y2OF4 ht-hp), Y3O2F5(예컨대, Y3O2F5 ht-hp), YO0.4F22(예컨대, YO0.4F22ht-hp), Y5O4F7, Y6O5F8, Y7O6F9, 및 Y17O14F23이다. 일부 실시예들에서, M-O-F 코팅은 Y-Zr-O-F 코팅이다.
도 2는 본 발명의 실시예들에 따른 제조 시스템(200)의 예시적인 아키텍처를 예시한다. 제조 시스템(200)은 세라믹 제조 시스템일 수 있다. 일 실시예에서, 제조 시스템(200)은 장비 자동화 계층(215)에 연결된 프로세싱 장비(201)를 포함한다. 프로세싱 장비(201)는 퍼니스(202), 습식 세정기(203), 플라즈마 스프레잉 시스템(204), 원자 층 증착(ALD) 시스템(205), IAD 시스템(206), 플라즈마 에칭 반응기(207), 비드 블라스터(미도시), CVD 시스템(미도시), 플라즈마 세정기(208), 및/또는 불소-계 플라즈마를 사용하는 다른 프로세싱 챔버를 포함할 수 있다. 제조 시스템(200)은 장비 자동화 계층(215)에 연결된 하나 또는 그 초과의 컴퓨팅 디바이스(220)를 더 포함할 수 있다. 대안적인 실시예들에서, 제조 시스템(200)은 더 많거나 또는 더 적은 컴포넌트들을 포함할 수 있다. 예컨대, 제조 시스템(200)은, 장비 자동화 계층(215) 또는 컴퓨팅 디바이스(220) 없이, 수동적으로 동작되는(예컨대, 오프-라인) 프로세싱 장비(201)를 포함할 수 있다.
퍼니스(202)는 물건들, 이를테면 세라믹 물건들을 가열하도록 설계된 머신이다. 퍼니스(202)는 퍼니스(202)에 삽입된 물건들(예컨대, 세라믹 물건들) 상에 제어되는 온도를 적용할 수 있는 열적으로 단열된 챔버 또는 오븐을 포함한다. 일 실시예에서, 챔버는 밀폐하여 밀봉된다. 퍼니스(202)는, 챔버 밖으로 공기를 펌핑하고 그에 따라 챔버 내에 진공을 생성하기 위한 펌프를 포함할 수 있다. 퍼니스(202)는 부가적으로 또는 대안적으로, 챔버 내로 가스들(예컨대, 비활성 가스들, 이를테면 Ar 또는 N2 및/또는 반응성 가스들, 이를테면 불화 수소(HF))을 펌핑하기 위한 가스 유입구를 포함할 수 있다. 실시예들에서, 퍼니스(202)는 HF 가열 처리 프로세스를 수행하기 위해 사용될 수 있다.
습식 세정기(203)는 배스 및 가열 엘리먼트를 포함하는 장치이다. 습식 세정기(203)는 습식 세정 프로세스를 사용하여 물건들(예컨대, 챔버 컴포넌트들)을 세정할 수 있다. 습식 세정기(203)는 HF 산성 용액 또는 다른 불소-계 산성 용액(예컨대, 이를테면, 플루오르안티몬산, 암모늄 플루오라이드(및/또는 NH4F), 및/또는 설푸로플루오리딕 산(sulfurofluoridic 산성)을 함유하는 산성 용액)으로 충진된 습윤 배스를 포함한다. 금속 산화물 코팅을 갖는 챔버 컴포넌트가, 금속 산화물의 적어도 일부를 M-O-F로 변환시키기 위해, 약 0 ℃ 내지 100 ℃(또는 약 실온 내지 약 100 ℃)의 온도로 HF 산성 용액(또는 다른 불소-계 산성 용액)에 침지될 수 있다. 일부 실시예들에서, HF 산성 용액(또는 다른 불소-계 산성 용액)은 물건으로부터 표면 오염물들을 제거할 수 있고, 그리고/또는 금속 산화물 코팅의 표면으로부터 M(OH) 층 산화물을 제거할 수 있다. 일 실시예에서, 대략 0.05 내지 50 vol% HF 및 50 내지 95 vol% 물을 함유하는 산성 용액이 사용된다. 일 실시예에서, 약 0.05 내지 1.0(또는 0.05 내지 0.1) vol% HF, 99.5 내지 99.95 vol.%, 및 완충제로서의 일정 양의 암모늄 플루오라이드를 함유하는 산성 용액이 사용된다.
플라즈마 스프레잉 시스템(204)은 물건의 표면에 세라믹 코팅을 플라즈마 스프레잉하도록 구성된 머신이다. 플라즈마 스프레잉 시스템(204)은 저압 플라즈마 스프레잉(LPPS) 시스템 또는 대기압 플라즈마 스프레잉(APPS) 시스템일 수 있다. LPPS 시스템들 및 APPS 시스템들 둘 모두는 다공성 저 밀도 플라즈마 저항성 층(예컨대, 다-층 플라즈마 저항성 코팅을 위한 제2 플라즈마 저항성 층)을 증착하기 위해 사용될 수 있다. LPPS 시스템은 감소된 압력(예컨대, 1 Mbar, 10 Mbar, 35 Mbar 등의 진공)에 이르기까지 펌핑될 수 있는 진공 챔버를 포함하는 한편, APPS 시스템은 어떠한 진공 챔버도 포함하지 않고, 대신에 개방 챔버 또는 룸을 포함할 수 있다.
플라즈마 스프레잉 시스템(204)에서, 2개의 전극들 사이에 아크(arc)가 형성되고, 그 아크를 통해 가스가 유동하고 있다. 가스가 아크에 의해 가열됨에 따라, 가스가 팽창되고, 플라즈마 토치의 형상화된 노즐을 통해 가속되어, 고 속도 플라즈마 제트가 생성된다. 세라믹 및/또는 금속 재료로 구성된 파우더가 파우더 전달 시스템에 의해 플라즈마 제트 내에 주입된다. 플라즈마 제트의 극심한 온도가 파우더를 용융시키고, 용융된 세라믹 및/또는 금속 재료를 물건 쪽으로 추진시킨다. 물건과 충돌할 시에, 용융된 파우더는 평평하게 되고, 신속하게 응고되고, 물건에 접착되는 세라믹 코팅의 층을 형성한다. 플라즈마 스프레잉된 층의 두께, 밀도, 및 거칠기에 영향을 미치는 파라미터들은 파우더의 타입, 파우더 사이즈 분포, 파우더 피드 레이트, 플라즈마 가스 조성, 가스 유량, 에너지 입력, 압력, 및 토치 오프셋 거리를 포함한다. 대안적으로, 서스펜션 플라즈마 스프레이(SPS)가 수행될 수 있고, 파우더가 플라즈마 제트 내에 주입되기 전에 액체 서스펜션 내에 분산될 수 있다. 실시예들에서, 플라즈마 스프레잉된 층은 약 2 내지 5 %의 다공도를 가질 수 있다. 다공도는 재료 내의 공극(예컨대, 빈 공간)의 측정이고, 총 볼륨 또는 재료에 대한 공극들의 볼륨의 프랙션(fraction)이다.
ALD 시스템(205)은 물건 상에 얇은 고밀도 등각 층을 형성하기 위해 원자 층 증착을 수행하는 시스템이다. ALD는 물건의 표면과의 화학 반응들을 통한 재료의 제어되는 자기-제한적 증착을 가능하게 한다. 등각 프로세스인 것 이외에, ALD는 또한 균일한 프로세스이다. 고 종횡비 피처들(예컨대, 약 10:1 내지 약 300:1)을 포함하는 물건의 모든 노출된 면들은 동일한 또는 대략 동일한 양의 증착된 재료를 가질 것이다. ALD 프로세스의 전형적인 반응 사이클은, 제1 반 반응에서, 전구체(즉, 단일 케미컬(A))이 ALD 챔버 내에 플러딩(flood)되고, 물건의 표면 상에 흡착되는 것에 의해 시작된다. 이어서, 제2 반 반응을 위해 ALD 챔버 내에 반응물(즉, 단일 케미컬(R))이 도입되고 후속하여 플러싱(flush)되기 전에, 과도한 전구체가 ALD 챔버 밖으로 플러싱된다. 일부 실시예들에서, 이러한 프로세스는 최대 약 1 미크론의 두께를 갖는 ALD 층을 구축하기 위해 반복될 수 있다.
물건들 상에 코팅들을 증착하기 위해 전형적으로 사용되는 다른 기법들, 이를테면 플라즈마 스프레이 코팅 및 이온 보조 증착과 달리, ALD 기법은 고 종횡비 피처들 내에(즉, 피처들의 표면들 상에) 재료의 층을 증착할 수 있다. 부가적으로, ALD 기법은 무-다공도(즉, 무 핀-홀)인 비교적 얇은(즉, 1 μm 또는 그 미만, 또는 일부 경우들에서는 10 μm 또는 그 미만) 코팅들을 생성한다. 본원에서 사용되는 바와 같은 "무-다공도"라는 용어는 투과 전자 현미경(TEM)에 의해 측정하는 경우에 코팅의 전체 깊이를 따라 임의의 세공들, 핀-홀들, 또는 공극들이 없는 것을 의미한다.
플라즈마 저항성 층을 형성하기 위해 ALD 시스템(205)에 의해 사용되는 전구체들은 형성되는 플라즈마 저항성 층에 따라 좌우된다. 일부 실시예들에서, 플라즈마 저항성 층은 Al2O3이고, 그리고 알루미늄 전구체, 이를테면, 디에틸알루미늄 에톡시드, 트리스(에틸메틸아미도)알루미늄, 알루미늄 sec-부톡시드, 알루미늄 삼브롬화물, 알루미늄 삼염화물, 트리에틸알루미늄, 트리이소부틸알루미늄, 트리메틸알루미늄, 또는 트리스(디에틸아미도)알루미늄으로 형성된다. 일부 실시예들에서, 플라즈마 저항성 층은 Y2O3 또는 YF3이고, 그리고 이트륨 전구체, 이를테면, 트리스(N,N-비스(트리메틸실릴)아미드)이트륨(III), 트리스(2,2,6,6-테트라메틸-3,5-헵탄디오나토)이트륨(III), 또는 이트륨(III)부톡시드로 형성된다. 일부 실시예들에서, 플라즈마 저항성 층은 Er2O3이고, 그리고 에르븀 전구체, 이를테면, 트리스-메틸시클로펜타디에닐 에르븀(III)(Er(MeCp)3), 에르븀 보란아미드(Er(BA)3), Er(TMHD)3, 에르븀(III)트리스(2,2,6,6-테트라메틸-3,5-헵탄디오네이트), 및 트리스(부틸시클로펜타디에닐)에르븀(III)으로 형성된다.
플라즈마 저항성 층을 형성하기 위해 ALD 시스템(205)에 의해 사용되는 반응물들은 산소, 수증기, 오존, 순수 산소, 산소 라디칼들, 또는 증착되는 플라즈마 저항성 층이 산화물인 경우 다른 산소 소스일 수 있다. YF3 플라즈마 저항성 층이 형성될 경우에, 반응물들은 플루오라이드(예컨대, TiF4)일 수 있다.
CVD 시스템은 화학 기상 증착(CVD)을 수행한다. CVD는, 층을 형성하기 위해(예컨대, YF3 층 또는 Y2O3 층을 형성하기 위해) 물건과 반응하고 그리고/또는 물건 상에 분해되는 하나 또는 그 초과의 휘발성 전구체들에 물건이 노출되는 화학 프로세스이다.
EB-IAD 시스템(206)은 전자 빔 이온 보조 증착을 수행하는 시스템이다. 대안적으로, 실시예들에서, 다른 타입들의 IAD 시스템들, 이를테면, 활성화 반응성 증발 이온 보조 증착(ARE-IAD) 또는 이온 빔 스퍼터링 이온 보조 증착(IBS-IAD)이 사용될 수 있다. EB-IAD는 증발에 의해 수행될 수 있다. IBS-IAD는 솔리드(solid) 타겟 재료(예컨대, 솔리드 금속 타겟)을 스퍼터링함으로써 수행될 수 있다. IAD 방법들 중 임의의 방법은 반응성 가스 종, 이를테면 O2, N2, 할로겐들 등의 존재 시에 수행될 수 있다.
다양한 타입들의 IAD에 대해, 에너제틱 입자들, 이를테면 이온들의 존재 시에 증착 재료들의 축적에 의해 박막 플라즈마 저항성 층이 형성된다. 증착 재료들은 원자들, 이온들, 라디칼들, 또는 이들의 혼합물을 포함한다. 에너제틱 입자들은, 박막 플라즈마 저항성 층이 형성될 때, 박막 플라즈마 저항성 층에 충돌할 수 있고, 박막 플라즈마 저항성 층을 콤팩팅(compact)할 수 있다.
IAD에 대해, 재료 소스는 증착 재료들의 플럭스를 제공하는 한편, 에너제틱 입자 소스는 에너제틱 입자들의 플럭스를 제공하며, 이들 둘 모두는 IAD 프로세스의 전체에 걸쳐 물건 상에 충돌한다. 에너제틱 입자 소스는 산소 또는 다른 이온 소스일 수 있다. 에너제틱 입자 소스는 또한, 입자 생성 소스들로부터(예컨대, 플라즈마, 반응성 가스들, 또는 증착 재료들을 제공하는 재료 소스로부터) 유래하는 다른 타입들의 에너제틱 입자들, 이를테면, 라디칼들, 원자들, 이온들, 및 나노-사이즈 입자들을 제공할 수 있다. 증착 재료들을 제공하기 위해 사용되는 재료 소스(예컨대, 타겟 바디)는 플라즈마 저항성 층을 구성할 세라믹과 동일한 세라믹에 대응하는 벌크 소결된 세라믹일 수 있다.
IAD는 재료 및 에너제틱 이온 소스들을 제공하기 위해 하나 또는 그 초과의 플라즈마들 또는 빔들을 활용할 수 있다. 플라즈마 저항성 코팅의 증착 동안에 반응성 종이 또한 제공될 수 있다. IAD 프로세스들에서, 에너제틱 입자들은 다른 증착 파라미터들과 독립적으로 에너제틱 이온(또는 다른 입자) 소스에 의해 제어될 수 있다. 에너제틱 이온 플럭스의 에너지(예컨대, 속도), 밀도, 및 입사각은 플라즈마 저항성 층의 타겟 조성, 구조, 결정 배향, 및 그레인 사이즈를 달성하기 위해 선택될 수 있다. 조정될 수 있는 부가적인 파라미터들은 증착 동안의 물건의 온도뿐만 아니라 증착의 지속기간이다. EB-IAD 및 IBS-IAD 증착들은 광범위한 표면 조건들 상에서 실현가능하다. 그러나, 폴리싱된 표면들 상에 수행되는 IAD는 증가된 파괴 전압들을 달성할 수 있다.
플라즈마 에칭 반응기(207)는 에칭 프로세스들을 수행하기 위해 플라즈마들을 사용하는 프로세싱 챔버이다. 플라즈마 세정기(208)는 세정 프로세스들을 수행하기 위해 플라즈마들을 사용하는 프로세싱 챔버이다. 실시예들에서, 플라즈마 에칭 반응기(207) 및/또는 플라즈마 에칭 세정기(208)는 도 1의 프로세싱 챔버(100)에 대응할 수 있다.
장비 자동화 계층(215)은 제조 머신들(201)의 일부 또는 전부를 컴퓨팅 디바이스(220), 다른 제조 머신들, 계측 툴들, 및/또는 다른 디바이스들과 상호연결할 수 있다. 장비 자동화 계층(215)은 네트워크(예컨대, 위치 영역 네트워크(LAN)), 라우터들, 게이트웨이들, 서버들, 데이터 저장부들 등을 포함할 수 있다. 제조 머신들(201)은 SECS/GEM(SEMI Equipment Communications Standard/Generic Equipment Model) 인터페이스, 이더넷 인터페이스, 및/또는 다른 인터페이스들을 통해 장비 자동화 층(215)에 연결될 수 있다. 일 실시예에서, 장비 자동화 층(215)은 프로세스 데이터(예컨대, 프로세스 실행 동안에 제조 머신들(201)에 의해 수집된 데이터)가 데이터 저장부(미도시)에 저장될 수 있게 한다. 대안적인 실시예에서, 컴퓨팅 디바이스(220)는 제조 머신들(201) 중 하나 또는 그 초과에 직접적으로 연결된다.
일 실시예에서, 일부 또는 모든 제조 머신들(201)은 프로세스 레시피들을 로딩, 저장, 및 실행할 수 있는 프로그래머블 제어기를 포함한다. 프로그래머블 제어기는 제조 머신들(201)의 온도 세팅들, 가스 및/또는 진공 세팅들, 시간 세팅들 등을 제어할 수 있다. 프로그래머블 제어기는 메인 메모리(예컨대, 판독-전용 메모리(ROM), 플래시 메모리, 동적 랜덤 액세스 메모리(DRAM), 정적 랜덤 액세스 메모리(SRAM) 등), 및/또는 이차 메모리(예컨대, 데이터 저장 디바이스, 이를테면 디스크 드라이브)를 포함할 수 있다. 메인 메모리 및/또는 이차 메모리는 본원에서 설명되는 열 처리 프로세스들을 수행하기 위한 명령들을 저장할 수 있다.
프로그래머블 제어기는 또한, 명령들을 실행하기 위해 메인 메모리 및/또는 이차 메모리에 (예컨대, 버스를 통해) 커플링된 프로세싱 디바이스를 포함할 수 있다. 프로세싱 디바이스는 범용 프로세싱 디바이스, 이를테면 마이크로프로세서, 중앙 프로세싱 유닛 등일 수 있다. 프로세싱 디바이스는 또한, 특수-목적 프로세싱 디바이스, 이를테면, 주문형 집적 회로(ASIC), 필드 프로그래머블 게이트 어레이(FPGA), 디지털 신호 프로세서(DSP), 네트워크 프로세서 등일 수 있다. 일 실시예에서, 프로그래머블 제어기는 프로그래머블 로직 제어기(PLC)이다.
일 실시예에서, 제조 머신들(201)은, 제조 머신들로 하여금 물건의 열 처리, 물건의 코팅 등을 행하게 할 레시피들을 실행하도록 프로그래밍된다. 일 실시예에서, 제조 머신들(201)은, 도 3a, 도 4a, 및 도 6a를 참조하여 설명되는 바와 같은, 물건을 제조하기 위한 또는 코팅하기 위한 다-단계 프로세스의 동작들을 수행하는 프로세스 레시피들(225)을 실행하도록 프로그래밍된다. 일 실시예에서, 제조 머신들(201) 중 하나 또는 그 초과는, 도 5를 참조하여 설명되는 바와 같이, 기판을 프로세싱하기 위해 불소-계 플라즈마를 사용하는 프로세스 레시피를 수행하기 전에, 챔버 컴포넌트들을 보호하기 위한 인-시튜 플루오르화 프로세스에 대한 프로세스 레시피를 실행하도록 프로그래밍된다. 컴퓨팅 디바이스(220)는, 제조 머신들(201)로 하여금 본 발명의 실시예들에 따라 물건들을 제조하게 하기 위해 제조 머신들(201)로 다운로드될 수 있는 하나 또는 그 초과의 프로세스 레시피들(225)을 저장할 수 있다.
도 3a는 실시예에 따른, Y2O3 코팅 또는 다른 이트륨-계 산화물 코팅의 적어도 표면을 Y-O-F 층 또는 다른 금속 옥시-플루오라이드 층 또는 코팅으로 변환시키기 위한 프로세스(300)를 예시한다. 대안적으로, 프로세스(300)는 Y2O3 또는 다른 금속 산화물의 소결된 세라믹 물건의 표면에 Y-O-F 층 또는 다른 금속 옥시-플루오라이드 층을 형성하기 위해 수행될 수 있다. 프로세스(300)가 또한, 다른 금속 산화물 코팅들로부터의 M-O-F 층의 형성에 적용되기 위해 변형될 수 있다는 것이 유의된다. 실시예들에서 사용될 수 있는 다른 금속 산화물들의 일부 예들은 Al2O3, Er2O3, Y2O3 안정화 ZrO2(YSZ), Er3Al5O12(EAG), Y2O3-ZrO2의 고용체, 및 Y2O3-ZrO2의 고용체와 Y4Al2O9를 포함하는 복합 세라믹을 포함한다. 일 실시예에서, 이트륨-계 산화물 코팅은 Y2O3와 다른 산화물 산화물, 이를테면 ZrO2 및/또는 Al2O3의 교번 층들의 스택을 포함한다. 일부 실시예들에서, Y2O3 층들은 Al2O3 층들보다 실질적으로 더 두꺼울 수 있다(예컨대, Al2O3 층들보다 5배 내지 10배 더 두꺼울 수 있다). 예컨대, Y2O3 층들 및 다른 산화물 층들이 ALD를 사용하여 형성되는 경우에, Y2O3 층들은 8 내지 10 ALD 증착 사이클을 적용함으로써 형성될 수 있고, 부가적인 산화물 층들은 1 내지 2 ALD 증착 사이클을 적용함으로써 형성될 수 있으며, 여기서, 각각의 ALD 증착 사이클은 대략 1 단분자층을 생성한다.
일 실시예에서, 금속 산화물 코팅은 이트리아와 지르코니아(Y2O3-ZrO2)의 고용체를 포함하거나 또는 그 고용체로 구성된 코팅이다. 일 실시예에서, Y2O3-ZrO2의 고용체는 20 내지 80 mol% Y2O3 및 20 내지 80 mol% ZrO2를 포함할 수 있다. 추가적인 실시예에서, Y2O3-ZrO2의 고용체는 30 내지 70 mol% Y2O3 및 30 내지 70 mol% ZrO2를 포함한다. 추가적인 실시예에서, Y2O3-ZrO2의 고용체는 40 내지 60 mol% Y2O3 및 40 내지 60 mol% ZrO2를 포함한다. 추가적인 실시예에서, Y2O3-ZrO2의 고용체는 50 내지 80 mol% Y2O3 및 20 내지 50 mol% ZrO2를 포함한다. 추가적인 실시예에서, Y2O3-ZrO2의 고용체는 60 내지 70 mol% Y2O3 및 30 내지 40 mol% ZrO2를 포함한다. 다른 예들에서, Y2O3-ZrO2의 고용체는 45 내지 85 mol% Y2O3 및 15 내지 60 mol% ZrO2, 55 내지 75 mol% Y2O3 및 25 내지 45 mol% ZrO2, 58 내지 62 mol% Y2O3 및 38 내지 42 mol% ZrO2, 및 68 내지 72 mol% Y2O3 및 28 내지 32 mol% ZrO2를 포함할 수 있다.
전술된 금속 산화물 코팅들 중 임의의 것은 조합되어 코팅의 최대 약 2 mol%를 포함하는 하나 또는 그 초과의 도펀트들을 함유할 수 있다. 그러한 도펀트들은 란탄 계열로부터의 희토류 산화물들, 이를테면, Er(에르븀), Ce(세륨), Gd(가돌리늄), Yb(이테르븀), Lu(루테튬) 등일 수 있다. 그러한 도펀트들은 부가적으로 또는 대안적으로, Al(알루미늄) 및/또는 Si(실리콘)를 포함할 수 있다.
형성되는 M-O-F 층은 사용되는 특정 금속 산화물 코팅에 따라 좌우될 것이다. 프로세스(300)는 이트륨-계 산화물 코팅들(예컨대, Y2O3) 및 Y-O-F를 참조하여 설명된다. 그러나, 프로세스(300)가 다른 금속 산화물 코팅들 상의 다른 M-O-F 층들의 형성에 동일하게 적용될 수 있다는 것이 이해되어야 한다.
프로세스(300)의 블록(305)에서, Y2O3 코팅 또는 다른 이트륨-계 산화물 코팅이 제1 프로세싱 챔버에 대한 챔버 컴포넌트의 표면 상에 증착된다. 이트륨-계 산화물 코팅은 본원에서 설명되는 증착 기법들 중 임의의 증착 기법, 이를테면 플라즈마 스프레잉, ALD, IAD 등을 사용하여 증착될 수 있다. APPS가 수행되는 경우에, 이트륨-계 산화물 코팅은 약 100 내지 300 미크론의 두께를 가질 수 있고, 약 2 내지 5 %의 다공도를 가질 수 있다. SPS가 수행되는 경우에, 이트륨-계 산화물 코팅은 약 50 내지 100 미크론의 두께를 가질 수 있고, 약 1 내지 3 %의 다공도를 가질 수 있다. IAD가 수행되는 경우에, 이트륨-계 산화물 코팅은 약 1 내지 20 미크론의 두께를 가질 수 있고, 약 0.1 % 미만(예컨대, 유효하게 0 %)의 다공도를 가질 수 있다. ALD가 수행되는 경우에, 이트륨-계 산화물 코팅은 약 10 nm 내지 약 10 미크론(예컨대, 약 1 미크론)의 두께를 가질 수 있고, 약 0 %의 다공도를 가질 수 있다. ALD 또는 IAD가 수행되는 경우에, 이트륨-계 산화물 코팅은 등각 코팅이다. 본원에서 사용되는 바와 같이, 층에 적용되는 바와 같은 등각이라는 용어는 실질적으로 균일한 두께로 물건의 피처들을 덮는 층을 의미한다. 일 실시예에서, 본원에서 논의되는 등각 층들은, 약 +/- 20 % 미만의 두께 변동, +/- 10 %의 두께 변동, +/- 5 %의 두께 변동, 또는 더 낮은 두께 변동을 갖는 균일한 두께로 코팅되는 (코팅된 표면 피처들을 포함하는) 아래 놓인 표면의 등각 커버리지를 갖는다.
대안적으로, 일부 실시예들에서, 이트륨-계 산화물 코팅 또는 다른 금속 산화물 코팅은 증착되지 않는다. 대신에, 챔버 컴포넌트 바디는 금속 산화물, 이를테면 Al2O3 또는 Y2O3로 구성될 수 있다.
블록(310)에서, 챔버 컴포넌트는 제2 프로세싱 챔버에 배치될 수 있고, 약 50 내지 500 ℃의 상승된 온도로 가열될 수 있다. 일 실시예에서, 챔버 컴포넌트는 약 150 내지 350 ℃로 가열된다. 예컨대, 제2 프로세싱 챔버는 퍼니스일 수 있거나, 또는 HF 산 배스(또는 다른 불소-계 산성 용액, 이를테면 NH4F 또는 HF와 NH4F의 혼합물을 함유하는 산 배스)를 포함하는 습식 세정기일 수 있다. 블록(315)에서, 챔버 컴포넌트는 상승된 온도에서 HF에 노출된다. 대안적으로, 챔버 컴포넌트는 다른 불소 소스, 이를테면 NF3 가스, NF3 플라즈마, CF4 플라즈마(예컨대, CF4/Ar 플라즈마), F2, 및/또는 F 라디칼들에 노출될 수 있다. HF 산성 용액(또는 다른 불소-계 산성 용액)이 사용되는 경우에, HF 산성 용액(또는 다른 불소-계 산성 용액)은 약 0 내지 100 ℃(또는 약 실온 내지 약 100 ℃)의 온도로 유지될 수 있다. 그러한 실시예들에서, 제2 프로세싱 챔버는 가열될 수 있거나 또는 가열되지 않을 수 있다. 상승된 온도와 HF에 대한 노출의 조합은 HF 열 처리 프로세스라고 지칭될 수 있다.
일 실시예에서, 블록(320)에서, HF 가스(예컨대, 무수 불화 수소 가스)의 유동이 챔버 컴포넌트를 포함하는 제2 프로세싱 챔버 내로 도입된다. HF 가스의 유량은 약 100 내지 1000 SCCM일 수 있다. 일 실시예에서, O2 플라즈마가 또한, 제2 프로세싱 챔버 내로 유동된다. 약 100 내지 1000 와트의 전력이 O2 플라즈마에 대해 사용될 수 있다. 실시예들에서, O2 플라즈마는 원격 플라즈마 소스에 의해 생성될 수 있다. 일 실시예에서, 상승된 온도는 150 내지 200 ℃이다.
일 실시예에서, 블록(325)에서, 챔버 컴포넌트는 HF 산성 배스 용액(또는 다른 불소-계 산성 용액)에 침지된다. HF 산성 배스 용액은 약 50 내지 99.5 vol% 물 및 0.5 내지 50 vol% HF 산을 함유할 수 있다. 일 실시예에서, HF 산성 배스 용액은 약 0.5 내지 1.0 vol% HF 산 및 약 99 내지 99.95 vol% 물을 함유한다. 일 실시예에서, HF 산성 배스 용액은 전술된 HF 산성 배스 용액들 중 임의의 것이고, 부가적으로, 암모늄 플루오라이드(NH4F) 완충제를 함유한다. 일 실시예에서, HF 산성 배스 용액은 0.5 mol%의 NH4F 완충제를 함유한다. 일 실시예에서, 온도는 0 내지 100 ℃이다. 대안적으로, 온도는 250 내지 350 ℃일 수 있다.
일 실시예에서, 블록(328)에서, NF3 플라즈마 또는 CF4 플라즈마(예컨대, CF4/Ar 플라즈마)가 제2 챔버 내로 유동된다. 플라즈마는 유도성 커플링 플라즈마(ICP) 또는 용량성 커플링 플라즈마(CCP)일 수 있다. 예컨대, 플라즈마의 전력은 150 내지 500 와트일 수 있다.
HF 가스 또는 HF 산성 용액(또는 다른 불소 소스)의 존재 시의 처리는, 산소들에 대한 결합들의 일부를 불소에 대한 결합들로 대체하는, 금속 산화물 코팅(또는 금속 산화물 세라믹 물건)의 표면에서의 화학 반응을 발생시킨다. HF 산성 용액의 경우에, 용액은 위에서 논의된 바와 같이 가열되지 않을 수 있다. HF 처리의 결과로서, Y2O3는 Y2O3 코팅의 표면에서 시작하여 Y-O-F가 된다. 일부 예시적인 반응들이 아래에 도시된다.
Figure pat00002
반응으로부터 기인하는 물은 처리 온도에서 증발될 수 있고, 그리고/또는 HF 산성 용액의 부분이 되어, 플루오라이드를 남길 수 있다. 따라서, 물건 또는 코팅의 표면에서 이트륨 산화물(또는 다른 금속 산화물) 코팅 내의 산소 분자들의 일부를 불소 분자들로 대체하는 화학 반응이 수행된다. 반응 깊이는 시간 및 온도의 함수이다. 일부 실시예들에서, 반응은 물건 또는 코팅의 표면 내로 약 10 nm의 깊이 내지 최대 약 5 μm(예컨대, 약 200 nm)의 깊이로 침투할 수 있다. 일부 실시예들에서, 이트륨-계 산화물 코팅(또는 다른 금속 산화물 코팅)의 전체가 Y-O-F 코팅(또는 다른 M-O-F 코팅)으로 변환된다.
M-O-F 층 내의 불소 농도 및 M-O-F 층으로 변환된 금속 산화물의 깊이 또는 두께는 플루오르화되는 금속 산화물의 조성, 불소-계 플라즈마(또는 HF 산성 용액) 내의 불소 농도, 온도, 및 플루오르화 처리의 지속기간에 따라 좌우된다. 실험은 1 내지 5 시간 동안의 비교적 낮은 온도(예컨대, 약 100 ℃에서의) 플루오르화 처리가 Y2O3 코팅 또는 Y2O3 벌크 소결된 물건의 최대 약 50 내지 70 nm의 플루오르화를 발생시킨다는 것을 나타내었다. (예컨대, 약 400 ℃ 초과에서의) 높은 온도 플루오르화는 약 50 nm 내지 약 5 μm(예컨대, 약 200 nm)의 두께를 갖는 코팅들에 대해 전체 Y2O3 코팅의 플루오르화를 발생시킨다. 플루오르화 처리 조건들의 예들 및 결과적인 금속 옥시-플루오라이드 층들은 아래에서 도 7b 내지 도 12b에 제공된다.
공기에 대한 금속 산화물들의 노출은 일반적으로, -OH 기들의 층이 금속 산화물들의 표면 상에 형성되게 한다(예컨대, M(OH) 층을 형성한다). M(OH) 층은 위에서 설명된 바와 같이 다수의 바람직하지 않은 효과들을 갖는다. 온도에서의 HF에 대한 M(OH) 층(예컨대, Y(OH)3 층)의 노출은 금속 산화물 코팅 또는 물건과 유사한 방식으로 M(OH) 층이 M-O-F 층으로 변환되게 한다. 따라서, M(OH) 층들은 HF 열 처리에 의해 제거될 수 있다. 게다가, M-O-F 층 또는 코팅은 M-O-F 층의 표면 상의 -OH 기들의 추가적인 형성에 취약하지 않다.
실시예들에서, 위에서 설명된 바와 같이, 이트륨-계 산화물 코팅이 Y2O3 층들과 부가적인 산화물 층들의 교번 스택인 경우에, Y2O3 층들은 Y-O-F 층들로 변환될 수 있고, 부가적인 산화물 층들은 부가적인 M-O-F 층들로 변환될 수 있다.
일부 실시예들에서, 챔버 컴포넌트는 마그네슘을 포함한다(예컨대, 이는 마그네슘을 함유하는 알루미늄 합금이다). 일 실시예에서, 블록(335)에서, 챔버 컴포넌트로부터의 마그네슘은 챔버 컴포넌트의 표면 쪽으로 그리고 Y-O-F 코팅 또는 다른 M-O-F 코팅으로 확산된다. 확산은 HF 처리의 결과로서 발생할 수 있다. 블록(340)에서, 마그네슘은 M-O-F 코팅의 계면에서 MgF2 층을 형성하기 위해 M-O-F 코팅과 반응한다. M-O-F 코팅의 계면은, 모든 이트륨-계 산화물 코팅이 M-O-F로 변환된 경우에, M-O-F 코팅과 챔버 컴포넌트 사이의 계면일 수 있다. 대안적으로 M-O-F 코팅의 계면은, 모든 이트륨-계 산화물 코팅이 M-O-F로 변환되지는 않은 경우에, M-O-F 층과 이트륨-계 산화물 코팅 사이의 계면일 수 있다. MgF2 층은 마그네슘에 대한 배리어 층으로서 작용하고, 마그네슘이 MgF2 층을 지나 확산되는 것을 방지한다. 유사하게, 다른 금속들이 M-O-F 층 쪽으로 확산될 수 있고, 다른 금속 플루오라이드 배리어 층들을 형성하기 위해 M-O-F 층과 반응할 수 있다.
일부 실시예들에서, 화학 처리들은 HF 처리 전에 그리고/또는 HF 처리 후에 이트륨-계 산화물 코팅에 대해 수행될 수 있다. 이들 화학 처리들은 M-O-F 층의 품질(예컨대, 안정성)을 개선할 수 있다.
도 3b는 실시예에 따른, 챔버 컴포넌트(350)의 바디(355) 상의 Y2O3 코팅(360) 및 Y2O3 코팅(360) 위의 Y-O-F 층(365)을 포함하는 챔버 컴포넌트(350)의 측단면도를 예시한다. 챔버 컴포넌트(350)는 금속 바디(예컨대, 알루미늄 또는 알루미늄 합금, 이를테면 Al 6061) 또는 세라믹 바디(예컨대, Al2O3, AlN, SiC 등)를 가질 수 있다.
도 4a는 실시예에 따른, YF3 코팅 또는 다른 희토류 플루오라이드 코팅을 Y-O-F 코팅 또는 다른 M-O-F 코팅으로 변환시키기 위한 프로세스(400)를 예시한다. 프로세스(400)는 또한, 다른 이트륨-계 플루오라이드 코팅들을 Y-O-F 코팅들 또는 다른 이트륨 계 옥시-플루오라이드들로 변환시키기 위해 수행될 수 있다. 다른 이트륨-계 플루오라이드 코팅들의 예들은 YxFyZrz(여기서, x, y, 및 z는 양의 정수 또는 분수 값들), ErF3, YxErzFz(여기서, x, y, 및 z는 양의 정수 또는 분수 값들) 등을 포함한다. 예컨대, 이트륨-계 플루오라이드는 20 내지 80 mol% YF3와 20 내지 80 mol% ZrF4의 혼합물을 포함할 수 있다. 다른 예들은 45 내지 85 mol% YF3 및 15 내지 60 mol% ZrF4, 55 내지 75 mol% YF3 및 25 내지 45 mol% ZrF4, 58 내지 62 mol% YF3 및 38 내지 42 mol% ZrF4, 및 68 내지 72 mol% YF3 및 28 내지 32 mol% ZrF4를 포함할 수 있다. 다른 예에서, 이트륨-계 플루오라이드는 50 내지 90 mol% YF3 및 10 내지 50 mol% ErF3, 10-90 mol% YF3 및 10-90 mol% ErF3, 30-70 mol% YF3 및 30-70 mol% ErF3, 60-80 mol% YF3 및 20-40 mol% ErF3 등을 포함할 수 있다. 프로세스(400)는 Y-O-F로의 YF3의 변환을 참조하여 논의된다. 그러나, 프로세스(400)가 또한, 다른 이트륨-계 플루오라이드들을 이트륨-계 옥시-플루오라이드들로 변환시키기 위해 수행될 수 있다는 것이 이해되어야 한다. 따라서, 아래의 논의에서의 YF3는 임의의 다른 이트륨-계 플루오라이드로 대체될 수 있고, 아래의 논의에서의 Y-O-F는 임의의 다른 이트륨-계 옥시-플루오라이드로 대체될 수 있다.
예에서, 이트륨-계 플루오라이드들은 YF3-ZrF4 고용체, YF3 층들과 AlF3 층들 또는 다른 금속 플루오라이드 층들의 교번 스택, 또는 Y-AL-F의 제1 상 및 Y-Zr-F의 제2 상을 포함하는 복합 세라믹일 수 있다. YF3-ZrF4 고용체는 약 50-75 mol% YF3 및 약 25-50 mol% ZrF4를 포함할 수 있고, 약 1:1 내지 3:1의 Y 대 Zr의 비율로 Y-Zr-O-F로 변환될 수 있다. 실시예들에서, YF3-ZrF4 고용체는 55-65 mol% YF3 및 약 35-45 mol% ZrF4를 포함할 수 있다. 실시예들에서, YF3-ZrF4 고용체는 65-75 mol% YF3 및 약 25-55 mol% ZrF4를 포함할 수 있다. YF3 층들과 AlF3 층들(또는 다른 금속 플루오라이드 층들)의 교번 스택에 대해, YF3 층들은 AlF3 층들 또는 다른 금속 플루오라이드 층들의 두께의 약 5 내지 10배의 두께를 가질 수 있다. 예컨대, YF3 층들은 약 5 내지 100 옹스트롬의 두께를 가질 수 있고, AlF3 층들은 약 1 내지 20 옹스트롬의 두께를 가질 수 있다. YF3 층들은 대략 본래의 YF3 층들의 두께를 갖는 Y-O-F 층들로 변환될 수 있고, AlF3 층들은 대략 본래의 AlF3 층들의 두께를 갖는 Al-O-F 층들로 변환될 수 있다. 복합 세라믹에 대해, Y-Al-F의 제1 상은 Y-Al-O-F로 변환될 수 있고, 제2 상은 Y-Zr-O-F로 변환될 수 있다.
프로세스(400)의 블록(405)에서, ALD, CVD, 또는 IAD가 프로세싱 챔버에 대한 챔버 컴포넌트 상에 YF3 또는 다른 희토류 플루오라이드 코팅을 증착하기 위해 수행된다. ALD가 수행되는 경우에, YF3 코팅(또는 다른 이트륨-계 플루오라이드 코팅)은 약 10 nm 내지 10 미크론의 두께를 갖는다. EB-IAD가 수행되는 경우에, YF3 코팅(또는 다른 이트륨-계 플루오라이드 코팅)은 약 0.5 내지 10 미크론의 두께를 갖는다. CVD가 수행되는 경우에, YF3 코팅(또는 다른 이트륨-계 플루오라이드 코팅)은 약 100 nm 내지 약 10 미크론의 두께를 갖는다. 일 실시예에서, IAD 증착된 YF3 코팅(또는 다른 이트륨-계 플루오라이드 코팅)은 5 미크론의 두께를 갖는다. ALD 코팅과 IAD 코팅 둘 모두는 약 0 %의 매우 낮은 다공도를 갖는(예컨대, 다공도를 전혀 갖지 않는) 등각 코팅들이다. 실시예들에서, YF3 코팅(또는 다른 이트륨-계 플루오라이드 코팅)은, x-레이 파우더 회절(XRD) 연구를 통해 결정된 바와 같이, 비절질 코팅일 수 있다.
블록(410)에서, 챔버 컴포넌트는 프로세싱 챔버(예컨대, 퍼니스의 프로세싱 챔버)에 배치될 수 있고, 약 100 내지 1500 ℃의 상승된 온도로 가열될 수 있다. 챔버 컴포넌트가 가열될 수 있는 일부 예시적인 온도들은 200 ℃, 250 ℃, 300 ℃, 400 ℃, 500 ℃, 600 ℃, 650 ℃, 750 ℃, 및 800 ℃를 포함한다. 블록(415)에서, 챔버 컴포넌트는 시간 기간 동안 상승된 온도에서 산소 소스에 노출된다. 산소 소스는 공기, O2 가스, 수증기, O3 가스, O2 플라즈마, 및/또는 다른 산소-계 플라즈마 또는 산소-계 라디칼들일 수 있다. 다른 산소 소스들은 O2 이온들 및/또는 라디칼들을 사용한 YF3 코팅(또는 다른 이트륨-계 플루오라이드 코팅)의 이온 충격을 포함한다. 상승된 온도와 산소 소스에 대한 노출의 조합은 산소 열 처리 프로세스라고 지칭될 수 있다. 실시예들에서, 시간 기간은 12 내지 24 시간일 수 있다. 다른 실시예들에서, 시간 기간은 0.1 내지 72 시간일 수 있다. 일부 실시예들에서, 프로세싱 챔버는 금속이거나 또는 금속을 함유하고, 상승된 온도는 150 내지 650 ℃이다. 일부 실시예들에서, 상승된 온도는 300 내지 400 ℃이다. 일부 실시예들에서, 프로세싱 챔버는 세라믹이고, YF3 코팅(또는 다른 이르튬-계 플루오라이드 코팅)의 CTE와 근접하게 매칭하는 열 팽창 계수(CTE)를 갖는다. 그러한 실시예들에서, 상승된 온도는 1500 ℃만큼 높을 수 있다.
일 실시예에서, 블록(420)에서, YF3 코팅(또는 다른 이트륨-계 플루오라이드 코팅)은 Y-O-F 코팅(또는 다른 M-O-F 코팅)으로 변환된다. 일 실시예에서, YF3 코팅(또는 다른 이트륨-계 플루오라이드 코팅)의 부분이 Y-O-F 층 또는 다른 M-O-F 층으로 변환된다(예컨대, YF3 코팅의 표면이 변환된다). 일 실시예에서, YF3 코팅(또는 다른 이트륨-계 플루오라이드 코팅)의 전체가 Y-O-F 코팅 또는 다른 M-O-F 코팅으로 변환된다. XRD 상 연구에서 나타낸 바와 같이, Y-O-F 코팅은 어떠한 크래킹도 없는 결정질 코팅일 수 있다. 10 미크론 및 그 초과의 막 두께들은 YF3로부터 Y-O-F로 변환되는 경우에 수직 크래킹을 겪는 것으로 나타내었다. 따라서, 실시예들에서, 10 미크론 미만의 YF3 막들이 사용된다.
산소 소스의 존재 시의 열 처리는 불소에 대한 결합들의 부분을 산소에 대한 결합들로 대체하는, 코팅의 표면에서의 화학 반응을 발생시킨다. 따라서, 물건 또는 코팅의 표면에서 YF3 코팅 내의 불소 분자들의 부분을 산소 분자들로 대체하는 화학 반응이 수행된다. 반응 깊이는 시간 및 온도의 함수이다.
일부 실시예들에서, 챔버 컴포넌트는 마그네슘을 함유한다(예컨대, 마그네슘을 함유하는 알루미늄 합금이다). 일 실시예에서, 블록(335)에서, 챔버 컴포넌트로부터의 마그네슘은 챔버 컴포넌트의 표면 쪽으로 그리고 Y-O-F 코팅으로 확산된다. 확산은 HF 처리의 결과로서 발생할 수 있다. 블록(340)에서, 마그네슘은 Y-O-F 코팅의 계면에서 MgF2 층을 형성하기 위해 Y-O-F 코팅과 반응한다. Y-O-F 코팅의 계면은, 모든 YF3 코팅이 Y-O-F로 변환된 경우에, Y-O-F 코팅과 챔버 컴포넌트 사이의 계면일 수 있다.
일 예에서, 1 미크론 두께의 비정질 YF3 코팅이 12 시간 동안 350 ℃에서 공기에 노출되었다. YF3 코팅의 대부분이 크래킹이 전혀 없이 결정질 Y-O-F 코팅으로 변환된 결과가 발생되었다. 특히, 코팅은 산소 열 처리 후에 83.7 wt.% Y-O-F 및 13.7 wt.% YF3를 함유하였다. 예시적인 테스트에서, 챔버 컴포넌트는 Al 6061이었고, 마그네슘을 함유하였다. 마그네슘은 Y-O-F 코팅으로 확산되었고, MgF2를 형성하였다. 따라서, XRD 상 연구는 코팅과 기판 사이의 계면에서 2.6 wt.% MgF2의 마이너 상을 나타낸다. 증착된 직후의 YF3의 복사율은 0.351이고, Y-O-F 층의 복사율은 0.149이다.
Y-O-F는 YF3보다 더 낮은 몰 부피를 갖는다. 따라서, YF3 코팅의 압축 응력은 YF3 코팅이 Y-O-F 코팅으로 변환되는 경우에 감소될 수 있다. 따라서, 변환은 코팅의 "제로 응력 상태"를 조정하기 위해 수행될 수 있다. "제로 응력 상태"라는 용어는 코팅이 임의의 인장 또는 압축 응력 하에 있지 않은(예컨대, 임의의 내부 압축 또는 인장 응력을 갖지 않는) 상태를 의미한다. 제로 응력 상태는 일반적으로 증착 온도에서 발생한다.
도 4b는 실시예에 따른, 챔버 컴포넌트(350)의 바디(355) 상의 Y-O-F 코팅(360)을 포함하는 챔버 컴포넌트(450)의 측단면도를 예시한다. 챔버 컴포넌트(350)는 금속 바디(예컨대, 알루미늄 또는 알루미늄 합금, 이를테면 Al 6061) 또는 세라믹 바디(예컨대, Al2O3, AlN, SiC 등)를 가질 수 있다. Y-O-F 코팅(360)은 본래 YF3 코팅이었을 수 있고, Y-O-F 코팅(460)으로 변환 완료되었을 수 있다. 이트륨-계 옥시-플루오라이드들로의 다른 이트륨-계 플루오라이드들의 변환에 의해 유사한 결과들이 달성될 수 있다.
도 5는 실시예에 따른, 제조 프로세스 전에, 금속 산화물 코팅 상에 일시적인 Y-O-F 층, 이트륨 계 옥시-플루오라이드 층, 또는 다른 M-O-F 층을 형성하기 위한 인-시튜 프로세스(500)를 예시하고, 이는 본원에서 인-시튜 플루오르화 프로세스라고 지칭된다. 대안적으로, 프로세스(500)는 금속 산화물 코팅이 없는 소결된 금속 산화물 챔버 컴포넌트 상에 M-O-F(예컨대, Y-O-F 또는 이트륨 계 옥시-플루오라이드)를 형성하기 위해 수행될 수 있다. 더욱이, 프로세스(500)는 또한, M-O-F 층을 형성하는 것이 아니라, 금속 산화물 코팅 또는 물건의 표면에 일시적인 YF3 층 또는 다른 금속 플루오라이드 층을 형성하기 위해 수행될 수 있다.
프로세스(500)의 블록(505)에서, 기판이 프로세싱 챔버 내로 로딩된다. 프로세싱 챔버는 금속 산화물 코팅을 갖는 하나 또는 그 초과의 챔버 컴포넌트들을 포함한다. 금속 산화물 코팅(또는 소결된 금속 산화물 물건)은, 몇몇 예들을 들자면, Al2O3, Er2O3 , Y2O3, Y2O3 안정화 ZrO2(YSZ), Er3Al5O12(EAG), Y2O3-ZrO2의 고용체, 또는 Y2O3-ZrO2의 고용체와 Y4Al2O9를 포함하는 복합 세라믹일 수 있다. 금속 산화물 코팅은 10 nm 내지 1 미크론의 두께를 갖는 ALD 코팅, 1 내지 10 미크론의 두께를 갖는 IAD 코팅, 100 내지 300 미크론의 두께를 갖는 플라즈마 스프레잉된 코팅, 50 내지 100 미크론의 두께를 갖는 SPS 코팅, 화학 기상 증착(CVD) 코팅, 또는 다른 타입의 코팅(예컨대, 양극산화에 의해 형성된 Al2O3의 코팅)일 수 있다. 대안적으로, 챔버 컴포넌트는 금속 산화물 코팅이 없는 금속 산화물의 벌크 소결된 세라믹 물건일 수 있다.
블록(510)에서, 원격 플라즈마 소스로부터의 불소-계 플라즈마가 프로세싱 챔버 내로 도입되고, 그 프로세싱 챔버에는 하나 또는 그 초과의 챔버 컴포넌트들이 설치된다. 대안적으로, 상이한 플루오르화 소스, 이를테면 HF 가스가 사용될 수 있다. 일 실시예에서, 불소-계 산성 용액(예컨대, HF 산성 용액)이 플루오르화 소스로서 사용된다.
블록(515)에서, 금속 산화물 코팅(또는 금속 산화물 물건)은 금속 산화물 코팅(또는 금속 산화물 물건) 위에 일시적인 M-O-F 층 또는 금속 플루오라이드 층을 형성하기 위해, 불소-계 플라즈마 또는 다른 불소 소스와 반응된다. 일시적인 M-O-F 층 또는 금속 플루오라이드 층은 단일 프로세스 또는 몇몇 프로세스들보다 더 많은 프로세스를 지속하도록 의도되지 않는 매우 얇은 층일 수 있다. 실시예들에서, 일시적인 M-O-F 층은 1 내지 50 nm(예컨대, 1 내지 5 nm)를 가질 수 있다.
실시예들에서, 불소 계 플라즈마는 챔버가 약 실온 내지 약 1000 ℃의 온도에 있는 동안에 프로세싱 챔버에 도입될 수 있다. 추가적인 실시예들에서, 챔버는 약 실온 내지 약 400 ℃의 온도를 가질 수 있다. 실시예들에서, 불소-계 플라즈마는 약 0.5 내지 10 분의 지속기간 동안 프로세싱 챔버에 도입될 수 있다. 불소 계 플라즈마는 전술된 불소 계 플라즈마들 중 임의의 것일 수 있다. 일 실시예에서, 불소 계 플라즈마가 아니라 산소 플라즈마 및 HF 가스가 사용된다.
대안적인 실시예에서, 불소-계 산성 용액은 실온 내지 최대 약 100 ℃에서 프로세싱 챔버에 도입될 수 있다. 실시예들에서, 산성 용액 그 자체가 가열될 수 있고, 그리고/또는 챔버가 가열될 수 있다. 일 실시예에서, 불소-계 산성 용액은 5 내지 50 vol% HF 산성 및 50 내지 95 vol% 물을 포함하는 HF 산성 용액이다. 불소-계 산성 용액은 챔버를 완전히 또는 부분적으로 충진하기 위해 챔버 내로 유동될 수 있다. 대안적으로, 불소-계 산성 용액은 플루오르화될 하나 또는 그 초과의 챔버 컴포넌트들 상에 스프레잉될 수 있다. 불소-계 산성 용액에 대한 하나 또는 그 초과의 챔버 컴포넌트들의 노출 시간은 약 0.5 내지 10 분(예컨대, 0.8 분, 1.0 분, 1.2 분, 1.5 분 등)일 수 있다. 일부 경우들에서, 노출 시간은 더 낮을 수 있다(예컨대, 대략 0.2 내지 0.4 분) 노출 시간이 종료되면, 챔버 컴포넌트들은 (예컨대, DI 수로) 린스될 수 있다.
일부 실시예들에서, 블록(505)의 동작들은 블록(515)의 동작들 후에 그리고 블록(520)의 동작들 전에 수행된다.
블록(520)에서, 제조 프로세스가 수행된다. 예컨대, 제조 프로세스는 플라즈마 에칭 프로세스 또는 플라즈마 세정 프로세스일 수 있고, 프로세싱 챔버 내에 고정된 기판(예컨대, 반도체 회로들이 상부에 형성된 웨이퍼)을 에칭 또는 세정할 수 있다. 제조 프로세스는 부식성 가스(예컨대, 플라즈마 에칭 프로세스 또는 플라즈마 세정 프로세스를 가능하게 할 불소-계 플라즈마, 염소 계 케미스트리, 암모니아 계 케미스트리 등)의 사용을 포함할 수 있다. 부식성 가스는, 금속 산화물 코팅(또는 금속 산화물 물건) 위의 M-O-F 층 또는 금속 플루오라이드 층의 존재로 인해, 금속 산화물 코팅을 침식, 부식, 또는 그렇지 않으면 손상시키지 않을 수 있다. 일부 실시예들, 이를테면 염소 계 케미스트리 또는 암모니아 계 케미스트리가 사용되는 실시예들에서, 부식성 가스는 제조 프로세스의 종료 무렵에 M-O-F 층 또는 금속 플루오라이드 층의 전체를 제거할 수 있다. 대안적으로, (예컨대, 염소 계 케미스트리 또는 암모니아 계 케미스트리가 사용되는 경우에) 부식성 가스는 단지 M-O-F 층 또는 금속 플루오라이드 층의 부분만을 제거할 수 있다. 일부 실시예들에서, 제조 프로세스는 M-O-F 층 또는 금속 플루오라이드 층이 성장되게 하는 조건들 하에서 불소 계 플라즈마를 포함한다. 이들 예들 각각에서, M-O-F 층 또는 금속 플루오라이드 층은 제조 프로세스의 전체에 걸쳐 아래 놓인 금속 산화물 코팅 및/또는 금속 산화물 물건을 보호할 수 있다.
인-시튜 플루오르화 프로세스는 부식성 가스들에 프로세싱 챔버를 노출시킬 각각의 제조 프로세스 전에 수행될 수 있다. M-O-F 층 또는 금속 플루오라이드 층은, 염소 케미스트리들, 불소 케미스트리들, 및 암모니아 케미스트리들과 같은 환원 케미스트리들에 노출되는 경우에, 금속 산화물 코팅보다 훨씬 더 낮은 침식 레이트를 가질 수 있다. 결과로서, 프로세싱 챔버에 대한 챔버 컴포넌트들의 유효 수명이 크게 연장될 수 있고, 프로세스 드리프트가 완화될 수 있으며, 금속 산화물 코팅과 부식성 가스들의 화학 반응으로부터의 웨이퍼 상 입자들이 완화될 수 있다. 부가적으로, M-O-F 층 또는 금속 플루오라이드 층은 제조 프로세스 동안에 금속 확산을 차단하기 위한 확산 배리어로서 작용할 수 있고, 프로세싱된 기판들 상의 금속 오염을 감소시킬 수 있다.
제조 프로세스가 불소 계 프로세스인(예컨대, 불소 가스 또는 불소 플라즈마를 사용하는) 일부 경우들에서, 제조 프로세스 그 자체가 금속 산화물 코팅의 일부 부분이 금속 플루오라이드 또는 금속 옥시-플루오라이드로 변환되게 할 수 있다. 그러나, 다른 제조 프로세스들(예컨대, 염소 또는 암모니아를 사용하는 제조 프로세스들)은 그러한 금속 플루오라이드 또는 금속 옥시-플루오라이드 변환을 발생시키지 않을 것이다. 더욱이, 다수의 경우들에서, 금속 산화물 코팅을 갖는 챔버 컴포넌트들의 큰 표면이 존재한다. 금속 산화물 코팅을 보호하기 위해 금속 산화물 코팅 상에 충분한 금속 옥시-플루오라이드 또는 금속 플루오라이드 층이 구축되기 전에, 제조 프로세스의 다수의 반복들이 행해질 수 있다. 이러한 시간 동안에, 챔버 조건들의 변화로 인해 프로세스 드리프트가 발생할 수 있다. 인-시튜 플루오르화 프로세스는, 금속 옥시-플루오라이드 층 또는 금속 플루오라이드 층을 신속하게 형성하고, 금속 산화물 코팅을 즉각적으로 보호하고 프로세스 드리프트를 완화시키는 인-시튜 시즈닝 프로세스로서 작용할 수 있다. 부가적으로, 인-시튜 플루오르화 프로세스의 사용으로 인해, 플루오르화 조건들은 제어되는 응력들을 갖는 타겟 M-O-F 층 또는 금속 플루오라이드 두께를 달성하기 위해 제어될 수 있다. 제어되는 플루오르화 조건들은 M-O-F 층 또는 금속 플루오라이드 층으로부터의 입자 생성을 방지할 수 있다.
일시적인 M-O-F 또는 금속 플루오라이드 층에서 제1 임계 층 두께가 존재한다는 것이 실험을 통해 결정되었고, 그 제1 임계 층 두께를 넘으면 입자들이 생성된다. 따라서, 일부 실시예들에서, M-O-F 층 또는 금속 플루오라이드 층의 두께는 에치백 프로세스를 주기적으로 수행함으로써 추가로 제어된다. 예컨대, 인-시튜 플루오르화 프로세스는 각각의 제조 프로세스의 시작 시에 수행될 수 있고, 에치백 프로세스는 제조 프로세스가 임계 횟수(예컨대, 5 회, 10 회, 24 회, 30 회 등)만큼 수행된 후에 수행될 수 있다. 에치백 프로세스는 또한, 플루오르화 프로세스 전에 제조 프로세스의 시작 시에 또는 제조 프로세스의 종료 시에 주기적으로 수행될 수 있는 인-시튜 프로세스로서 수행될 수 있다.
따라서, 일 실시예에서, 블록(525)에서, 에치백 프로세스를 수행할지에 대해 결정이 이루어진다. 결정은 M-O-F 또는 금속 플루오라이드 층의 두께 또는 다른 에치백 기준들에 기초하여 이루어질 수 있다. 일 실시예에서, M-O-F 층 또는 금속 플루오라이드 층이 제1 임계 두께에 도달하면, 에치백 프로세스를 수행할 시간이며, 그 제1 임계 두께는 입자 생성이 발생하는 제2 임계 두께 미만이다. 일 실시예에서, 결정은 에치백 프로세스가 마지막으로 수행된 이래로 수행된 제조 프로세스의 반복들의 수의 카운트에 기초하여 이루어진다. 예컨대, 제조 프로세스 플러스 인-시튜 플루오르화 프로세스의 각각의 반복 후에 M-O-F 층 또는 금속 플루오라이드 층에 얼마나 큰 두께가 부가되었는지가 테스팅을 통해 알려질 수 있다. 이러한 정보는, M-O-F 층 또는 금속 플루오라이드 층이 제1 임계 두께에 도달하고 에치백 기준을 충족시킨 때를 결정하기 위해 사용될 수 있다.
부가적으로 또는 대안적으로, 입자 카운트 테스트들은 프로세싱 후에 기판들에 대해 수행될 수 있다. 이트륨 함유 입자들에 대한 입자 카운트가 임계량만큼 증가된 경우에(예컨대, 이트륨 함유 입자들의 수가 임계치에 도달한 경우에), 에치백 기준이 충족되고, 에치백 프로세스가 수행되어야 하는 것으로 결정이 이루어질 수 있다.
에치백 프로세스가 수행되지 않을 것인 경우에(예컨대, M-O-F 층 또는 금속 플루오라이드 층이 제1 임계 두께에 도달하지 않았거나 또는 임계 입자 카운트가 도달되지 않은 경우에), 방법은 블록(505)으로 리턴하고, 다른 기판이 프로세싱을 위해 프로세싱 챔버 내에 로딩된다. 에치백 프로세스가 수행될 것인 경우에, 방법은 블록(530)을 계속한다.
블록(530)에서, 에치백 프로세스가 수행된다. 일 실시예에서, 에치백 프로세스는 프로세싱 챔버로부터의 기판의 제거 후에 수행된다. 이는 에치백 프로세스가 기판에 영향을 미치는 것을 방지할 수 있다. 대안적으로, 일부 경우들에서, 에치백 프로세스는 제조 프로세스 후에 또는 다른 기판에 대한 후속 제조 프로세스 전에 인-시튜 프로세스로서 수행될 수 있다.
에치백 프로세스는 M-O-F 층 또는 금속 플루오라이드 층의 순 두께를 제어하기 위해 사용된다. 에치백 프로세스는 금속 플루오라이드 또는 금속 옥시 플루오라이드를 에칭할 수 있는 부식성 케미스트리를 사용하여 수행된다. 일 실시예에서, 에치백 프로세스는 실리콘 사염화물(SiCl4) 가스 또는 SiCl4 플라즈마를 사용하여 수행된다. SiCl4는, 고도로 휘발성이고 높은 증기 압력을 갖는 SiFx를 형성하기 위해 M-O-F 층 또는 금속 플루오라이드와 반응한다(x는 임의의 양의 값일 수 있다). 이어서, SiFx는 MFz를 형성하기 위해 M-O-F 또는 금속 플루오라이드 층과 반응할 수 있고, 이어서, MFz는 프로세싱 챔버 밖으로 펌핑될 수 있다(z는 임의의 양의 값일 수 있다). 일 실시예에서, 에치백 프로세스는 SiCl4 가스 또는 플라즈마와 Cl2 가스 또는 플라즈마의 조합을 사용하여 수행된다. SiCl4에 대한 Cl2의 부가는 M-O-F 층 또는 금속 플루오라이드 층의 에치백 레이트를 증가시킨다. 일 실시예에서, 약 1 내지 5 SCCM의 SiCl4 및 선택적으로 1 내지 5 SCCM의 Cl2가 1 내지 5 초의 지속기간 동안 프로세싱 챔버 내로 유동된다. 일 실시예에서, 약 1 내지 2 SCCM의 SiCl4 및 선택적으로 1 내지 2 SCCM의 Cl2가 1 내지 3 초의 지속기간 동안 프로세싱 챔버 내로 유동된다.
일 실시예에서, 프로세싱 챔버에는 광학 발광 분광법(OES) 디바이스가 장비된다. 에치백 프로세스 동안에, 플라즈마가 생성되고, 여기서, 플라즈마의 적어도 일부는 에칭되고 있는 M-O-F 층 또는 금속 플루오라이드 층으로부터 유래한다. OES 디바이스는 플라즈마에 의해 출력되는 광의 다양한 파장들의 세기 레벨들을 측정할 수 있다. 광의 다양한 파장들의 세기 레벨들의 검출에 기초하여, OES 디바이스는 SiFx의 광학 시그니처를 검출할 수 있고, 그 광학 시그니처는 SiCl4에 의한 M-O-F 또는 금속 산화물의 에칭으로부터 형성된다. 부가적으로 또는 대안적으로, YClx의 광학 시그니처는 OES를 사용하여 검출될 수 있다(x는 임의의 양의 값일 수 있음). M-O-F 층 또는 금속 플루오라이드 층이 완전히 제거되면, SiFx 및/또는 YCl은 더 이상 생성되지 않을 것이고, 검출되는 파장 세기들이 변화될 것이다. 따라서, OES 디바이스는 M-O-F 층 또는 금속 플루오라이드 층이 제거된 때를 검출할 수 있다. 이 시점에서, 에치백 프로세스가 종료될 수 있고, 가스들/플라즈마들이 프로세싱 챔버 밖으로 펌핑될 수 있다. 부가적으로, M-O-F 층 또는 금속 플루오라이드 층 내의 불소의 비율이 깊이에 따라 감소될 수 있고, 그에 따라, 더 낮은 양의 불소가 금속 산화물 코팅과의 계면 근처에 존재하게 된다. OES 디바이스는 불소의 양의 이러한 변화를 검출할 수 있고, 특정한 광학 시그니처가 검출되는 경우에, 에치백 프로세스의 종료를 트리거링할 수 있다. 특정한 광학 시그니처는 일부 양의 SiFx 및/또는 YCl을 포함하는 광학 시그니처일 수 있다. 따라서, OES 디바이스는 M-O-F 층 또는 금속 플루오라이드 층의 일부 부분이 에치백 프로세스의 종료 시에 여전이 남아있는 것을 보장하는 부분적인 에치백을 수행하기 위해 사용될 수 있다.
방법들(300, 400, 및 500) 각각은 금속 플루오라이드 또는 금속 산화물 코팅 및/또는 물건이 금속 옥시-플루오라이드(M-O-F) 층 또는 코팅으로 적어도 부분적으로 변환되게 할 수 있다. 테스팅에서, 이트륨 옥시-플루오라이드 층 또는 코팅 및 다른 금속 옥시-플루오라이드 층들 또는 코팅들이 플라즈마 침식 및 불소-계 케미스트리들과의 반응에 고도로 저항적이고 안정적인 것으로 나타난다. 부가적으로, Y-O-F 코팅들 및 다른 이트륨-계 옥시-플루오라이드 코팅들은 수산화물들로부터의 공격(OH 공격)에 대해 비활성이다. 따라서, Y-O-F 코팅들 또는 층들이 공기에 노출되는 경우에, 이트륨 수산화물(Y(OH))이 형성되지 않는다. 테스트들은 Y-O-F 코팅들이 챔버 컴포넌트들에 대해 사용되는 경우의 감소된 입자 레벨들을 나타내었다. 더욱이, 심지어 Cl*, Br*, F* 및 H* 종의 존재 시에도, Y-O-F 코팅의 에칭 레이트는 YF3 코팅과 비교하여 매우 안정적이고 낮다.
도 6a는 실시예에 따른, 이트륨-계 코팅의 적어도 일부를 Y-O-F 코팅 또는 층(ㄸ는 다른 이트륨-계 옥시-플루오라이드 코팅 또는 층)으로 변환시킴으로써 이트륨-계 코팅의 응력을 완화시키기 위한 프로세스(600)를 예시한다. 프로세스(600)는 초기에, 이트륨-계 산화물 코팅을 이트륨-계 옥시-플루오라이드 코팅으로 변환시키는 것을 참조하여 설명된다. 그러나, 방법(600)은 또한, 이트륨-계 플루오라이드 코팅을 이트륨-계 옥시-플루오라이드 코팅으로 변환시키기 위해 수행될 수 있다. 실시예들에서, 챔버 컴포넌트는 금속 챔버 컴포넌트, 이를테면 알루미늄 컴포넌트(예컨대, 순수 알루미늄 또는 알루미늄 합금, 이를테면 Al 6061로 제조됨) 또는 스테인리스 강 컴포넌트일 수 있다. 알루미늄은 약 22 내지 25 ppm/K의 CTE를 갖고, 스테인리스 강은 약 13 ppm/K의 CTE를 갖는다. 그러나, 이트륨-계 코팅들은 상당히 더 낮은 CTE(예컨대, Y2O3에 대해 약 6 내지 8 ppm/K)를 갖는다. 다른 산화물들이 또한 일반적으로, 낮은 CTE들을 갖는다. 예컨대, Al2O3는 8 ppm/K의 CTE를 갖는다. 이트륨-계 코팅과 챔버 컴포넌트 사이의 CTE의 이러한 차이는 열 사이클링 동안에 이트륨-계 코팅이 크래킹되게 할 수 있다. 고밀도 코팅들, 이를테면 IAD, PVD, CVD, 및 ALD에 의해 생성되는 코팅들은 특히, 금속 물건들 위에 형성되는 경우에, 열 사이클링 동안 크래킹되기 쉽다.
프로세스(600)의 블록(605)에서, 이트륨-계 코팅이 제1 프로세싱 챔버에 대한 챔버 컴포넌트의 표면 상에 증착된다. 이트륨-계 산화물 코팅은 Y2O3 코팅, Y2O3-Er2O3의 고용체로 구성된 코팅, Y2O3-ZrO2의 고용체로 구성된 코팅, 또는 본원에서 논의되는 다른 이트륨-계 코팅들 중 임의의 코팅일 수 있다. 일부 실시예들에서, 이트륨-계 코팅은 더 두꺼운 Y2O3 층들과 (예컨대, ZrO2 또는 Al2O3의)다른 금속 산화물의 더 얇은 층들의 교번 스택을 포함한다. 더 얇은 금속 산화물 층들은 Y2O3 층들에서 결정 형성을 방지할 수 있거나, 또는 Y2O3 층들에 형성되는 결정들의 사이즈를 제한할 수 있다.
실시예들에서, 이트륨-계 코팅은 IAD 증착 프로세스, 물리 기상 증착(PVD) 증착 프로세스, 화학 기상 증착(CVD) 증착 프로세스, 또는 ALD 증착 프로세스를 사용하여 증착된 얇은 고밀도 산화물 코팅일 수 있다. 일부 실시예들에서, 이트륨-계 코팅은 약 100 내지 300 ℃의 증착 온도를 사용하여 증착될 수 있다. 예컨대, 챔버 컴포넌트는 증착 동안에 100 내지 200 ℃의 온도로 가열될 수 있다. 따라서, 이트륨-계 코팅은 약 100 내지 300 ℃의 증착 온도에서 "제로-응력 상태"를 가질 수 있고, 실온에서 낮은 압축 응력을 가질 수 있고, 프로세싱 온도들(동작 온도들)에서 높은 인장 응력을 가질 수 있다. 증착 온도는 챔버 컴포넌트의 특성들에 의해 그리고/또는 수행되는 증착 프로세스에 의해 통제될 수 있다. 챔버 컴포넌트가 실온에 있는 경우에, 이트륨-계 코팅은, 챔버 컴포넌트가 증착 온도 아래로 냉각되기 때문에 챔버 컴포넌트가 이트륨-계 코팅보다 더 많이 수축되는 것으로 인해, 약간의 압축 응력 하에 배치될 수 있다. 그러나, 증착 온도보다 더 높은 프로세싱 온도들에서, 이트륨-계 코팅은, 챔버 컴포넌트가 이트륨-계 코팅보다 더 많이 팽창되는 것으로 인해, 인장 응력 하에 배치된다. 인장 응력은 이트륨-계 코팅이 크래킹되게 할 수 있다. 실시예들에서, 추후에, 챔버 컴포넌트는 약 250 내지 350 ℃의 상승된 프로세싱 온도에서 사용될 수 있다. 결과로서, 이트륨-계 코팅은, 이트륨-함유 코팅과 챔버 컴포넌트 사이의 CTE의 차이로 인해, 추가적인 프로세싱 동안에 인장 응력 하에 배치될 것이다.
이트륨-계 코팅은 실시예들에서 1 % 미만의 매우 낮은 다공도를 가질 수 있고, 추가적인 실시예들에서 0.1 % 미만의 매우 낮은 다공도를 가질 수 있고, 실시예들에서 약 0 %의 다공도를 가질 수 있거나, 또는 더 추가적인 실시예들에서 무-다공도를 가질 수 있다. ALD가 이트륨-계 코팅을 형성하기 위해 수행되는 경우에, 이트륨-계 코팅은 단일 완전 ALD 증착 사이클 후에 원자 미만 내지 수 원자(예컨대, 2 내지 3 원자들)의 두께를 가질 수 있다. 다수의 ALD 증착 사이클들이 더 두꺼운 이트륨-계 코팅을 증착하기 위해 구현될 수 있고, 각각의 증착 사이클은 원자 내지 수 원자의 부가적인 프랙션만큼 두께를 증가시킨다. 실시예들에서, 이트륨-계 코팅은 약 10 nm 내지 약 1.5 μm의 두께를 가질 수 있다. 추가적인 실시예들에서, 이트륨-계 코팅은 약 300 nm 내지 약 500 nm의 두께를 가질 수 있다.
일부 실시예들에서, 이트륨-계 코팅은 Y2O3와 부가적인 금속-함유 산화물의 교번 층들의 시퀀스를 포함한다. 예컨대, 이트륨-계 코팅은 Y2O3와 Al2O3의 일련의 교번 층들, Y2O3와 ZrO2의 일련의 교번 층들 등일 수 있다. ALD를 사용하여, 챔버 컴포넌트는, 흡착 층을 형성하기 위해 하나 또는 그 초과의 전구체들이 챔버 컴포넌트의 표면에 완전히 흡착될 때까지의 지속기간 동안, 하나 또는 그 초과의 전구체들에 도입될 수 있다. 후속하여, 챔버 컴포넌트는 Y2O3 층을 성장시키기 위하여 흡착 층과 반응하기 위해 반응물에 도입될 수 있다. 이러한 프로세스는 Y2O3 층을 성장시키기 위해 대략 5 내지 10 사이클에 걸쳐 반복될 수 있다.
Y2O3 층을 갖는 챔버 컴포넌트는, 흡착 층을 형성하기 위해 하나 또는 그 초과의 전구체들이 Y2O3 층의 표면에 완전히 흡착될 때까지의 지속기간 동안, 하나 또는 그 초과의 전구체들에 도입될 수 있다. 후속하여, 챔버 컴포넌트는, 부가적인 솔리드 금속 산화물 층을 성장시키기 위하여 흡착 층과 반응하기 위해 반응물에 도입될 수 있다. 따라서, 부가적인 금속 산화물 층이 ALD를 사용하여 Y2O3 층 위에 완전히 성장 또는 증착된다. 예에서, 전구체는 제1 반 사이클에서 사용되는 알루미늄 함유 전구체일 수 있고, 반응물은 제2 반 사이클에서 사용되는 H2O일 수 있다. 금속 산화물 층은 ZrO2, Al2O3, 또는 다른 산화물일 수 있다. 이러한 프로세스는 단일 원자 층 내지 수 원자 층의 두께를 가질 수 있는 매우 얇은 금속 산화물 층을 성장시키기 위해 한번 수행될 수 있다. 예컨대, TMA 및 H2O에 의해 성장된 Al2O3 단분자층은 전형적으로, 약 0.9 내지 1.3 A/사이클의 성장 레이트를 갖는 한편, Al2O3 격자 상수는 a-4.7A 및 c=13A이다(삼각형 구조의 경우).
Y2O3 층 및 부가적인 금속 산화물 층의 증착은 교번 층들의 스택을 형성하기 위해 n 회 반복될 수 있고, 여기서, n은 2보다 더 큰 정수 값이다. N은 타게팅된 두께 및 특성들에 기초하여 선택되는 유한한 수의 층들을 표현할 수 있다. 교번 층들의 스택은 다수의 교번 하위-층들을 포함하는 이트륨-계 코팅인 것으로 고려될 수 있다.
실시예들에서, 위에서 설명된 교번 층들은 약 5:1 내지 10:1의 Y2O3 층 두께 대 부가적인 금속 산화물 층 두께의 비율을 가질 수 있다. 따라서, 부가적인 금속 산화물 층들은 Y2O3 층들의 두께의 1/10 내지 1/5의 두께를 가질 수 있다. 일 실시예에서, 각각의 Y2O3 층에 대해 8 ALD 증착 사이클이 수행되고, 각각의 부가적인 금속 산화물 층에 대해 단일 ALD 증착 사이클이 수행된다. 결과로서, Y2O3 층들은 비정질일 수 있다. 다른 실시예에서, 각각의 Y2O3 층에 대해 10 ALd 사이클이 수행되고, 각각의 부가적인 금속 산화물 층에 대해 단일 ALD 증착 사이클이 수행된다. 결과로서, Y2O3 층들은 대략 1 또는 수 나노미터의 결정 사이즈를 갖는 나노-결정질일 수 있다. 대안적으로, 더 많거나 또는 더 적은 ALD 증착 사이클이 Y2O3 층들 및/또는 부가적인 금속 산화물 층들에 대해 수행될 수 있다.
위에서 설명된 바와 같이, Y2O3 층이 챔버 컴포넌트 상에 형성되고, 그에 이어, 부가적인 금속 산화물 층이 형성되고, 그에 이어, 다른 Y2O3 층이 형성되는 등등이다. 그러나, 다른 실시예들에서, 제1 층이 부가적인 금속 산화물 층일 수 있고, 다음 층이 Y2O3 층일 수 있고, 그에 이어, 다른 부가적인 금속 산화물 층 등이 후속될 수 있다.
일 실시예에서, (예컨대, 비정질 Al2O3 또는 다른 비정질 세라믹의) 응력 완화 층이 이트륨-계 코팅의 증착 전에 증착된다. 응력 완화 층은 이트륨-계 코팅과 동일한 증착 기법 또는 이트륨-계 코팅과 상이한 증착 기법을 사용하여 증착될 수 있다. 응력 완화 층이 알루미나 응력 완화 층인 예에서, ALD가 수행될 수 있고, 챔버 컴포넌트는, 제1 반 반응에서 챔버 컴포넌트의 표면 상의 모든 반응성 부위들이 소모되고 Al 함유 흡착 층이 형성될 때까지의 제1 지속기간 동안, 제1 전구체(예컨대, 트리메틸 알루미늄(TMA))에 도입될 수 있다. 남아 있는 제1 전구체는 플러싱되고, 이어서, H2O의 제1 반응물이 제2 반 사이클을 시작하기 위해 챔버 컴포넌트를 포함하는 반응기 내로 주입될 수 있다. Al2O3의 응력 완화 층은 제1 반 반응에 의해 생성된 Al 함유 흡착 층과 H2O 분자들이 반응한 후에 형성된다.
응력 완화 층은 균일할 수 있고, 연속적일 수 있고, 등각적일 수 있다. 실시예들에서, 응력 완화 층은 무 다공도일 수 있거나(예컨대, 0의 다공도를 가질 수 있거나) 또는 대략 0의 다공도(예컨대, 0 % 내지 0.01 %의 다공도)를 가질 수 있다. 다수의 완전 ALD 증착 사이클들이 더 두꺼운 응력 완화 층을 증착하기 위해 구현될 수 있고, (예컨대, 전구체의 도입, 플러싱, 반응물의 도입, 및 다시 플러싱을 포함하는) 각각의 완전 사이클은 원자 내지 수 원자의 부가적인 프랙션만큼 두께를 증가시킨다. 실시예들에서, 응력 완화 층은 약 10 nm 내지 약 1.5 μm의 두께를 가질 수 있다.
블록(610)에서, 챔버 컴포넌트는 약 250 내지 500 ℃(예컨대, 약 250 내지 350 ℃)의 상승된 온도로 가열된다. 블록(615)에서, 챔버 컴포넌트는 시간 기간 동안 상승된 온도에서 불소 소스에 노출된다. 실시예들에서, 시간 기간은 약 0.1 시간 내지 약 72 시간일 수 있다. 추가적인 실시예들에서, 시간 기간은 약 12 내지 24 시간 또는 약 1 내지 12 시간일 수 있다. 블록(620)에서 제시된 바와 같이, 불소 소스는 HF 가스, NF3 가스, NF3 플라즈마, F2 가스, 가스 내의 F 라디칼들, 또는 다른 불소 소스들일 수 있다.
블록(625)에서, 이트륨-계 코팅이 M-O-F 코팅 또는 층으로 변환된다. F 원자들은 이트륨-계 코팅 내로 확산되고, 코팅 내의 Y2O3와 반응하고, Y-O-F 및 가능한 다른 플루오르화된 상들을 형성한다. 예컨대, 변환의 깊이 및 퍼센티지는 파라미터들, 이를테면, 프로세스 시간, 온도, F 함유 가스의 타입, 가스 압력, 및 챔버 압력에 의해 제어될 수 있다. M-O-F로 변환될 이트륨-계 산화물 코팅의 타겟 깊이 및 퍼센티지는 코팅에 대해 "제로-응력 상태"를 조정하기 위해, 증착 온도와 동작 또는 프로세싱 온도 사이의 차이에 따라 좌우될 수 있다. 예컨대, 이트륨-계 코팅이 Y2O3 코팅인 경우에, Y2O3 코팅의 전체가 Y-O-F로 변환될 수 있다. 이트륨-계 코팅이 Y2O3 층들과 부가적인 금속 산화물 층들의 교번 스택인 경우에, Y2O3 층들은 Y-O-F 층들로 변환될 수 있고, 부가적인 금속 산화물 층들은 M-O-F 층들로 변환될 수 있다. 일부 경우들에서, 부가적인 금속 산화물 층들은 이들의 재료 조성이 플루오르화 프로세스의 결과에 따라 변화되지 않을 정도로 얇을 수 있다. 따라서, Y2O3 층들은 Y-O-F 층들로 변환될 수 있고, 부가적인 금속 산화물 층들은 변화되지 않을 수 있다.
Y2O3와 비교하면, YOxFy는 더 큰 몰 부피를 갖는다(x 및 y는 양의 값들일 수 있음). x 및 y의 값들에 따라, YOxFy 몰 부피는 36.384 cm3/mol의 YF3 몰 부피와 22.5359 cm3/mol의
Figure pat00003
Y2O3 형태 몰 부피 사이이다. Y-O-F 코팅 또는 층(예컨대, YOxFy)로의 이트륨-계 코팅의 변환은 볼륨 팽창을 발생시키고, 증착 온도 미만의 온도들에서의 이트륨-계 코팅의 내부 압축 응력보다 더 큰 증착 온도 미만의 온도들에서의 부가적인 내부 압축 응력을 도입한다. 따라서, 금속 챔버 컴포넌트 또는 다른 물건 상의 코팅의 제로 응력 상태는 더 높은 온도로 시프트된다. 따라서, 챔버 컴포넌트가 증착 온도를 초과하는 상승된 프로세싱 온도로 가열되는 경우에, M-O-F 코팅 또는 층(예컨대, Y-O-F 코팅 또는 층)은 증착 온도를 초과하는 온도들에서의 이트륨-계 코팅의 내부 인장 응력보다 더 낮은 감소된 내부 인장 응력을 갖는다. 볼륨 팽창은 YF3가 Y2O3의 몰 부피보다 약 60 % 더 큰 몰 부피를 갖는 것으로 인한 것이다. Y-O-F의 몰 부피는 YF3의 몰 부피와 Y2O3의 몰 부피 사이에 있다. 감소된 인장 응력은 Y-O-F 코팅의 크래킹을 감소시킬 수 있거나 또는 제거할 수 있다. Y-O-F는 불소 계 플라즈마들에 의한 침식 및 부식에 저항적인 플라즈마 저항성 코팅이다.
프로세스(600)는 이트륨-계 코팅들의 CTE보다 더 높은 CTE를 갖는 챔버 컴포넌트들 상의 이트륨-계 코팅들에 대한 압축 응력을 증가시키기 위해 설명되었다. 그러나, 이트륨-계 코팅들의 CTE보다 더 낮은 CTE를 갖는 챔버 컴포넌트들 상의 이트륨-계 코팅들에 대한 압축 응력을 감소시키기 위해 유사한 프로세스가 또한 수행될 수 있다. 예컨대, 챔버 컴포넌트는 (약 4 ppm/K의 CTE를 갖는) 그래파이트, (약 4.6 ppm/K의 CTE를 갖는) AlN, (약 3.7 ppm/K의 CTE를 갖는) SiC, 또는 (약 2.8 ppm/K의 CTE를 갖는) SiN일 수 있다. 그러한 경우들에서, 이트륨-계 코팅들에서 압축 응력을 감소시키는 것이 바람직할 수 있다. 이는, 예컨대, 블록(605)에서의 YF3 또는 다른 이트륨-계 플루오라이드 코팅의 증착으로 시작함으로써 달성될 수 있다. 이어서, 블록(615)에서, 챔버 컴포넌트는 이트륨-계 플루오라이드 코팅을 Y-O-F 코팅 또는 층 또는 다른 이트륨-계 옥시-플루오라이드 코팅 또는 층으로 변환시키기 위해 산소 소스(예컨대, 본원에서 위에 설명된 산소 소스들 중 임의의 산소 소스)에 노출될 수 있다. 실시예들에서, 산소 소스(예컨대, O2 플라즈마 및/또는 O2 라디칼들)에 대한 노출은 200 내지 300 ℃의 온도에서 수행될 수 있다. Y-O-F로의 YF3의(또는 이트륨-계 옥시-플루오라이드로의 다른 이트륨-계 플루오라이드의) 변환은 (예컨대, 몰 부피의 감소로 인한) 볼륨 수축을 발생시킬 수 있고, 이는 실온 및/또는 상승된 프로세싱 온도에서 인장 응력을 도입할 수 있고, 그리고/또는 압축 응력을 감소시킬 수 있다. 따라서, 프로세스(600)는 이트륨-계 산화물 코팅 또는 이트륨-계 플루오라이드 코팅에서 응력을 조절하기 위해 수행될 수 있다. 이트륨-계 옥시-플루오라이드 코팅들로 변환될 수 있는 이트륨-계 플루오라이드 코팅들의 예들은 도 4a를 참조하여 위에서 제공된다.
도 6b는 실시예에 따른, 챔버 컴포넌트(650)의 바디(655) 상에 Y-O-F/M-O-F 코팅(670)을 포함하는 챔버 컴포넌트(650)의 측단면도를 예시한다. 챔버 컴포넌트(650)는 금속 바디(예컨대, 알루미늄, 알루미늄 합금, 이를테면 Al 6061 또는 Al 6063, 스테인리스 강, 이를테면 SST316L 등) 또는 세라믹 바디(예컨대, Al2O3, AlN, SiC 등)를 가질 수 있다. Y-O-F/M-O-F 코팅(670)은 더 두꺼운 Y-O-F 층들(660)과 더 얇은 M-O-F 층들(665)의 교번 스택을 포함할 수 있다. 대안적으로, 더 얇은 층들은 M 층들일 수 있다.
도 7a는 실시예에 따른, 투과 전자 현미경(TEM)에 의해 보는 경우의 Y2O3 코팅(705)을 포함하는 챔버 컴포넌트(710)의 측단면도를 예시한다. TEM 이미지를 생성하기 위한 목적을 위해, 캐핑 층(715)이 Y2O3 코팅(705) 위에 배치되었다. 표면(A1)은 Y2O3 코팅(705)의 상단을 표현하고, 표면(B1)은 챔버 컴포넌트(710)와 Y2O3 코팅(705) 사이의 계면을 표현한다.
도 7b는 도 7a의 챔버 컴포넌트의 재료 조성을 예시한다. 도시된 바와 같이, 캐핑 층(715)은 Ir로 구성된다. Y2O3 코팅(705)은 이트륨(725) 및 산소(720)로 구성된다. 챔버 컴포넌트(710)는 Si(735)로 구성된다.
도 8a는 실시예에 따른, 투과 전자 현미경(TEM)에 의해 보는 경우의 플루오르화 프로세스 후의 Y-O-F 코팅(805)을 포함하는 챔버 컴포넌트(810)의 측단면도를 예시한다. 플루오르화 프로세스는 약 12 시간의 지속기간 동안 200 W의 전력으로 NF3 플라즈마를 사용하여 500 ℃에서 수행되었다. TEM 이미지를 생성하기 위한 목적을 위해, 캐핑 층(815)이 Y-O-F 코팅(805) 위에 배치되었다. 표면(A2)은 Y-O-F 코팅(805)의 상단을 표현하고, 표면(B2)은 챔버 컴포넌트(810)와 Y-O-F 코팅(805) 사이의 계면을 표현한다. x-레이 회절(XRD)에 의한 스트레인 측정은 11.4 +/- 1.5 nm의 결정 사이즈 및 실온에서의 약 1.34 +/- 0.13 %의 증가된 스트레인을 나타내었고, 이는 실온에서의 증가된 압축 응력과 동일하다. 비교하면, 플루오르화 프로세스를 갖지 않은 이트리아 코팅은 실온에서 6.1 +/- 0.5 nm의 결정 사이즈 및 0.22 +/- 0.14 %의 스트레인을 가졌다. Y-O-F 코팅의 실온에서의 더 높은 압축 응력은 (예컨대, 대략 100 ℃ 또는 그 초과의) 동작 온도들에서의 그러한 코팅에 대한 더 낮은 막 응력을 발생시킨다.
도 8b는 도 8a의 챔버 컴포넌트의 재료 조성을 예시한다. 도시된 바와 같이, 캐핑 층(815)은 Ir로 구성된다. Y-O-F 코팅(805)은 이트륨(825), 산소(820), 및 불소(840)로 구성된다. 챔버 컴포넌트(810)는 Si(835)로 구성된다. 도시된 바와 같이, 코팅의 깊이에 따라, Y-O-F 코팅(805)은 약 30 내지 50 at.% F, 약 20 내지 30 at.% O, 및 약 30 내지 40 at.% Y를 포함한다. 플루오르화 프로세스는 Y2O3 코팅(705)의 전체에 걸쳐 있었던 O 분자들을 F 분자들로 대체하였다.
도 9a는 실시예에 따른, 투과 전자 현미경(TEM)에 의해 보는 경우의 플루오르화 프로세스 후의 Y-O-F 층들과 Al-O-F 층들의 교번 스택으로 형성된 이트륨-계 옥시-플루오라이드 코팅(905)을 포함하는 챔버 컴포넌트(910)의 측단면도를 예시한다. 이트륨-계 옥시-플루오라이드 코팅이, Y2O3 층들과 Al2O3 층들의 교번 스택을 포함하였던 이트륨-계 산화물 코팅의 플루오르화에 의해 생성되었다. 플루오르화 프로세스는 250 ℃에서 수행될 수 있다. TEM 이미지를 생성하기 위한 목적을 위해, 캐핑 층(915)이 이트륨-계 산화물 코팅(905) 위에 배치되었다. 표면(A3)은 이트륨-계 산화물 코팅(905)의 상단을 표현하고, 표면(B3)은 이트륨-계 산화물 코팅(905)과 알루미나 응력 완화 층(912) 사이의 계면을 표현하고, 표면(C3)은 알루미나 응력 완화 층(912)과 챔버 컴포넌트(910) 사이의 계면을 표현한다.
도 9b는 도 9a의 챔버 컴포넌트의 재료 조성을 예시한다. 도시된 바와 같이, 캐핑 층(915)은 Ir로 구성된다. 이트륨-계 산화물 코팅(905)은 이트륨(925), 산소(920), 불소(940), 및 알루미늄(935)으로 구성된다. 응력 완화 층은 산소(920) 및 알루미늄(935)으로 구성된다. 챔버 컴포넌트(810)는 알루미늄(935)과 산소(920)의 상이한 비율로 구성된다.
플루오르화 이전에, 이트륨 계 옥시-플루오라이드 코팅(905)은 Y2O3 층들과 Al2O3 층들의 교번 스택을 포함한 이트륨 계 산화물 코팅이었다. 일부 실시예들에서 Y2O3 층들은 Al2O3 층들보다 대략 2-12 배 더 두꺼울 수 있다. 희토류 산화물 서브 층들 대 추가 금속 산화물 서브 층들의 일부 예시적인 두께 비율들은2:1, 3:1, 4:1, 5:1, 8:1, 10:1 및 12:1을 포함한다. 일부 실시예들에서, Y2O3 층들은 약 5-12 사이클의 ALD 프로세스를 사용하여 형성되며, 여기서 각각의 사이클은 희토류 금속 함유 산화물의 나노층(또는 나노층보다 약간 적거나 더 많음)을 형성한다. Al2O3의 각각의 층은 단일 ALD 사이클(또는 수 ALD 사이클들)로부터 형성될 수 있으며, 원자 미만 내지 수 개의 원자들의 두께를 가질 수 있다. 실시예들에서, Y2O3의 층들은 각각 약 5-100 옹스트롬의 두께를 가질 수 있고, Al2O3의 층들은 각각 약 1-20 옹스트롬의 두께를 가질 수 있다. 예시된 실시예에서, Y2O3 층들 대 Al2O3 층들의 두께 비율은 약 10:1이다. 실시예들에서 Al2O3 층들은 Y2O3 층들이 결정화되는 것을 방지할 수 있다. 추가적인 Al2O3 층들의 결과, Y2O3 층들은 다결정 상태로 유지된다.
플루오르화의 결과, Y2O3 층들은 Y-O-F 층들로 변환되고, Al2O3 층들은 Al-O-F 층들로 변환되었다. 대안적으로, Al2O3 층들의 일부 또는 전부는 Al-O-F 층들로 변환되지 않을 수 있다. 도시된 바와 같이, 불소의 원자 %는 약 2 원자 % 내지 약 25 원자 %로 변한다. F의 농도는 코팅의 표면 근처에서 더 크며, 코팅의 하단 근처에서 더 적다.
도 10a는 실시예에 따라, 투과 전자 현미경(TEM, transmission electron microscope)에 의해 관찰된 바와 같이 플루오르화 프로세스 후 YOF 층들과 Al-OF 층들의 교번 적층물을 포함하는 이트륨 계 옥시-플루오라이드 코팅(1005)을 포함하는 다른 챔버 컴포넌트의 측단면도를 예시한다. 코팅은 Y2O3 층들 및 Al2O3 층들의 교번 적층물을 포함하는 ALD 코팅의 플루오르화에 의해 생성되었다. 코팅(1005)은 약 500 nm의 두께를 갖는다. 캐핑 층(1015)은 TEM 이미지를 생성하기 위한 목적으로 이트륨 계 옥시 플루오라이드 코팅(1005) 위에 배치된다. 표면(A4)은 이트륨 계 옥시 플루오라이드 코팅(1005)의 상단을 나타내고, 표면(B4)는 이트륨 계 옥시 플루오라이드 코팅(1005)과 알루미나 응력 완화 층(1012) 사이의 계면을 나타내고, 표면(C4)은 알루미나 응력 완화 층(1012)과 챔버 컴포넌트(1010) 사이의 계면을 나타낸다.
도 10b는 도 10a의 챔버 컴포넌트의 재료 조성을 예시한다. 도시된 바와 같이, 캐핑 층(1015)은 Ir로 구성된다. 이트륨 계 옥시-플루오라이드 코팅(1005)은 이트륨(1025), 산소(1020), 불소(1040) 및 알루미늄(1035)으로 구성된다. 응력 완화 층은 산소(1020) 및 알루미늄(1035)으로 구성된다. 챔버 컴포넌트(1010)는 상이한 비율의 알루미늄(1035) 및 산소(1020)로 구성된다. 이트륨 계 옥시-플루오라이드 코팅(1005)을 생성하는데 사용된 플루오르화 프로세스는 450 ºC에서 NF3 플라즈마를 사용하는 원격 유도 결합 플라즈마(ICP, Induced Coupled Plasma) 프로세스였다. 도시된 바와 같이, 전체 이트륨 계 산화물 코팅은 이트륨 계 옥시-플루오라이드 코팅(1005)으로 변환되었다. 코팅(1005) 내의 불소 농도는 약 35 원자 % 내지 약 60 원자 %로 변화하며, 깊이에 따라 변한다. 특히, 이러한 프로세스 조건들 하에서, 불소 농도는 코팅(1005)의 상단에서보다 코팅(1005)의 중간 및 하단 부근에서 더 크다. 회절 분석은 코팅(1005)의 Y-O-F 층들이 플루오르화 프로세스 후에 다결정으로 남아있음을 보여주었다.
도 11a는 실시예에 따른, 투과 전자 현미경(TEM)에 의해 관찰 된 바와 같이 플루오르화 프로세스 후 Y2O3-ZrO2 고용체로 구성된 고체 소결된(벌크) 세라믹(1105) 인 챔버 컴포넌트의 측단면도를 예시한다. 캐핑 층(1015)은 TEM 이미지를 생성하기 위한 목적으로 고체 소결된 세라믹(1105) 위에 배치되었다. 표면(A5)은 고체 소결된 세라믹(1105)의 상단을 나타낸다.
도 11b는 도 11a의 챔버 컴포넌트의 재료 조성을 보영주는 EDS 라인 스캔을 예시한다. 도시된 바와 같이, 고체 소결된 세라믹(1105)의 대략 상단 70 nm가 Y2O3-ZrO2 고용체로부터 Y-Zr-O로 변환되었다. EDS 라인 스캔은 산소(1120), 불소(1140), 이트륨(1125) 및 지르코늄(1150)의 농도를 보여준다. Y2O3-ZrO2 고용체는 초기에 약 60mol %의 Y2O3 및 약 40mol %의 ZrO2를 함유하여, 약 23 원자 % Y, 약 65 원자 % O, 및 약 12 원자 % Zr를 나타내는 에너지 분산 전기 검사(EDS, energy dispersive electroscopy) 라인 스캔(도 11a에 도시된 바와 같은)을 초래하였다. 플루오르화 후, 불소 농도는 고체 소결된 세라믹(1105)의 상단 100 nm에서 약 5 원자 % 내지 약 30 원자 %로 변하였다. 플루오르화를 위한 프로세싱 조건들은 2 시간의 프로세싱 동안의 200W 플라즈마 전력 및 450 ºC에서의 NF3 플라즈마의 직접 용량성 결합 플라즈마(CCP)를 포함한다. Y2O3-ZrO2 고용체의 플루오르화는 Zr이 Y 격자의 베이컨시(vacancy)들을 차지함으로써 느려진다. 플루오르화의 깊이 및 불소 농도는 플라즈마 내의 불소 라디칼들의 프로세싱 시간 및/또는 밀도를 증가시킴으로써 증가될 수 있다.
플루오르화는 2 시간의 프로세싱 동안의 200W 플라즈마 전력 및 450 ℃에서 NF3 플라즈마의 직접 CCP의 유사한 테스트 조건들을 사용하여 다른 벌크 소결된 세라믹 제품들 및 코팅들에서도 또한 수행되었다. 제 1 Y 전구체를 사용하여 제조된 100 nm Y2O3 ALD 코팅의 이들 조건들 하에서의 플루오르화는, 전체 코팅이 약 25 원자 % 내지 약 55 원자 %로 변화하는 불소 농도를 갖는 Y-O-F 코팅으로 변환되는 결과를 초래하였다. 산소는 코팅의 표면에서 거의 고갈되어, 표면에 거의 YF3 층을 초래하는 것으로 나타났다. 불소 농도는 그 후 깊이에 따라 점진적으로 감소한다. 제 2 Y 전구체를 사용하여 제조된 또 다른 100 nm Y2O3 ALD 코팅의 이들 조건들 하에서의 플루오르화는, 전체 코팅이 약 20 원자 % 내지 약 30 원자 %로 변화하는 불소 농도를 갖는 Y-O-F 코팅으로 변환되는 결과를 초래하였다. 불소 농도는 코팅의 상반부보다 코팅의 하반부에서 약간 더 높은 것으로 나타났다. 제 1 Y 전구체를 사용하여 제조된 Y2O3 ALD 코팅과 제 2 Y 전구체를 사용하여 제조된 Y2O3 ALD 코팅 간의 미세한 마이크로구조의 차이가 발견되었으며, 이는 플루오르화에서의 예기치 못한 차이를 초래하였다.
플루오르화는 2 시간의 프로세싱 동안의 200W 플라즈마 전력 및 450 ℃에서의 NF3 플라즈마의 직접 CCP의 조건들 하에서 100 nm Al2O3 ALD 코팅에서 수행되었다. 그러한 플루오르화는 코팅의 대략 상단 20 nm의 플루오르화를 초래하였다. 코팅의 상단 20 nm에서의 불소 농도는 약 5 내지 7 원자 %였다. 따라서, 상단 20 nm는 약 35 원자 % Al, 5-7 원자 % F, 및 58-60 원자 % O의 Al-O-F 코팅으로 변환되었다.
플루오르화는 2 시간의 프로세싱 동안의 200W 플라즈마 전력 및 450 ℃에서의 NF3 플라즈마의 직접 CCP의 조건들 하에서 벌크 소결된 Y2O3 제품 상에서 수행되었다. 그러한 플루오르화는 제품의 대략 상단 150 nm의 플루오르화를 초래하였다. 불소 농도는 상단 50 nm에서 약 30-40 원자 %였고, 150 nm 깊이 부근에서 약 5 원자 %로 점진적으로 감소되었다.
도 12a는 실시예에 따른, 투과 전자 현미경(TEM)에 의해 관찰된 바와 같이 플루오르화 프로세스 후 SiO2 기판(1265) 상에 Al2O3 코팅(1205)을 포함하는 챔버 컴포넌트(1265)의 측단면도를 예시한다. 캐핑 층(1215)은 TEM 이미지를 생성하기 위한 목적으로 코팅(1205) 위에 배치되었다. 표면(A6)은 코팅(1205)의 상단을 나타낸다. 표면(B6)은 코팅(1205)의 하단 및 챔버 컴포넌느(1265)의 상단을 나타낸다.
도 12b는 도 12a의 챔버 컴포넌트의 재료 조성을 보영주는 EDS 라인 스캔을 예시한다. 도시된 바와 같이, 코팅(1205)의 대략 상단 50 nm는 Al2O3에서 Al-O-F로 변환되었다. Al2O3는 초기에 약 63-67 원자 %의 Al 및 약 33-37 원자 %의 O를 함유하고, 이는 도 12b에 도시된 바와 같이 EDS 라인 스캔을 초래하였다. EDS 라인 스캔은 알루미늄(1220), 산소(1260) 및 불소(1240)의 농도를 보여준다. 플루오르화 후, 불소 농도는 표면(A6)에서 약 15 원자 % 내지 50 nm 깊이에서 약 5 원자 % 또는 그 미만으로 변화하였다. 플루오르화를위한 프로세싱 조건들은 5 시간의 프로세싱 동안 450W 플라즈마 전력에서의 CF3/Ar 플라즈마의 직접 CCP를 포함한다. 특히, Al2O3의 플루오르화는 Y2O3의 플루오르화보다 상당히 느리다. 플루오르화의 깊이 및 불소 농도는 플라즈마 내의 불소 라디칼들의 프로세싱 시간 및/또는 밀도를 증가시킴으로써 증가될 수 있다.
플루오르화는 1-5 시간의 프로세싱 동안의 450W 플라즈마 전력에서 CF3/Ar 플라즈마의 직접 CCP의 유사한 테스트 조건들을 사용하여 다른 벌크 소결된 세라믹 제품들 및 코팅들에서도 또한 수행되었다. 5 시간의 프로세싱 동안의 100nm Al2O3 ALD 코팅의 이들 조건들 하에서의 플루오르화는 불소 농도가 약 3-30 원자 %인 Al-OF로 변환되는 코팅의 상단 10-15nm를 초래하였음, 여기서 불소 농도는 약 3-5 nm의 깊이에서 약 30 원자 %였다. 5 시간의 지속기간 동안의 100nm Y2O3 ALD 코팅의 이들 조건들 하에서의 플루오르화는 코팅의 대략 상단 70nm의 플루오르화를 초래하였다. Y2O3 코팅은 약 3-25 원자 %의 불소 농도를 갖는 YOF 코팅으로 변환되고, 여기서 불소 농도는 약 4-5 nm의 깊이에서 약 25 원자 %였고, 약 10-70 nm의 깊이에서 불소 농도는 약 5-10 원자 %였다. 5 시간의 지속기간 동안의 5 μm Y2O3 ALD 코팅의 이들 조건들 하에서의 플루오르화는 코팅의 약 상단 70nm의 플루오르화를 초래하였다. Y2O3 코팅은 약 5-20 원자 %의 불소 농도를 갖는 Y-O-F 코팅으로 변환되고, 여기서 불소 농도는 약 8-10 nm의 깊이에서 약 20 원자 %였고, 깊이가 증가함에 따라 점진적으로 감소되었다.
플루오르화는 5 시간의 프로세싱 동안 450W 플라즈마 전력에서 CF3/Ar 플라즈마를 갖는 직접 CCP 플라즈마의 조건들 하에 Y2Al4O9의 제 1 상(phase) 및 Y2O3-ZrO2 고용체의 제 2 상을 포함하는 복합 세라믹으로 구성되는 벌크 소결된 제품에서 수행되었다 . 그러한 플루오르화는 제품의 대략 상단 20 nm의 플루오르화를 초래하였다. 원래 Y2Al4O9의 제 1 상을 갖는 라멜라(lamella)는 플루오르화 프로세스에 의해 Y-Al-O-F로 변환되는 반면, 원래 Y2O3-ZrO2 고용체의 제 2 상을 갖는 라멜라는 플루오르화 프로세스에 의해 Y-Zr-O-F로 변환된다. 원래 제 2 상을 갖는 라멜라에서의 불소의 농도는 약 4-18 원자 %였다.
도 13a는 Y2O3 코팅의 플루오르화에 기인한 Y-O-F 층(1300)을 예시한다. 플루오르화는 원격 불소 플라즈마 소스를 사용하여 수행되었다. Y-O-F 층(1300)은 138-182 nm의 두께를 갖는다. 도시된 바와 같이, Y-O-F 층은 크랙들(1305, 1310) 및 박리(delamination)(1315)를 포함한다. 그러한 크랙들(1305, 1310) 및 박리(1315)는 플루오르화 프로세스의 속도를 늦춤으로써 완화될 수 있다.
도 13b는 Y2O3-ZrO2 고용체 코팅의 플루오르화에 기인 한 Y-Z-O-F 층(1320)을 예시한다. 예시된 Y-Z-O-F 층(1320)은 60 mol % Y2O3 및 40 mol % ZrO2를 포함하는 Y2O3-ZrO2의 플루오르화에 기초한다. 그러나, 70 mol % Y2O3 및 30 mol % ZrO2를 사용하여 유사한 결과들이 얻어진다. Y-Z-O-F 층은 약 32-60 nm의 두께를 갖는다. 도시된 바와 같이, Y-Z-O-F 층(1320)은 어떠한 크랙킹 또는 박리도 포함하지 않는다. Y2O3-ZrO2 고용체가 Y2O3보다 느린 속도로 불소 소스와 반응한다는 것이 밝혀졌다. 또한, 플루오르화된 Y2O3-ZrO2 고용체 코팅(예를 들어, Y-Z-O-F 층(1320))의 마이크로구조 무결성은 크랙킹 및 박리가 없는 우수한 마이크로구조 무결성을 갖는 것으로 나타났다. 결과적으로, Y-Z-O-F 층(1320)은 개선된 입자 성능(프로세싱된 기판들 상의 이트륨 계 입자들의 감소된 개수) 및 보다 긴 유효 수명들을 제공한다.
도 14는 YF3(1405) 코팅의 재료 조성을 보여주는 에너지 분산 전기 조사(EDS) 라인 스캔을 예시한다. 도시된 바와 같이, YF3 코팅(1405)은 대략 25-30 원자 % Y(1425) 및 약 60-70 원자 % F(1440)를 포함한다. YF3 코팅은 약 3-6 원자 % F(1420) 및 약 2-10 원자 % C(1422)를 더 포함한다. YF3 코팅은 IAD에 의해 증착되었고, 약 5 ㎛의 두께를 갖는다.
도 15는 실시예에 따른, 산화 프로세스 후의 도 14의 YF3 코팅(1405)의 재료 조성을 나타내는 EDS 라인 스캔을 예시하며, YF3 코팅(1405)은 Y-O-F 층을 포함한다. 산화 프로세스는 50W의 플라즈마 전력과 약 350 ℃에서의 마이크로파 O 플라즈마의 프로세싱 조건들에서 수행되었다. O 플라즈마는 Ar과 1 : 1의 비율로 유동되었다. 도시된 바와 같이, 산화 프로세스는 YF3의 상단 500 nm 부근을 Y-O-F 층으로 변환하였다. YOF 층에서의 O(1520)의 농도는 약 10-30 원자 %이고 F(1540)의 농도는 약 30-50 mol %이며, YF3 코팅(1405)의 표면에서의 O 농도는 더 높다. C(1522)의 농도는 거의 변경되지 않는다.
도 16a는 실시예에 따른, TEM으로 볼 때 HF 산 용액에서 플루오르화 프로세스 후 Y2O3(1610)의 코팅을 포함하는 챔버 컴포넌트(1605)의 측 단면도를 예시한다. Y2O3 코팅(1610)은 약 600 nm의 두께를 가지며, ALD에 의해 증착되었다. 플루오르화 프로세스는 약 1 분의 프로세스 시간 동안 초음파 교반(agitation) 하에 대략 49 % HF를 포함하는 산 용액을 사용하여 수행되었다.
도 16b는 도 16a의 챔버 컴포넌트의 재료 조성을 예시한다. 도시된 바와 같이, Y2O3 코팅(1610)은 Y2O3 코팅(1610)의 상단에서 약 50 nm의 두께를 갖는 Y-O-F 층을 포함한다. Y-O-F 층에서의 F(1640)의 농도는 약 3-15 원자 %이며, 표면 근처에서 더 높은 F 농도를 갖는다. Y2O3 코팅(1610)은 약 60-70 원자 % O(1620) 및 약 19-24 원자 % Y(1625)를 더 포함한다. 또한, Y2O3 코팅(1610)은 C(1680)는 포함한다.
플루오르화 프로세스는 다수의 상이한 이트륨 계 코팅들에 대해 약 1 분의 프로세스 시간 동안 초음파 교반하에 대략 49 % HF를 포함하는 산 용액을 사용하여 수행되었다. 이 플루오르화 프로세스는 구역 제어와 함께 ALD에 의해 증착 된 Y2O3 및 Al2O3의 교번 층(10 : 1의 Y2O3 대 Al2O3의 두께 비율을 가짐)을 포함하는 1 마이크론 두께의 코팅에 수행되었다. 결과적으로, 코팅의 상단 50 nm는 불소 농도가 약 5 원자 % 인 Y-O-F로 변환되었다. 이들 조건들을 갖는 플루오르화 프로세스는 또한 구역 제어 없이 ALD에 의해 증착된 600 nm 두께의 Y2O3 코팅에서 테스트되었다. 결과적으로, 코팅의 상단 500 nm는 불소 농도가 약 18 원자 % 인 Y-O-F로 변환되었다. 이들 조건들을 갖는 플루오르화 프로세스는 또한 Y2O3-ZrO2 고용체로 구성된 50 nm 코팅에서 테스트되었다. 결과적으로, 코팅의 상단 25 nm는 불소 농도가 약 5 원자 % 인 Y-Zr-O-F로 변환되었다.
또 다른 실시예에서, 상이한 이트륨 계 코팅에 대한 산 용액의 초음파처리와 함께, 플루오르화 프로세스는 1분의 지속기간 동안 약 0.5 부피 %의 HF, 0.5 몰 NH4F, 10 부피 %의 H2O3, 및 잔여 물을 포함한 산 용액을 사용하여 수행되었다. 이들 조건들을 갖는 플루오르화 프로세스는 구역 제어와 함께 ALD에 의해 증착 된 Y2O3 및 Al2O3의 교번 층(10 : 1의 Y2O3 대 Al2O3의 두께 비율을 가짐)을 포함하는 1 마이크론 두께의 코팅에 테스트되었다. 결과적으로, 코팅의 상단 50 nm는 불소 농도가 약 1 원자 % 인 Y-O-F로 변환되었다. 이들 조건들을 갖는 플루오르화 프로세스는 또한 구역 제어 없이 ALD에 의해 증착된 600 nm 두께의 Y2O3 코팅에서 테스트되었다. 결과적으로 코팅의 상단 25 nm는 약 2.5 원자 %의 불소 농도로 Y-O-F로 변환되었다. 이들 조건들을 갖는 플루오르화 프로세스는 또한 Y2O3-ZrO2 고용체로 구성된 50 nm 코팅에서 테스트되었다. 결과적으로, 코팅의 상단 25 nm는 불소 농도가 약 1 원자 % 인 Y-Zr-O-F로 변환되었다.
플루오르화 프로세스가 수행되어 Y2O3 코팅은 불소 계 산 용액에 노출되었다. 이 산 계 플루오르화 레시피는 1 마이크론 두께의 Y2O3 코팅을 테스트하는데 사용되었다.
도 17은 ALD에 의해 증착된 YF3 코팅의 재료 조성을 보여주는 X 선 광전자 분광법(XPS, x-ray photoelectron spectroscopy) 표면 분석을 예시한다. 도시된 바와 같이, YF3 코팅은 F(1740) 및 Y(1725)를 포함하며, 160 nm의 깊이를 갖는다.
도 18은 실시예에 따른, 도 17의 YF3 코팅의 산화로부터 형성된 Y-O-F 코팅의 재료 조성을 보여주는 XPS 표면 분석을 예시한다. 산화 프로세스는 50W의 플라즈마 전력과 약 350 ℃에서의 마이크로파 O 플라즈마의 프로세싱 조건들에서 수행되었다. O 플라즈마는 Ar과 1 : 1의 비율로 유동되었다. 도시된 바와 같이, 산화 프로세스는 전체 YF3 코팅을 약 35-60 원자 %의 산소 농도를 갖는 Y-O-F 코팅으로 변환시켰다.
도 19는 프로세싱된 기판 상에 y 축상에서 검출된 Y2O3 입자들 및 x 축 상의 RFH(radio frequency hours)의 수를 보여주는 차트이다. RFH는 프로세스 조건들 하에서 프로세싱 시간의 수를 나타낸다. 도 19는 Y-O-F를 갖는 라이너, Y4Al2O9(YAM)의 제 1 상 및 Y2O3-ZrO2 고용체인 제 2 상을 포함하는 덮개, 및 석영 노즐을 포함하는 제 1 프로세싱 챔버의 제 1 입자 성능(1910)을 예시한다. 또한, 라이너, 덮개, 및 노즐 상에 Y-Z-O-F 코팅을 포함하는 제 2 프로세싱 챔버의 제 2 입자 성능(1915)이 예시되어 있다. 도시된 바와 같이, 제조업자의 사양(1905)은 프로세싱 챔버에서 프로세싱되는 기판들에 첨가되는 35 nm 또는 그 초과의 사이즈를 갖는 5 개보다 적은 Y2O3 입자들이 있어야 한다고 규정하고 있다. 제 1 프로세싱 챔버의 제 1 입자 성능(1910)은 약 80-100 무선 주파수 시간에 5 개의 가산기들의 사양(1905)을 초과했다. 도시된 바와 같이, 제 2 프로세싱 챔버의 제 2 입자 성능(1915)은 제 1 입자 성능(1910)보다 훨씬 더 우수하고, 약 60 및 70 무선 주파수 시간에 단지 1-2 개의 가산기로 제한된다. Y-Z-O-F 코팅은 250 무선 주파수 시간 후에도 프로세싱된 기판들에서 현저하게 낮은 입자 수(count)를 생성하는 것으로 나타났다. 또한, 덮개, 노즐, 및 라이너 상에 Y-Z-O-F 코팅을 사용하여 지르코늄 계 입자들(예를 들어, ZrO2 입자들)이 검출되지 않았다.
선행 설명은 본 발명의 여러 실시예들의 양호한 이해를 제공하기 위해 특정 시스템들, 컴포넌트들, 방법들 등의 예들과 같은 다수의 특정 세부사항들을 제시한다. 그러나, 본 발명의 적어도 일부의 실시예들이 이들 특정 세부사항들이 없이 실시될 수 있다는 것이 당업자에게 자명할 것이다. 다른 경우들에서, 잘-알려진 컴포넌트들 또는 방법들은 본 발명을 불필요하게 불명료히 하는 것을 피하기 위해, 상세히 설명되지 않거나, 또는 간단한 블록도 포맷으로 제시된다. 따라서, 제시되는 특정 세부사항들은 단지 예시적인 것일 뿐이다. 특정한 구현들이 이들 예시적인 세부사항들로부터 변화될 수 있고, 여전히, 본 발명의 범위 내에 있는 것으로 고려될 수 있다.
본 명세서의 전체에 걸친 "일 실시예" 또는 "실시예"에 대한 언급은 실시예와 관련하여 설명되는 특정한 피처, 구조, 또는 특성이 적어도 하나의 실시예에 포함된다는 것을 의미한다. 따라서, 본 명세서의 전체에 걸친 다양한 개수들에서의 "일 실시예에서" 또는 "실시예에서"라는 문구의 출현은 반드시 모두 동일한 실시예를 지칭하는 것은 아니다. 부가하여, "또는"이라는 용어는 배타적인 "또는"이 아니라 포괄적인 "또는"을 의미하도록 의도된다. "약" 또는 "대략"이라는 용어가 본원에서 사용되는 경우에, 이는 제시되는 공칭 값이
Figure pat00004
10 % 내에서 정확한 것을 의미하도록 의도된다.
본원에서의 방법들의 동작들이 특정한 순서로 도시 및 설명되어 있지만, 각각의 방법의 동작들의 순서는, 특정한 동작들이 역순으로 수행될 있도록, 또는 특정한 동작이 다른 동작들과 적어도 부분적으로 동시에 수행될 수 있도록 변경될 수 있다. 다른 실시예에서, 별개의 동작들의 명령들 또는 서브-동작들은 간헐적인 및/또는 교번 방식으로 이루어질 수 있다.
위의 설명이 예시적이도록 의도되고, 제한적이도록 의도되지 않는다는 것이 이해될 것이다. 다수의 다른 실시예들이 위의 설명을 읽고 이해할 시에 당업자에게 자명하게 될 것이다. 본 발명의 범위는, 그러한 청구항들이 권리를 부여하는 균등물들의 완전한 범위와 함께, 첨부된 청구항들을 참조하여 결정되어야 한다.

Claims (15)

  1. 방법으로서,
    제1 프로세싱 챔버에 대한 챔버 컴포넌트의 표면 상에 이트륨-계 산화물 코팅을 증착하는 단계;
    약 150 ℃ 내지 1000 ℃의 상승된 온도로 상기 챔버 컴포넌트를 가열하는 단계;
    0.1 내지 72 시간의 지속기간 동안, 상기 상승된 온도에서, CF4, HF, F2, F 라디칼들, 또는 NF3 중 적어도 하나에 상기 챔버 컴포넌트를 노출시키는 단계; 및
    상기 이트륨-계 산화물 코팅의 적어도 표면을 이트륨-계 옥시-플루오라이드 층으로 변환시키는 단계
    를 포함하는,
    방법.
  2. 제1 항에 있어서,
    상기 NF3에 상기 챔버 컴포넌트를 노출시키는 것은 상기 챔버 컴포넌트를 포함하는 제2 프로세싱 챔버 내로 NF3 플라즈마를 도입하는 것을 포함하는,
    방법.
  3. 제1 항에 있어서,
    상기 CF4에 상기 챔버 컴포넌트를 노출시키는 것은 상기 챔버 컴포넌트를 포함하는 제2 프로세싱 챔버 내로 CF4의 플라즈마 및 Ar 플라즈마를 도입하는 것을 포함하는,
    방법.
  4. 제1 항에 있어서,
    상기 이트륨-계 옥시-플루오라이드 층의 두께는 약 10 nm 내지 약 5 μm인,
    방법.
  5. 제1 항에 있어서,
    상기 이트륨-계 산화물 코팅은 상기 이트륨-계 산화물 코팅의 표면에 Y(OH) 층을 포함하고, 상기 상승된 온도에서 상기 HF에 상기 챔버 컴포넌트를 노출시키는 것은 상기 Y(OH) 층이 상기 이트륨-계 옥시-플루오라이드 층으로 변환되게 하는,
    방법.
  6. 제1 항에 있어서,
    상기 챔버 컴포넌트는 상기 이트륨-계 산화물 코팅의 제2 열 팽창 계수(CTE)보다 더 큰 제1 열 팽창 계수(CTE)를 갖는 금속을 포함하고,
    상기 이트륨-계 산화물 코팅을 증착하는 것은, 약 100 ℃ 내지 300 ℃의 증착 온도에서, 상기 이트륨-계 산화물 코팅을 증착하기 위해, 원자 층 증착(ALD) 또는 이온 보조 증착(IAD)을 수행하는 것을 포함하고, 상기 이트륨-계 산화물 코팅은 상기 증착 온도를 초과하는 온도들에서의 내부 인장 응력 및 상기 증착 온도 미만의 온도들에서의 내부 압축 응력을 가지며, 그리고
    상기 이트륨-계 산화물 코팅을 상기 이트륨-계 옥시-플루오라이드 층으로 변환시키는 것은 볼륨 팽창을 발생시키고, 그리고 a) 상기 증착 온도 미만의 온도들에서의 상기 이트륨-계 산화물 코팅의 내부 압축 응력보다 더 큰, 상기 증착 온도 미만의 온도들에서의 부가적인 내부 압축 응력, 및 b) 상기 증착 온도를 초과하는 온도들에서의 상기 이트륨-계 산화물 코팅의 내부 인장 응력보다 더 낮은, 상기 증착 온도를 초과하는 온도들에서의 감소된 내부 인장 응력을 도입하는,
    방법.
  7. 제6 항에 있어서,
    상기 이트륨-계 산화물 코팅의 전체가 상기 이트륨-계 옥시-플루오라이드 층으로 변환되고, 상기 이트륨-계 옥시-플루오라이드 층은 250 ℃ 내지 350 ℃의 온도들에서 크래킹(cracking)에 저항적인,
    방법.
  8. 제6 항에 있어서,
    상기 이트륨-계 산화물 코팅은 제1 두께를 갖는 Y2O3 층들과 상기 제1 두께의 대략 1/10 내지 1/5인 제2 두께를 갖는 Al2O3 층들의 교번 스택을 포함하고, 상기 이트륨-계 옥시-플루오라이드 층은 상기 제1 두께를 갖는 Y-O-F 층들과 상기 제2 두께를 갖는 Al-O-F 층들의 교번 스택을 포함하는,
    방법.
  9. 제1 항에 있어서,
    상기 이트륨-계 산화물 코팅은 Y2O3-ZrO2 고용체로 구성되고, 상기 이트륨-계 옥시-플루오라이드 층은 Y-Z-O-F 층으로 구성되는,
    방법.
  10. 프로세싱 챔버에 대한 챔버 컴포넌트로서,
    금속 또는 세라믹 중 적어도 하나로 구성된 물건; 및
    상기 물건의 적어도 하나의 표면 상의 이트륨-계 옥시-플루오라이드 층
    을 포함하며,
    상기 이트륨-계 옥시-플루오라이드 층은 10 nm 내지 300 μm의 두께를 갖고, 상기 이트륨-계 옥시-플루오라이드 층은,
    Y-O-F;
    Y-Al-O-F;
    Y-Zr-O-F; 및
    Y-Al-O-F의 제1 상 및 Y-Zr-O-F의 제2 상을 포함하는 복합 세라믹
    으로 구성된 그룹으로부터 선택되는 조성을 갖는,
    챔버 컴포넌트.
  11. 제10 항에 있어서,
    상기 물건은 Y2O3, Y4Al2O9, Y3Al5O12, Y2O3-ZrO2 고용체, 및 Y4Al2O9의 제1 상 및 Y2O3-ZrO2 고용체의 제2 상을 포함하는 제2 복합 세라믹으로 구성된 그룹으로부터 선택되는 소결된 세라믹 물건이며,
    상기 소결된 세라믹 물건은 Y2O3이고, 상기 이트륨-계 옥시-플루오라이드 층은 Y-O-F인 것;
    상기 소결된 세라믹 물건은 Y4Al2O9 또는 Y3Al5O12이고, 상기 이트륨-계 옥시-플루오라이드 층은 Y-Al-O-F인 것;
    상기 소결된 세라믹 물건은 Y2O3-ZrO2 고용체이고, 상기 이트륨-계 옥시-플루오라이드 층은 Y-Zr-O-F인 것; 또는
    상기 소결된 세라믹 물건은 Y4Al2O9의 제1 상 및 Y2O3-ZrO2 고용체의 제2 상을 포함하는 제2 복합 세라믹이고, 상기 이트륨-계 옥시-플루오라이드 층은 Y-Al-O-F의 제1 상 및 Y-Zr-O-F의 제2 상을 포함하는 복합 세라믹인 것
    중 적어도 하나인,
    챔버 컴포넌트.
  12. 제10 항에 있어서,
    상기 물건의 적어도 하나의 표면 상의 이트륨-계 코팅을 더 포함하며,
    상기 이트륨-계 코팅은,
    이트륨-계 옥시-플루오라이드 층; 및
    상기 물건의 적어도 하나의 표면과 상기 이트륨-계 옥시-플루오라이드 층 사이의 이트륨-계 산화물 층
    을 포함하고,
    상기 이트륨-계 산화물 층은 Y2O3, Y4Al2O9, Y3Al5O12, Y2O3-ZrO2 고용체, 및 Y4Al2O9의 제1 상 및 Y2O3-ZrO2 고용체의 제2 상을 포함하는 제2 복합 세라믹으로 구성된 그룹으로부터 선택되고,
    상기 이트륨-계 산화물 층은 Y2O3이고, 상기 이트륨-계 옥시-플루오라이드 층은 Y-O-F인 것;
    상기 이트륨-계 산화물 층은 Y4Al2O9 또는 Y3Al5O12이고, 상기 이트륨-계 옥시-플루오라이드 층은 Y-Al-O-F인 것;
    상기 이트륨-계 산화물 층은 Y2O3-ZrO2 고용체이고, 상기 이트륨-계 옥시-플루오라이드 층은 Y-Zr-O-F인 것; 또는
    상기 이트륨-계 산화물 층은 Y4Al2O9의 제1 상 및 Y2O3-ZrO2 고용체의 제2 상을 포함하는 제2 복합 세라믹이고, 상기 이트륨-계 옥시-플루오라이드 층은 Y-Al-O-F의 제1 상 및 Y-Zr-O-F의 제2 상을 포함하는 복합 세라믹인 것
    중 적어도 하나인,
    챔버 컴포넌트.
  13. 제10 항에 있어서,
    상기 이트륨-계 옥시-플루오라이드 코팅은 제1 두께를 갖는 제1 층들과 상기 제1 두께의 대략 1/10 내지 1/5인 제2 두께를 갖는 제2 층들의 교번 스택을 포함하고, 상기 제1 층들은 Y-O-F를 포함하고, 상기 제2 층들은 Al-O-F를 포함하는,
    챔버 컴포넌트.
  14. 방법으로서,
    프로세싱 챔버에 대한 챔버 컴포넌트의 표면 상에 이트륨-계 산화물 코팅을 증착하는 단계;
    상기 이트륨-계 산화물을 포함하는 챔버 컴포넌트를 불소-계 산성 용액 내에 침지시키는 단계; 및
    상기 불소-계 산성 용액을 사용하여, 상기 이트륨-계 산화물 코팅의 적어도 표면을 이트륨-계 옥시-플루오라이드 층으로 변환시키는 단계
    를 포함하는,
    방법.
  15. 제14 항에 있어서,
    상기 불소-계 산성 용액은 50 vol% 내지 95 vol% 물 및 0.1 vol% 내지 50 vol% HF 산을 포함하는 HF 산성 용액이고, 상기 불소-계 산성 용액은 0 ℃ 내지 100 ℃의 온도를 갖는,
    방법.
KR1020180053818A 2017-05-10 2018-05-10 챔버 컴포넌트들을 위한 금속-옥시-플루오라이드 막들 KR102592210B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020230138545A KR20230148142A (ko) 2017-05-10 2023-10-17 챔버 컴포넌트들을 위한 금속-옥시-플루오라이드 막들

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
US201762504470P 2017-05-10 2017-05-10
US62/504,470 2017-05-10
US15/965,810 2018-04-27
US15/965,812 US10443125B2 (en) 2017-05-10 2018-04-27 Flourination process to create sacrificial oxy-flouride layer
US15/965,813 US10563303B2 (en) 2017-05-10 2018-04-27 Metal oxy-flouride films based on oxidation of metal flourides
US15/965,813 2018-04-27
US15/965,812 2018-04-27
US15/965,810 US20180327892A1 (en) 2017-05-10 2018-04-27 Metal oxy-flouride films for chamber components

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020230138545A Division KR20230148142A (ko) 2017-05-10 2023-10-17 챔버 컴포넌트들을 위한 금속-옥시-플루오라이드 막들

Publications (2)

Publication Number Publication Date
KR20180123992A true KR20180123992A (ko) 2018-11-20
KR102592210B1 KR102592210B1 (ko) 2023-10-19

Family

ID=64097080

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020180053818A KR102592210B1 (ko) 2017-05-10 2018-05-10 챔버 컴포넌트들을 위한 금속-옥시-플루오라이드 막들
KR1020230138545A KR20230148142A (ko) 2017-05-10 2023-10-17 챔버 컴포넌트들을 위한 금속-옥시-플루오라이드 막들

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020230138545A KR20230148142A (ko) 2017-05-10 2023-10-17 챔버 컴포넌트들을 위한 금속-옥시-플루오라이드 막들

Country Status (5)

Country Link
US (4) US10443125B2 (ko)
JP (2) JP7408273B2 (ko)
KR (2) KR102592210B1 (ko)
CN (2) CN208791750U (ko)
TW (2) TWM574155U (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021006600A1 (ko) * 2019-07-08 2021-01-14 주성엔지니어링(주) 기판 처리 장치의 챔버 클리닝 방법
CN112899617A (zh) * 2019-12-04 2021-06-04 中微半导体设备(上海)股份有限公司 形成耐等离子体涂层的方法、装置、零部件和等离子体处理装置
KR102290498B1 (ko) * 2020-03-30 2021-08-17 (주)도 은 렌즈 코팅용 옥시불화이트륨을 함유하는 저굴절 물질 및 그의 제조방법
KR20220041440A (ko) * 2020-09-25 2022-04-01 한국과학기술연구원 레이저 소결을 이용한 내플라즈마 코팅막 치밀화 방법

Families Citing this family (187)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
WO2017115662A1 (ja) * 2015-12-28 2017-07-06 日本イットリウム株式会社 成膜用材料
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9850573B1 (en) * 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP7071175B2 (ja) * 2017-04-18 2022-05-18 東京エレクトロン株式会社 被処理体を処理する方法
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10443125B2 (en) * 2017-05-10 2019-10-15 Applied Materials, Inc. Flourination process to create sacrificial oxy-flouride layer
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11014853B2 (en) * 2018-03-07 2021-05-25 Applied Materials, Inc. Y2O3—ZrO2 erosion resistant material for chamber components in plasma environments
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11390947B2 (en) * 2019-03-04 2022-07-19 Applied Materials, Inc. Method of forming a fluorinated metal film
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
US11118263B2 (en) * 2019-05-10 2021-09-14 Applied Materials, Inc. Method for forming a protective coating film for halide plasma resistance
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
CN112053929A (zh) * 2019-06-06 2020-12-08 中微半导体设备(上海)股份有限公司 用于等离子体腔室内部的部件及其制作方法
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR102315829B1 (ko) * 2019-07-11 2021-10-21 세메스 주식회사 내식성 보호막 증착 방법
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
JP2022542507A (ja) * 2019-07-30 2022-10-04 コーニング インコーポレイテッド 原子層堆積によって基体をフッ化マグネシウムで被覆する方法
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
CN114402413A (zh) * 2019-08-09 2022-04-26 应用材料公司 用于处理腔室部件的保护性多层涂层
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111410562B (zh) * 2019-09-10 2022-12-30 包头稀土研究院 带有稀土氟氧化物涂层的碱土氧化物坩埚的制备方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
CN112908822B (zh) * 2019-12-04 2024-04-05 中微半导体设备(上海)股份有限公司 形成耐等离子体涂层的方法、零部件和等离子体处理装置
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US20230072803A1 (en) * 2020-01-16 2023-03-09 Shin-Etsu Chemical Co., Ltd. Thermal spray material
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
CN113522688B (zh) * 2020-03-30 2022-12-30 中微半导体设备(上海)股份有限公司 耐等离子体腐蚀部件及其制备方法,等离子体处理设备
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20220166331A (ko) * 2020-04-14 2022-12-16 엔테그리스, 아이엔씨. 이트륨 플루오라이드 막 및 이트륨 플루오라이드 막의 제조 및 사용 방법
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN113707525A (zh) * 2020-05-20 2021-11-26 中微半导体设备(上海)股份有限公司 零部件、形成耐等离子体涂层的方法和等离子体反应装置
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
WO2021242516A1 (en) * 2020-05-28 2021-12-02 Lam Research Corporation Atomic layer deposition coated powder coating for processing chamber components
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20230029926A (ko) * 2020-06-30 2023-03-03 램 리써치 코포레이션 알루미늄 플루오라이드 플라즈마 노출된 표면을 가진 리모트 플라즈마 소스 샤워헤드 어셈블리
EP4179127A1 (en) * 2020-07-09 2023-05-17 Entegris, Inc. Coatings that contain fluorinated yttrium oxide and a metal oxide, and methods of preparing and using the coatings
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN114068276A (zh) * 2020-08-05 2022-02-18 中微半导体设备(上海)股份有限公司 半导体零部件、等离子体反应装置和涂层形成方法
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
CN114277340B (zh) * 2020-09-28 2023-12-29 中微半导体设备(上海)股份有限公司 零部件、耐等离子体涂层的形成方法和等离子体反应装置
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
CN116635565A (zh) * 2020-10-19 2023-08-22 欧瑞康表面解决方案股份公司,普费菲孔 碳掺杂金属氟氧化物(c:m-0-f)层作为氟等离子体蚀刻过程中的保护层
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220351960A1 (en) * 2021-05-03 2022-11-03 Applied Materials, Inc. Atomic Layer Deposition Of Metal Fluoride Films
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
JP7214935B1 (ja) * 2021-06-07 2023-01-30 株式会社新菱 イットリウム系薄膜の密着性を改善する方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2024047746A1 (ja) * 2022-08-30 2024-03-07 株式会社日立ハイテク プラズマ処理装置、プラズマ処理装置の内部部材、および、プラズマ処理装置の内部部材の製造方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140099491A1 (en) * 2012-10-09 2014-04-10 Mohammed Ameen Plasma Etch Resistant Films, Articles Bearing Plasma Etch Resistant Films and Related Methods
US20160273095A1 (en) * 2015-03-18 2016-09-22 Entegris, Inc. Articles Coated With Fluoro-Annealed Films

Family Cites Families (81)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5069938A (en) 1990-06-07 1991-12-03 Applied Materials, Inc. Method of forming a corrosion-resistant protective coating on aluminum substrate
US6514897B1 (en) * 1999-01-12 2003-02-04 Hyperion Catalysis International, Inc. Carbide and oxycarbide based compositions, rigid porous structures including the same, methods of making and using the same
JP4283925B2 (ja) 1999-01-27 2009-06-24 太平洋セメント株式会社 耐蝕性部材
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
EP1239055B1 (en) 2001-03-08 2017-03-01 Shin-Etsu Chemical Co., Ltd. Thermal spray spherical particles, and sprayed components
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
US7887889B2 (en) * 2001-12-14 2011-02-15 3M Innovative Properties Company Plasma fluorination treatment of porous materials
US8067067B2 (en) * 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US7137353B2 (en) 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7204912B2 (en) 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US7147749B2 (en) 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7780786B2 (en) 2002-11-28 2010-08-24 Tokyo Electron Limited Internal member of a plasma processing vessel
JP3894313B2 (ja) 2002-12-19 2007-03-22 信越化学工業株式会社 フッ化物含有膜、被覆部材及びフッ化物含有膜の形成方法
US6806949B2 (en) 2002-12-31 2004-10-19 Tokyo Electron Limited Monitoring material buildup on system components by optical emission
CN100418187C (zh) 2003-02-07 2008-09-10 东京毅力科创株式会社 等离子体处理装置、环形部件和等离子体处理方法
WO2004095530A2 (en) 2003-03-31 2004-11-04 Tokyo Electron Limited Adjoining adjacent coatings on an element
WO2004095532A2 (en) 2003-03-31 2004-11-04 Tokyo Electron Limited A barrier layer for a processing element and a method of forming the same
US7461614B2 (en) 2003-11-12 2008-12-09 Tokyo Electron Limited Method and apparatus for improved baffle plate
US20050098106A1 (en) 2003-11-12 2005-05-12 Tokyo Electron Limited Method and apparatus for improved electrode plate
US20050193951A1 (en) 2004-03-08 2005-09-08 Muneo Furuse Plasma processing apparatus
US20050199183A1 (en) 2004-03-09 2005-09-15 Masatsugu Arai Plasma processing apparatus
US7208044B2 (en) 2004-11-24 2007-04-24 Mark A. Zurbuchen Topotactic anion exchange oxide films and method of producing the same
US7552521B2 (en) 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
JP5006203B2 (ja) * 2005-10-19 2012-08-22 パナソニック株式会社 金属酸化膜の形成方法、金属酸化膜及び光学電子デバイス
US7674751B2 (en) * 2006-01-10 2010-03-09 American Superconductor Corporation Fabrication of sealed high temperature superconductor wires
US20070215278A1 (en) 2006-03-06 2007-09-20 Muneo Furuse Plasma etching apparatus and method for forming inner wall of plasma processing chamber
US7998307B2 (en) 2006-09-12 2011-08-16 Tokyo Electron Limited Electron beam enhanced surface wave plasma source
US7833924B2 (en) 2007-03-12 2010-11-16 Ngk Insulators, Ltd. Yttrium oxide-containing material, component of semiconductor manufacturing equipment, and method of producing yttrium oxide-containing material
JP2008251765A (ja) 2007-03-30 2008-10-16 Hitachi High-Technologies Corp プラズマエッチング装置
TWI695822B (zh) * 2007-04-27 2020-06-11 美商應用材料股份有限公司 減小曝露於含鹵素電漿下之表面腐蝕速率的方法與設備
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US20090151870A1 (en) 2007-12-14 2009-06-18 Tokyo Electron Limited Silicon carbide focus ring for plasma etching system
JP2009176787A (ja) 2008-01-22 2009-08-06 Hitachi High-Technologies Corp エッチング処理装置及びエッチング処理室用部材
JP5363132B2 (ja) * 2008-02-13 2013-12-11 日本碍子株式会社 酸化イットリウム材料、半導体製造装置用部材及び酸化イットリウム材料の製造方法
US20090214825A1 (en) * 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
JP5117891B2 (ja) 2008-03-11 2013-01-16 日本碍子株式会社 酸化イットリウム材料、半導体製造装置用部材及び酸化イットリウム材料の製造方法
US9017765B2 (en) * 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
US10157731B2 (en) 2008-11-12 2018-12-18 Applied Materials, Inc. Semiconductor processing apparatus with protective coating including amorphous phase
US8858745B2 (en) 2008-11-12 2014-10-14 Applied Materials, Inc. Corrosion-resistant bonding agents for bonding ceramic components which are exposed to plasmas
US20100140222A1 (en) 2008-12-10 2010-06-10 Sun Jennifer Y Filled polymer composition for etch chamber component
US20130115418A1 (en) 2011-11-03 2013-05-09 Coorstek, Inc. Multilayer rare-earth oxide coatings and methods of making
JP6034156B2 (ja) * 2011-12-05 2016-11-30 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP5396672B2 (ja) 2012-06-27 2014-01-22 日本イットリウム株式会社 溶射材料及びその製造方法
JP5939084B2 (ja) 2012-08-22 2016-06-22 信越化学工業株式会社 希土類元素オキシフッ化物粉末溶射材料の製造方法
CN103794458B (zh) 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于等离子体处理腔室内部的部件及制造方法
CN103794445B (zh) 2012-10-29 2016-03-16 中微半导体设备(上海)有限公司 用于等离子体处理腔室的静电夹盘组件及制造方法
CN103794460B (zh) 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于半导体装置性能改善的涂层
US20140206137A1 (en) * 2013-01-23 2014-07-24 David H. Levy Deposition system for thin film formation
JP2014240511A (ja) * 2013-06-11 2014-12-25 株式会社フジミインコーポレーテッド 溶射皮膜の製造方法および溶射用材料
CN104419913B (zh) * 2013-08-29 2018-02-16 赵培 一种激光化学气相沉积法制备高温超导带材的技术及设备
US20150126036A1 (en) 2013-11-05 2015-05-07 Tokyo Electron Limited Controlling etch rate drift and particles during plasma processing
CN104701125A (zh) 2013-12-05 2015-06-10 中微半导体设备(上海)有限公司 气体分布板
US9739913B2 (en) * 2014-07-11 2017-08-22 Applied Materials, Inc. Extreme ultraviolet capping layer and method of manufacturing and lithography thereof
US9355922B2 (en) * 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
JP5927656B2 (ja) 2014-11-08 2016-06-01 リバストン工業株式会社 皮膜付き基材、その製造方法、その皮膜付き基材を含む半導体製造装置部材
US20160254125A1 (en) 2015-02-27 2016-09-01 Lam Research Corporation Method for coating surfaces
JP6281507B2 (ja) 2015-03-03 2018-02-21 信越化学工業株式会社 希土類元素オキシフッ化物粉末溶射材料及び希土類元素オキシフッ化物溶射部材の製造方法
JP6124100B2 (ja) 2015-03-05 2017-05-10 日本イットリウム株式会社 焼結用材料及び焼結用材料を製造するための粉末
KR20160124992A (ko) 2015-04-20 2016-10-31 삼성전자주식회사 기판 제조 장치, 및 그의 세라믹 박막 코팅 방법
US10138167B2 (en) 2015-05-08 2018-11-27 Tokyo Electron Limited Thermal spray material, thermal spray coating and thermal spray coated article
US20170018408A1 (en) 2015-07-15 2017-01-19 Lam Research Corporation Use of sintered nanograined yttrium-based ceramics as etch chamber components
JP6500681B2 (ja) 2015-07-31 2019-04-17 信越化学工業株式会社 イットリウム系溶射皮膜、及びその製造方法
US20170040146A1 (en) 2015-08-03 2017-02-09 Lam Research Corporation Plasma etching device with plasma etch resistant coating
KR101861983B1 (ko) * 2015-09-07 2018-05-28 미쓰이금속광업주식회사 옥시불화이트륨, 안정화 옥시불화이트륨 제조용 원료 분말 및 안정화 옥시불화이트륨의 제조 방법
JP6706894B2 (ja) 2015-09-25 2020-06-10 株式会社フジミインコーポレーテッド 溶射材料
JP6668024B2 (ja) 2015-09-25 2020-03-18 株式会社フジミインコーポレーテッド 溶射材料
JP2017061735A (ja) 2015-09-25 2017-03-30 株式会社フジミインコーポレーテッド 溶射用スラリー
JP2017071843A (ja) 2015-10-09 2017-04-13 日本イットリウム株式会社 成膜用材料
JP6681168B2 (ja) 2015-10-20 2020-04-15 株式会社フジミインコーポレーテッド 溶射用スラリー、溶射皮膜および溶射皮膜の形成方法
JP6384536B2 (ja) * 2015-10-23 2018-09-05 信越化学工業株式会社 フッ化イットリウム溶射材料及びオキシフッ化イットリウム成膜部品の製造方法
JP6181224B1 (ja) * 2016-03-04 2017-08-16 株式会社東芝 グラフェン配線構造とその作製方法
JP2016153369A (ja) 2016-03-22 2016-08-25 日本イットリウム株式会社 焼結体
US10538845B2 (en) * 2016-06-22 2020-01-21 Ngk Spark Plug Co., Ltd. Yttrium oxyfluoride sprayed coating and method for producing the same, and sprayed member
JP6388188B1 (ja) * 2016-11-02 2018-09-12 日本イットリウム株式会社 成膜用材料及び皮膜
JP6650385B2 (ja) 2016-11-07 2020-02-19 東京エレクトロン株式会社 溶射用材料、溶射皮膜および溶射皮膜付部材
US10443125B2 (en) * 2017-05-10 2019-10-15 Applied Materials, Inc. Flourination process to create sacrificial oxy-flouride layer

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140099491A1 (en) * 2012-10-09 2014-04-10 Mohammed Ameen Plasma Etch Resistant Films, Articles Bearing Plasma Etch Resistant Films and Related Methods
US20160273095A1 (en) * 2015-03-18 2016-09-22 Entegris, Inc. Articles Coated With Fluoro-Annealed Films

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021006600A1 (ko) * 2019-07-08 2021-01-14 주성엔지니어링(주) 기판 처리 장치의 챔버 클리닝 방법
CN112899617A (zh) * 2019-12-04 2021-06-04 中微半导体设备(上海)股份有限公司 形成耐等离子体涂层的方法、装置、零部件和等离子体处理装置
CN112899617B (zh) * 2019-12-04 2023-03-31 中微半导体设备(上海)股份有限公司 形成耐等离子体涂层的方法、装置、零部件和等离子体处理装置
KR20230068373A (ko) * 2019-12-04 2023-05-17 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드. 차이나 내 플라즈마 코팅을 형성하는 방법, 장치, 부품 및 플라즈마 처리 장치
KR102290498B1 (ko) * 2020-03-30 2021-08-17 (주)도 은 렌즈 코팅용 옥시불화이트륨을 함유하는 저굴절 물질 및 그의 제조방법
KR20220041440A (ko) * 2020-09-25 2022-04-01 한국과학기술연구원 레이저 소결을 이용한 내플라즈마 코팅막 치밀화 방법

Also Published As

Publication number Publication date
JP2023159368A (ja) 2023-10-31
KR102592210B1 (ko) 2023-10-19
US20200140996A1 (en) 2020-05-07
TW201900905A (zh) 2019-01-01
US10443125B2 (en) 2019-10-15
JP7408273B2 (ja) 2024-01-05
TWI794228B (zh) 2023-03-01
US10563303B2 (en) 2020-02-18
KR20230148142A (ko) 2023-10-24
JP2018190985A (ja) 2018-11-29
TWM574155U (zh) 2019-02-11
CN208791750U (zh) 2019-04-26
US20180327898A1 (en) 2018-11-15
CN108866509A (zh) 2018-11-23
US20180327892A1 (en) 2018-11-15
US20180327899A1 (en) 2018-11-15

Similar Documents

Publication Publication Date Title
KR102592210B1 (ko) 챔버 컴포넌트들을 위한 금속-옥시-플루오라이드 막들
US11639547B2 (en) Halogen resistant coatings and methods of making and using thereof
US10745805B2 (en) Plasma resistant coating of porous body by atomic layer deposition
US11251023B2 (en) Multi-layer plasma resistant coating by atomic layer deposition
US20180337026A1 (en) Erosion resistant atomic layer deposition coatings
US20200024735A1 (en) Erosion resistant metal fluoride coatings deposited by atomic layer deposition
TW201920742A (zh) 用於高溫加熱器的原子層沉積塗層
KR20200000245U (ko) 원자 층 증착에 의해 증착되는 내부식성 금속 산화물 코팅들
JP3224084U (ja) 原子層堆積法で堆積させた耐浸食性金属フッ化物コーティング

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant