KR20230068373A - 내 플라즈마 코팅을 형성하는 방법, 장치, 부품 및 플라즈마 처리 장치 - Google Patents

내 플라즈마 코팅을 형성하는 방법, 장치, 부품 및 플라즈마 처리 장치 Download PDF

Info

Publication number
KR20230068373A
KR20230068373A KR1020230056966A KR20230056966A KR20230068373A KR 20230068373 A KR20230068373 A KR 20230068373A KR 1020230056966 A KR1020230056966 A KR 1020230056966A KR 20230056966 A KR20230056966 A KR 20230056966A KR 20230068373 A KR20230068373 A KR 20230068373A
Authority
KR
South Korea
Prior art keywords
plasma
yttrium
coating material
atoms
material source
Prior art date
Application number
KR1020230056966A
Other languages
English (en)
Other versions
KR102600297B1 (ko
Inventor
지아오 두안
셩 구오
시앙 순
싱지안 첸
Original Assignee
어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드. 차이나
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드. 차이나 filed Critical 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드. 차이나
Publication of KR20230068373A publication Critical patent/KR20230068373A/ko
Application granted granted Critical
Publication of KR102600297B1 publication Critical patent/KR102600297B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C30/00Coating with metallic material characterised only by the composition of the metallic material, i.e. not characterised by the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0021Reactive sputtering or evaporation
    • C23C14/0036Reactive sputtering
    • C23C14/0057Reactive sputtering using reactive gases other than O2, H2O, N2, NH3 or CH4
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0694Halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/081Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/083Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/24Vacuum evaporation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/24Vacuum evaporation
    • C23C14/26Vacuum evaporation by resistance or inductive heating of the source
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/24Vacuum evaporation
    • C23C14/28Vacuum evaporation by wave energy or particle radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/24Vacuum evaporation
    • C23C14/28Vacuum evaporation by wave energy or particle radiation
    • C23C14/30Vacuum evaporation by wave energy or particle radiation by electron bombardment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3407Cathode assembly for sputtering apparatus, e.g. Target
    • C23C14/3414Metallurgical or chemical aspects of target preparation, e.g. casting, powder metallurgy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3464Sputtering using more than one target
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/46Sputtering by ion beam produced by an external ion source
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/042Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material including a refractory ceramic layer, e.g. refractory metal oxides, ZrO2, rare earth oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Abstract

부품에 내 플라즈마 코팅을 형성하는 방법, 내 플라즈마 코팅을 형성하는 장치, 부품 및 플라즈마 처리 장치에 있어서, 내 플라즈마 코팅을 형성하는 장치는, 진공 챔버; 진공 챔버의 내부에 위치하는 제1 코팅 재료 소스, 제2 코팅 재료 소스 및 부품; 제1 코팅 재료 소스의 이트륨 원자 및 산소 원자를 여기하는 제1 여기 장치; 제2 코팅 재료 소스의 원자를 여기하는 제2 여기 장치;를 포함하며, 여기서, 제1 코팅 재료 소스는 산소 원자 및 이트륨 원자를 포함하고; 제2 코팅 재료 소스는 이트륨 불소 화합물, 알루미늄 산화물 및 지르코늄 산화물 중 하나를 포함하며; 상기 제1 코팅 재료 소스에서 여기되는 이트륨 원자 및 산소 원자는 제2 코팅 재료 소스에서 여기되는 원자와 화학 반응을 일으켜 부품에 안정된 상을 갖는 이트륨계 다성분 금속 산화물 또는 이트륨계 옥시플루오라이드를 포함하는 내 플라즈마 코팅을 형성한다. 상기 장치에 의해 형성되는 코팅은 플라즈마 부식에 매우 강하다.

Description

내 플라즈마 코팅을 형성하는 방법, 장치, 부품 및 플라즈마 처리 장치{METHOD AND DEVICE OF FORMING PLASMA RESISTANT COATING, PART AND PLASMA PROCESSING APPARATUS}
본 발명은 반도체 분야에 관한 것으로, 특히 부품에 내 플라즈마 코팅을 형성하는 방법, 장치, 부품 및 플라즈마 처리 장치에 관한 것이다.
플라즈마 식각 공정은 집적 회로 분야에서 핵심적인 역할을 해왔다. 플라즈마 식각 챔버 내의 열악한 부식 환경에 있는 부품의 경우 상대적으로 높은 플라즈마 내식성을 필요로 한다. 이러한 이유로, 일부 특허에서는 이트리아 또는 플루오르화이트륨을 사용한 내 플라즈마 코팅을 플라즈마 식각 챔버 내부 부품의 표면에 코팅하여 작업물을 보호하는 방법을 제안하여 우수한 플라즈마 내식 효과를 얻었다. 그러나 하이 엔드 공정(10nm 이하)이 지속적으로 발전함에 따라 플라즈마 식각 공정에 사용되는 F/O 플라즈마의 비율이 지속적으로 증가하고 플라즈마 식각 성능이 지속적으로 강화되었으며, 이와 동시에 플라즈마와 접촉하는 부품은: 1. 더 뛰어난 표면 치밀성을 구비하고, CF4 및/또는 O2 플라즈마 부식을 동시에 견디고, 재료의 구조에는 최대한 변화가 발생하지 않으며, 챔버 식각 환경의 안정성을 유지하고; 2. 표면 초기화 시간이 더 짧고 서비스 수명이 더 길어 챔버의 유지 관리 비용을 절감할 것을 요구한다.
상술한 요구 사항에 대한 이트리아 및 플루오르화이트륨의 보호 효과는 제한적이며, 실제 요구 사항을 더 이상 충족할 수 없으므로 CF4 및 O2 플라즈마 부식을 동시에 견딜 수 있고 서비스 수명이 길며 표면 치밀성이 뛰어나고 챔버 식각 환경의 안정성을 유지할 수 있는 내 플라즈마 코팅 재료를 제공하는 것이 플라즈마 식각 성능을 더 향상시키기 위한 중요한 발전 방향이 되었다.
본 발명이 해결하는 기술적 과제는 부품에 내 플라즈마 코팅을 형성하는 방법, 부품에 내 플라즈마 코팅을 형성하는 장치, 부품 및 플라즈마 처리 장치를 제공하여 내 플라즈마 코팅이 플라즈마에 의해 부식되는 것을 감소하고 플라즈마 식각 환경의 안정성을 향상시키는 것이다.
상기 기술적 문제를 해결하기 위해, 본 발명은 부품에 내 플라즈마 코팅을 형성하는 장치를 제공하며, 진공 챔버; 상기 진공 챔버의 내부에 위치하는 제 1 코팅 재료 소스, 제 2 코팅 재료 소스 및 부품; 제1 코팅 재료 소스의 이트륨 원자 및 산소 원자를 여기하는 제1 여기 장치; 제 2 코팅 재료 소스의 원자를 여기하는 제2 여기 장치;를 포함하며, 여기서, 상기 제 1 코팅 재료 소스는 산소 원자 및 이트륨 원자를 포함하고, 제 2 코팅 재료 소스는 이트륨 불소 화합물, 알루미늄 산화물 및 지르코늄 산화물 중 하나를 포함하며; 상기 부품은 제 1 코팅 재료 소스 및 제 2 코팅 재료 소스와 서로 마주보게 구비되며; 제 1 코팅 재료 소스에서 여기되는 상기 이트륨 원자 및 산소 원자가 제 2 코팅 재료 소스에서 여기되는 원자와 충돌하여 화학 반응을 일으켜 부품에 내 플라즈마 코팅을 증착하여 형성하며, 상기 내 플라즈마 코팅은 안정된 상을 갖는 이트륨계 다성분 금속 산화물 또는 이트륨계 옥시플루오라이드를 포함한다.
선택적으로, 상기 제 1 코팅 재료 소스는 Y2O3, Y(OH)3 및 고온에서의 분해 생성물이 Y2O3인 화합물이다.
선택적으로, 상기 이트륨 불소 화합물은 YF3, Y(CO3)F 및 고온에서의 분해 생성물이 YF3인 화합물이 포함되며, 상기 내 플라즈마 코팅에 포함되는 이트륨계 옥시플루오라이드는 이트륨 산소 불소 화합물이며; 상기 안정된 상의 이트륨 산소 불소 화합물은 YOF, Y5O4F7, Y6O5F8, Y7O6F9 및 Y17O14F23 중 하나 이상을 포함한다.
선택적으로, 상기 알루미늄 산화물에는 산화 알루미늄, 수산화 알루미늄, 보헤마이트 또는 유사 보헤마이트가 포함되며, 상기 내 플라즈마 코팅에 포함되는 이트륨계 다성분 금속 산화물은 이트륨 알루미늄 산화물이고, 상기 이트륨 알루미늄 산화물에는 Y3Al5O12, YAlO3 또는 Y4Al2O9가 포함된다.
선택적으로, 상기 지르코늄 산화물은 산화 지르코늄 또는 수산화 지르코늄을 포함하고, 상기 내 플라즈마 코팅에 포함되는 이트륨계 다성분 금속 산화물은 이트륨 지르코늄 산화물이고, 상기 이트륨 지르코늄 산화물은 ZraY1-aO2(0.5 <a<1)을 포함한다.
선택적으로, 상기 제 2 코팅 재료 소스는 알루미늄 산화물 또는 지르코늄 산화물이고, 내 플라즈마 코팅 장치는 제 3 코팅 재료 소스 및 제3 여기 장치;를 더 포함하며, 상기 제 3 코팅 재료 소스에는 불소 함유 화합물, 알루미늄 산화물 또는 지르코늄 산화물이 포함되며, 상기 제3 코팅 재료 소스의 재료와 제2 코팅 재료 소스의 재료는 상이하며; 제 3 여기 장치는 제 3 코팅 재료 소스의 원자를 여기하며, 제1 코팅 재료 소스에서 여기되는 이트륨 원자 및 산소 원자와 제 2 코팅 재료 소스 및 제3 코팅 재료 소스에서 여기되는 원자가 화학 반응을 일으켜 형성하는 내 플라즈마 코팅은 이트륨계 옥시플루오라이드 또는 이트륨계 다성분 금속 산화물이며, 상기 이트륨계 옥시플루오라이드에는 이트륨 알루미늄 불소 산화물 또는 이트륨 지르코늄 불소 산화물이 포함되며, 상기 이트륨계 다성분 금속 산화물에는 이트륨 알루미늄 지르코늄 산화물이 포함된다.
선택적으로, 상기 제 1 여기 장치, 제 2 여기 장치 또는 제 3 여기 장치는 플라즈마 충격 장치이고, 상기 플라즈마 충격 장치에 의해 생성되는 플라즈마는 아르곤 플라즈마 및 산소 플라즈마 중 적어도 하나를 포함한다.
선택적으로, 상기 제 1 여기 장치, 제 2 여기 장치 또는 제 3 여기 장치는 전자총 히터, 저항 히터, 레이저 히터 및 주파수 유도 히터 중 적어도 하나이다.
선택적으로, 상기 내 플라즈마 코팅은 안정된 상을 갖는 이트륨계 다성분 금속 산화물 또는 이트륨계 옥시플루오라이드만을 포함한다.
선택적으로, 상기 내 플라즈마 코팅에는 금속 산화물 및/또는 금속 불화물이 더 포함된다.
본 발명은 부품에 내 플라즈마 코팅을 형성하는 방법을 제공하며, 상기 부품에 내 플라즈마 코팅을 형성하는 장치를 제공하며; 상기 제1 여기 장치를 이용하여 제1 코팅 재료 소스에 제1 여기 공정을 실시하여 상기 제1 코팅 재료 소스의 이트륨 원자 및 산소 원자가 여기되게 하고 동시에 상기 제2 여기 장치를 이용하여 제2 코팅 재료 소스에 제2 여기 공정을 실시하여 상기 제2 코팅 재료 소스의 원자가 여기되게 하며; 제1 코팅 재료 소스에서 여기되는 상기 이트륨 원자 및 산소 원자는 제2 코팅 재료 소스에 의해 여기되는 원자와 충돌하여 화학 반응을 일으켜 부품에 내 플라즈마 코팅을 증착하여 형성하며, 상기 내 플라즈마 코팅은 안정된 상의 이트륨계 다성분 금속 산화물 또는 이트륨계 옥시플루오라이드를 포함한다.
선택적으로, 상기 제1 여기 공정 및 제 2 여기 공정은 각각 이온 스퍼터링 공정 및 고온 증발 공정 중 하나이다.
선택적으로, 제 1 여기 공정 및/또는 제 2 여기 공정이 이온 스퍼터링 공정인 경우, 상기 제 1 여기 장치 및/또는 제 2 여기 장치는 플라즈마 충격 장치이고 상기 플라즈마 충격 장치에 의해 생성되는 플라즈마는 아르곤 이온 및 산소 이온 중 적어도 하나를 포함한다.
선택적으로, 제 1 여기 공정 및/또는 제 2 여기 공정이 고온 증발 공정인 경우, 제 1 여기 장치 및/또는 제 2 여기 장치는 전자총 히터, 저항 와이어 히터 및 레이저 히터 및 주파수 유도 히터 중 적어도 하나이다.
선택적으로, 상기 제 1 여기 공정 및 제 2 여기 공정은 모두 이온 스퍼터링 공정이고, 제 1 여기 공정의 파라미터는: 플라즈마는 아르곤 이온이고 충격 에너지는 5kW ~ 20kW이며; 상기 제2 여기 공정의 파라미터는: 플라즈마는 아르곤 이온이고 충격 에너지는 5kW ~ 20kW이다.
선택적으로, 상기 제1 여기 공정 및 제2 여기 공정은 모두 고온 증발 공정이며; 상기 제1 여기 공정의 파라미터는 온도가 2400℃를 초과하며; 상기 제2 여기 공정의 파라미터는 온도가 1400℃를 초과한다. .
선택적으로, 상기 부품을 가열하여 이트륨 원자, 산소 원자 및 금속 원자 사이 또는 상기 이트륨 원자, 산소 원자, 금속 원자 및 불소 원자 사이에 충돌이 발생하여 화학 반응이 일어나도록 하며, 부품에 안정된 상의 이트륨계 다성분 금속 산화물 또는 이트륨계 옥시플루오라이드를 증착하여 형성하는 단계를 더 포함한다.
선택적으로 상기 부품에 대한 가열 온도 범위는 25℃ ~ 500℃이다.
이에 상응하여, 본 발명은 부품을 더 제공하며, 상기 부품은 부품 본체를 포함하며, 상기 부품 본체에는 내 플라즈마 코팅이 구비되며, 상기 내 플라즈마 코팅은 안정된 상을 갖는 이트륨계 다성분 금속 산화물 또는 이트륨계 옥시플루오라이드를 포함한다.
따라서, 본 발명은 부품을 포함하는 플라즈마 처리장치를 더 제공하며, 내부가 플라즈마 환경인 반응 챔버; 상기 반응 챔버의 내부에 위치하고 내 플라즈마 코팅을 구비하는 부품;을 포함하며, 상기 내 플라즈마 코팅은 안정된 상을 갖는 이트륨계 다성분 금속 산화물 또는 이트륨계 옥시플루오라이드를 포함하며, 상기 내 플라즈마 코팅은 상기 플라즈마 환경에 노출된다.
선택적으로, 플라즈마 처리 장치가 유도 결합 플라즈마 처리 장치인 경우, 상기 부품에는 세라믹 플레이트, 내부 부싱, 가스 노즐, 가스 분배판, 가스 파이프 플랜지, 정전 척 어셈블리, 커버 링, 초점 링, 절연 링 및 기판 고정 프레임 중 적어도 하나가 포함된다.
선택적으로, 플라즈마 처리 장치가 용량 결합 플라즈마 처리 장치인 경우, 상기 부품에는 샤워 헤드, 상부 접지 링, 이동 링, 가스 분배판, 가스 버퍼 플레이트, 정전 척 어셈블리, 하부 접지 링, 커버 링, 초점 링, 절연 링 및 기판 고정 프레임 중 적어도 하나가 포함된다.
종래의 기술과 비교하면, 본 발명의 실시예의 기술방안은 다음과 같은 유익한 효과를 갖는다:
본 발명의 기술방안이 제공하는 부품에 내 플라즈마 코팅을 형성하는 방법에서, 상기 제 1 여기 장치를 이용하여 제 1 코팅 재료 소스에 대해 제 1 여기 공정을 진행하여 상기 제1 코팅 재료 소스의 이트륨 원자 및 산소 원자가 여기되게 하고, 동시에 제2 여기 장치를 이용하여 제2 코팅 재료 소스에 대해 제2 여기 공정을 진행하여 상기 제2 코팅 재료 소스의 원자가 여기되게 하며, 제1 코팅 재료 소스에서 여기되는 상기 이트륨 원자 및 산소 원자는 제2 코팅 재료 소스에 의해 여기되는 원자와 충돌하여 화학 반응을 일으키며, 부품에 내 플라즈마 코팅을 증착하여 형성하며, 상기 내 플라즈마 코팅은 안정된 상을 갖는 이트륨계 다성분 금속 산화물 또는 이트륨계 옥시플루오라이드를 포함한다. 부품이 산소 및/또는 불소를 포함하는 플라즈마 환경에 노출될 경우, 상기 이트륨계 다성분 금속 산화물 또는 이트륨계 옥시플루오라이드가 안정된 상 구조를 구비하므로, 상기 이트륨계 다성분 금속 산화물 또는 이트륨계 옥시플루오라이드가 플라즈마의 산소 및 불소가 플라즈마 코팅 표면에서 흡착, 확산 및 추가적인 부식이 일어나는 것을 방지할 수 있어, 한편으로는 내 플라즈마 코팅이 부식되는 확률을 줄이는데 유리하고, 다른 한편으로는 내 플라즈마 코팅이 플라즈마 환경에서 포화에 도달하는 시간을 단축하여 챔버의 산소 플라즈마 및 불소 플라즈마 환경의 안정성을 유지하는데 유리하다.
도 1은 이온 스퍼터링 공정에 의해 부품에 내 플라즈마 코팅을 형성하는 장치의 설명도이다;
도 2는 다른 고온 증발 공정을 사용하여 부품에 내 플라즈마 코팅을 형성하는 장치의 설명도이다;
도 3은 본 발명의 부품에 내 플라즈마 코팅을 형성하는 장치의 설명도이다.
도 4는 본 발명의 부품에 내 플라즈마 코팅을 형성하는 다른 장치의 설명도이다;
도 5는 본 발명의 부품에 내 플라즈마 코팅을 형성하는 또 다른 장치의 설명도이다.
도 6은 본 발명의 부품에 내 플라즈마 코팅을 형성하는 공정 흐름도이다.
도 7은 본 발명에 의해 형성된 일종의 내 플라즈마 코팅의 X-선 회절 패턴(XRD)이다.
배경 기술에서 언급한 바와 같이 이트리아 및 플루오르화이트륨의 부품에 대한 보호 효과는 제한적이고 실제 요구를 충족시킬 수 없으며 특히 CF4 및 O2 플라즈마 부식을 동시에 견딜 수 없다. 이를 위해, 본 발명은 플라즈마에 의해 부식되는 부품에 내 플라즈마 코팅을 제공하며, 다음은 상세한 설명이다.
도 1은 이온 스퍼터링 기술에 의해 부품에 내 플라즈마 코팅을 형성하는 장치의 설명도이다.
도 1에 도시된 바와 같이, 진공 챔버(100); 상기 진공 챔버(100) 내부에 위치하며, 서로 마주보게 구비되는 YxOyFz 타겟(101) 및 부품(102); 상기 진공 챔버(100)의 내부에 위치하는 플라즈마 충격 장치(103);를 포함하며, 상기 플라즈마 충격 장치(103)는 YxOyFz 타겟(101)에 충격을 가하여 이트륨 원자, 산소 원자 및 불소 원자를 생성하며, 충격에 의해 생성된 이트륨 원자, 산소 원자 및 불소 원자는 YxOyFz 타겟(101)의 표면에 증착하여 내 플라즈마 코팅(11)을 형성한다.
상술한 장치에서 플라즈마 충격 장치(103)의 아르곤 플라즈마를 이용하여 YxOyFz 타겟(101)의 표면에 충격에 가하여 YxOyFz 타겟(101)의 이트륨 원자, 산소 원자 및 불소 원자가 충격에 의해 생성되도록 하며, 생성된 이트륨 원자, 산소 원자 및 불소 원자는 YxOyFz 타겟(101)의 표면에 증착하여 내 플라즈마 코팅(11)을 형성한다.
이론적으로 상기 내 플라즈마 코팅(11)의 성분 및 물상(物相)은 YxOyFz 타겟(101)과 일치해야 하지만, 본 발명자는 실제로 여기 과정에서 YxOyFz(약 1000℃)가 Y2O3 및 YF3의 두 가지 물상으로 분해 형성되는 것을 발견하였다. 더욱이 YF3(융점 1387℃)의 융점은 Y2O3(융점 2410℃)의 융점보다 훨씬 낮기 때문에 YF3의 이트륨 원자와 불소 원자가 여기될 때 Y2O3의 이트륨 원자와 산소 원자는 여기되지 않는다. YxOyFz의 일부가 소모되면, 일반적으로 당업자는 형성된 내 플라즈마 코팅(11)이 YOF라고 생각하지만, 실제로, 내 플라즈마 코팅(11)은 YF3뿐일 수 있다. 내 플라즈마 코팅이(11)이 YF3인 내 플라즈마 코팅 부품을 플라즈마 환경에서 사용할 경우, YF3은 플라즈마 환경에서의 산소를 흡착하기 쉽고, 오랜 시간 동안 대량의 산소 플라즈마를 소비해야 내 플라즈마 코팅의 표면이 포화 상태에 도달하게 되므로 플라즈마 처리 장치의 운행 비용을 크게 증가시킨다. 또한 YF3가 플라즈마 환경에서의 산소를 흡착하기 때문에 플라즈마 환경의 안정성이 떨어진다.
도 2는 다른 고온 증발 공정을 사용하여 고온 증발로 부품에 내 플라즈마 코팅을 형성하는 장치의 설명도이다.
도 2에 도시된 바와 같이, 진공 챔버(200); 진공 챔버(200) 내부에 위치하는 Y2O3 소스(201); 상기 진공 챔버(200)에 불소 원자를 공급하기 위한 불소 소스 공급부(204); Y2O3 소스(201)와 마주보는 부품(202); 상기 진공 챔버(200) 내부에 위치하는 전자총(203);을 포함하며, 상기 전자총(203)은 Y2O3 소스(201)에 가열을 진행하여 Y2O3 소스(201)의 이트륨 원자와 산소 원자가 여기되게 하며, 이트륨 원자, 산소 원자 및 불소 원자는 부품(202)의 표면에 내 플라즈마 코팅(21)을 형성한다.
상술한 장치를 이용하여 고온 증발 공정을 통해 부품(202)의 표면에 내 플라즈마 코팅(21)을 형성하는 과정에서, 본 발명자는 이온화된 F 원자는 에너지가 낮기 때문에, Y2O3 원자 및 분자와의 충돌은 화학 반응을 일으키기에 충분하지 않으며, 따라서 상기 내 플라즈마 코팅(21)은 실제로 대부분은 여전히 Y2O3 막층이고, Y2O3 막층의 표면에서만 소량의 불소 원자(원자 비율은 8% 좌우)를 물리적으로 흡착하기에 안정된 YOF 물상이 형성되지 않는다는 것을 발견하였다. 상기 내 플라즈마 코팅(21)을 포함하는 부품을 플라즈마 환경에 응용하는 경우, Y2O3 막층은 흡착된 불소 플라즈마에 의해 쉽게 부식되며, 오랜 시간 동안 많은 양의 불소 함유 플라즈마를 소비해야 내 플라즈마 코팅 표면이 포화되므로 플라즈마 처리 장치의 운행 비용을 크게 증가시킨다. 또한, Y2O3 막층은 플라즈마 환경에서의 불소 플라즈마를 흡착하여 플라즈마 환경의 불소에 변화가 발생하도록 하며, 즉 플라즈마 환경의 안정성이 떨어진다.
요약하면, 상술한 장치에 의해서 안정적인 상 구조를 갖는 불소 산소 이트륨 화합물의 내 플라즈마 코팅을 부품에 형성하는 것은 모두 불가능하며, 상술한 내 플라즈마 코팅은 플라즈마 환경에 적용될 경우, 플라즈마 중의 산소 또는 불소를 쉽게 흡착하므로 상술한 내 플라즈마 코팅은 불소와 산소의 플라즈마 부식을 방지하고, 플라즈마 처리 장치에서 플라즈마 환경이 안정되는데 소요되는 운행 시간을 줄이며, 식각 챔버 환경의 안정성을 향상시키는 것에 대한 요구를 동시에 충족시킬 수 없다.
상기 기술적 문제를 해결하기 위해, 본 발명의 기술적 해결방안은 부품에 내 플라즈마 코팅을 형성하는 장치를 제공하며, 진공 챔버; 상기 진공 챔버 내부에 위치하는 제1 코팅 재료 소스, 제2 코팅 재료 소스 및 부품; 제1 코팅 재료 소스의 이트륨 원자와 산소 원자를 여기하는 제1 여기 장치; 제2 코팅 재료 소스의 원자를 여기하는 제2 여기 장치;를 포함하며, 여기서, 상기 제1 코팅 재료 소스는 산소 원자와 이트륨 원자를 포함하고, 제2 코팅 재료 소스는 금속 원자를 포함하며, 상기 제2 코팅 재료 소소는 산소 원자 및 불소 원자 중 하나를 더 포함하며, 상기 부품은 제1 코팅 재료 소스 및 제2 코팅 재료 소스와 서로 마주보게 구비되며, 제1 코팅 재료 소스에서 여기된 상기 이트륨 원자와 산소 원자는 제2 코팅 재료 소스에서 여기된 원자와 충돌하여 화학반응을 일으키며, 부품에 내 플라즈마 코팅을 증착하여 형성하며, 상기 내 플라즈마 코팅은 안정된 상을 갖는 이트륨계 다성분 금속 산화물 또는 이트륨계 옥시플루오라이드를 포함한다. 상기 장치에 의해 형성된 내 플라즈마 코팅을 플라즈마 환경에 적용하면, 내 플라즈마 코팅이 플라즈마에 의해 부식되는 것을 감소하고 식각 챔버 환경의 안정성을 제고하는데 유리하다.
본 발명의 상기 목적, 특징 및 유익한 효과를 보다 명확하고 이해하기 쉽게 하기 위해, 첨부된 도면을 결합하여 본 발명의 구체적인 실시예를 상세히 설명한다.
도 3은 본 발명의 부품에 내 플라즈마 코팅을 형성하는 장치의 설명도이다.
도 3에 도시된 바와 같이, 진공 챔버(300); 상기 진공 챔버(300)에 위치하는 제 1 코팅 재료 소스(301), 제 2 코팅 재료 소스(302) 및 부품(303); 제 1 코팅 재료 소스(301)의 이트륨 원자 및 산소 원자를 여기하는 제1 여기 장치(304); 제 2 코팅 재료 소스(302)의 원자를 여기하는 제 2 여기 장치(305);를 포함하며, 여기서, 상기 제 1 코팅 재료 소스(301)는 산소 원자와 이트륨 원자를 포함하고, 제 2 코팅 재료 소스(302)는 이트륨 불소 화합물, 알루미늄 산화물 또는 지르코늄 산화물 중의 하나를 포함하며, 상기 부품(303)은 제 1 코팅 재료 소스(301) 및 제 2 코팅 재료 소스(302)와 서로 마주보게 구비되며; 상기 제1 코팅 재료 소스(301)에 의해 여기된 상기 이트륨 원자 및 산소 원자는 제 2 코팅 재료 소스에 의해 여기된 원자와 충돌하여 부품(303)의 표면에서 화학 반응을 일으켜 내 플라즈마 코팅(31)을 형성하며, 상기 내 플라즈마 코팅(31)은 안정된 상의 이트륨계 다성분 금속 산화물 또는 이트륨계 옥시플루오라이드를 포함한다.
본 실시예에서, 상기 안정된 상의 이트륨계 옥시플루오라이드가 이트륨 산소 불소 화합물일 경우, 상기 제1 코팅 재료 소스(301)는 산소 원자 및 이트륨 원자를 포함하고, 제 2 코팅 재료 소스(302)는 이트륨 불소 화합물이며, 이러한 선택의 의의는: 제 1 코팅 재료 소스(301)에 산소 원자 및 이트륨 원자가 포함되어, 제 1 코팅 재료 소스(301)의 질량이 비교적 크고, 상기 제 2 코팅 재료 소스(302)가 불소 원자 및 이트륨 원자를 포함하는 화합물일 경우 한편으로는 오염원이 유입되지 않고, 다른 한편으로는, 제 2 코팅 재료 소스(302)의 질량이 제 1 코팅 재료 소스(302)의 질량과 동일하게 되어, 제1 코팅 재료 소스(301)의 이트륨 원자 및 산소 원자가 불소 원자 및 이트륨 원자와 충돌할 경우, 불소 원자와 이트륨 원자뿐만 아니라 이트륨 원자와 산소 원자도 다시 튀어나오지 않으므로 이트륨 원자 및 산소 원자와 불소 원자 및 이트륨 원자 사이에 화학 반응이 일어나 안정된 상의 산소 불소 화합물을 형성하는데 유리하다.
본 실시예에서, 상기 제 1 코팅 재료 소스(301)의 재료는 Y2O3이고, 상기 제 2 코팅 재료 소스(302)의 재료는 YF3이다.
다른 실시예에서, 상기 제 1 코팅 재료 소스의 재료는 Y(OH)3 및 고온에서의 분해 생성물이 Y2O3인 화합물을 포함하고; 상기 제 2 코팅 재료 소스는 Y(CO3)F 및 고온에서의 분해 생성물이 YF3인 화합물이다.
본 실시예에서, 상기 제1 여기 장치(304) 및 제 2 여기 장치(305)는 모두 플라즈마 충격 장치이고, 상기 플라즈마 충격 장치에 의해 생성된 플라즈마는 아르곤 플라즈마이다.
다른 실시예에서, 상기 플라즈마는 아르곤 이온과 산소 이온의 혼합 이온 또는 산소 이온이다.
본 실시예에서, 상기 플라즈마 충격 장치(304)는 제 1 코팅 재료 소스(301)을 충격시켜 상기 제 1 코팅 재료 소스(301)의 이트륨 원자 및 산소 원자를 여기시키며, 상기 플라즈마 충격 장치(305)는 제 2 코팅 재료 소스(302)에 충격을 가하여 제 2 코팅 재료 소스(302)의 이트륨 원자 및 불소 원자가 여기되게 하고, 여기된 상기 이트륨 원자, 산소 원자와 불소 원자 사이에 충돌이 발생하여 부품(303) 표면에서 화학 반응을 일으켜 내 플라즈마 코팅(31)을 형성하며, 상기 내 플라즈마 코팅(31)은 안정된 상의 이트륨 산소 불소 화합물을 포함한다. 부품(31)이 산소 및/또는 불소를 포함하는 플라즈마 환경에 노출될 경우, 상기 안정된 상의 이트륨 산소 불소 화합물은 화학 반응을 통해 형성되기 때문에 이트륨 산소 불소 화합물은 안정된 상 구조를 가지며 이트륨 원자, 산소 원자와 불소 원자 사이는 화학 결합으로 연결되고 불소 원자 또는 산소 원자가 단순하게 이트리아 또는 플루오르화이트륨의 표면에 흡착되는 것이 아니어서 내 플라즈마 코팅이 불소와 산소 플라즈마 환경에 노출된 환경에서 더 쉽게 구조적 안정성을 유지하도록 하며; 동시에 상기 안정된 상의 이트륨 산소 불소 화합물에서 산소 원소와 불소 원소의 농도는 상대적으로 높아(YOF를 예로 들면, F 및 O의 원자 몰 분율은 33%임), 안정된 상의 이트륨 산소 불소 화합물이 플라즈마 환경에서의 산소 및/또는 불소 플라즈마에 대한 흡착, 확산 및 추가 부식이 비교적 적어, 한편으로는 내 플라즈마 코팅이 안정적으로 유지되게 하고, 다른 한편으로는 식각 환경에서 불소 및 산소 플라즈마가 안정적으로 유지되게 하여, 플라즈마 처리 장치가 웨이퍼를 식각함에 있어서의 안정성을 제고하는데 유리하다.
본 실시예에서, 상기 안정된 상의 이트륨 산소 불소 화합물의 재료는 YOF, Y5O4F7, Y6O5F8, Y7O6F9 및 Y17O14F23 중의 적어도 하나를 포함한다.
일 실시예에서, 상기 내 플라즈마 코팅(31)은 안정된 상의 이트륨 산소 불소 화합물을 포함한다.
다른 실시예에서, 상기 내 플라즈마 코팅(31)은 이트리아 층 및 플루오르화이트륨 층;을 더 포함하며, 이트리아 층은 상기 부품(303) 표면에 위치하고, 상기 안정된 상의 이트륨 산소 불소 화합물은 이트리아 층의 표면에 위치하며, 상기 플루오르화이트륨 층은 상기 안정된 상의 이트륨 산소 불소 화합물 표면에 위치한다. 상기 안정된 상의 이트륨 산소 불소 화합물의 표면에는 플루오르화이트륨 층이 구비되며, 플루오르화이트륨 층의 불소 원자 함량이 안정된 상의 이트륨 산소 불소 화합물의 불소 원자 함량보다 높기 때문에 플라즈마 환경에서 불소가 내 플라즈마 코팅(31) 표면에 흡착, 확산 및 추가 부식되는 것을 줄이고, 종횡비가 큰 메모리 장치의 공정에서와 같이, 식각 환경에서 F/O 비율이 더 높은 공정에 적용하는데 적합하다.
다른 실시예에서, 상기 내 플라즈마 코팅은 플루오르화이트륨 층 및 이트리아 층;을 더 포함하며, 상기 플루오르화이트륨 층은 상기 부품 표면에 위치하고, 상기 안정된 상의 이트륨 산소 불소 화합물은 플루오르화이트륨 층의 표면에 위치하며; 상기 이트리아 층은 상기 안정된 상의 이트륨 산소 불소 화합물 표면에 위치한다.
플라즈마 환경에 불소 플라즈마가 비교적 많은 경우, 상기 내 플라즈마 코팅의 가장 바깥층은 플루오르화이트륨이고 플라즈마 환경에 산소 플라즈마가 비교적 많은 경우 상기 내 플라즈마 코팅의 가장 바깥층은 이트리아이다.
다른 실시예에서, 상기 제 2 코팅 재료 소스는 알루미늄 산화물이고, 따라서 형성되는 내 플라즈마 코팅은 안정된 상의 이트륨계 다성분 금속 산화물을 포함하고, 상기 안정된 상의 이트륨계 다성분 금속 산화물은 이트륨 알루미늄 산화물이며; 또는 상기 제2 코팅 재료 소스가 지르코늄 산화물일 때 형성된 내 플라즈마 코팅은 안정된 상의 이트륨계 다성분 금속 산화물을 포함하고, 상기 안정된 상의 이트륨계 다성분 금속 산화물은 이트륨 지르코늄 산화물이다.
도 4는 본 발명의 부품에 내 플라즈마 코팅을 형성하는 다른 장치의 설명도이다.
본 실시예에서, 상기 제 1 여기 장치(400)는 저항 히터이고, 상기 제 2 여기 장치(305)는 플라즈마 충격 장치이고, 상기 플라즈마 충격 장치에 의해 생성된 플라즈마는 아르곤 이온이다.
다른 실시예에서, 상기 제 1 여기 장치(400)는 전자총 히터, 레이저 히터 및 주파수 유도 히터 중의 적어도 하나이며, 또는 저항 히터와 전자총 히터, 레이저 히터 및 주파수 유도 히터 중 하나 이상과의 조합이며, 상기 제 2 여기 장치는 플라즈마 충격 장치이다.
다른 실시예에서, 상기 제1 여기 장치는 플라즈마 충격 장치이고, 상기 제 2 여기 장치는 전자총 히터, 저항 와이어 히터, 레이저 히터 및 주파수 유도 히터 중 적어도 하나이다.
본 실시예에서, 상기 플라즈마는 아르곤 이온과 산소 이온의 혼합 이온 또는 산소 이온이다.
본 실시예에서, 상기 제 1 코팅 재료 소스(301)는 이트리아이고, 상기 제 2 코팅 재료 소스(302)는 알루미늄 산화물이고, 상기 알루미늄 산화물은 산화 알루미늄이다. 상기 저항 히터(401)는 제 1 코팅 재료 소스(301)에 가열을 진행하여 제1 코팅 재료 소스(301)의 이트륨 원자 및 산소 원자를 여기시키며; 상기 플라즈마 충격 장치(305)는 제2 코팅 재료 소스(302)에 대해 충격을 가하여 상기 제2 코팅 재료 소스(302)의 알루미늄 원자와 산소 원자가 여기되게 하고, 여기된 상기 이트륨 원자, 산소 원자 및 알루미늄 원자 사이에서 충돌이 발생하여 부품(303)의 표면에서 화학반응을 일으켜 내 플라즈마 코팅(31)을 형성하며, 상기 내 플라즈마 코팅(31)은 이트륨계 다성분 금속 산화물이고 상기 이트륨계 다성분 금속 산화물은 이트륨 알루미늄 산화물이다. 부품(31)이 산소 및/또는 불소가 포함된 플라즈마 환경에 노출되면, 상기 이트륨 알루미늄 산화물의 안정된 구조로 인해 이트륨 알루미늄 산화물이 플라즈마의 산소 및/또는 불소를 흡착하기 어렵게 되어 플라즈마 환경이 상대적으로 안정되며 따라서 플라즈마가 웨이퍼를 식각함에 있어서의 안정성을 향상시키는데 유리하다.
다른 실시예에서, 상기 알루미늄 산화물은 수산화 알루미늄, 보헤마이트 또는 유사 보헤마이트를 포함한다.
다른 실시예에서, 상기 제 2 코팅 재료 소스는 이트륨 불소 화합물이고, 따라서 부품 표면에 형성되는 내 플라즈마 코팅은 이트륨계 옥시플루오라이드이고, 상기 이트륨계 옥시플루오라이드는 이트륨 산소 불소 화합물이며; 또는 상기 제2 코팅 재료 소스의 재료는 알루미늄 산화물 또는 지르코늄 산화물이고, 따라서 부품 표면에 형성되는 내 플라즈마 코팅은 이트륨계 다성분 금속 산화물이고, 상기 이트륨계 다성분 금속 산화물은 이트륨 지르코늄 산화물이다.
도 5는 본 발명의 부품에 내 플라즈마 코팅을 형성하는 또 다른 장치이다.
본 실시예에서 상기 제1 코팅 재료 소스(301)는 이트리아이고 상기 제2 코팅 재료 소스(302)는 알루미늄 산화물 또는 지르코늄 산화물이며, 상기 내 플라즈마 코팅 장치는 제3 코팅 재료 소스(600) 및 제3 여기 장치(502)를 더 포함하며, 상기 제3 코팅 재료 소스(600)는 불소 함유 화합물, 알루미늄 산화물 또는 지르코늄 산화물을 포함하고 상기 제3 코팅 재료 소스의 재료와 제2 코팅 재료 소스의 재료는 상이하며; 제3 여기 장치(502)는 제3 코팅 재료 소스(600)의 원자를 여기하며; 제1 코팅 재료 소스(301)에서 여기된 이트륨 원자와 산소 원자는 제2 코팅 재료 소스(302) 및 제3 코팅 재료 소스(600)에서 여기된 원자와 화학 반응을 일으켜 상기 이트륨계 옥시플루오라이드 또는 이트륨계 다성분 금속 산화물을 형성하며, 상기 이트륨계 옥시플루오라이드는 이트륨 알루미늄 불소 산화물 또는 이트륨 지르코늄 불소 산화물을 포함하고, 상기 이트륨계 다성분 금속 산화물은 이트륨 알루미늄 지르코늄 산화물을 포함한다.
상기 제 1 여기 장치(500), 제 2 여기 장치(501) 및 제 3 여기 장치(502)는 모두 저항 히터이다.
다른 실시예에서, 상기 제1 여기 장치, 제 2 여기 장치 및 제 3 여기 장치는 전자총 히터, 레이저 히터 및 주파수 유도 히터 중의 적어도 하나이거나, 또는, 저항 히터와 전자총 히터, 레이저 히터 및 주파수 유도 히터 중의 하나 이상의 조합이다.
본 실시예에서, 상기 저항 히터(500)는 제1 코팅 재료 소스(301)를 가열하는데 사용되며, 제1 코팅 재료 소스(301)의 이트륨 원자 및 산소 원자가 여기되게 하며; 상기 저항 히터(501)는 제2 코팅 재료 소스(302)를 가열하는데 사용되며, 상기 제2 코팅 재료 소스(302)의 원자가 여기되게 하며, 상기 저항 히터(502)는 제 3 코팅 재료 소스(600)를 가열하기 위해 사용되고 제 3 코팅 재료 소스(600)의 원자는 여기되며, 제 1 코팅 재료 소스(301)에서 여기된 상기 이트륨 원자 및 산소 원자는 제 2 코팅 재료 소스(302) 및 제3 코팅 재료 소스(600)에서 여기된 원자와 충돌하여, 부품(303)의 표면에서 화학 반응을 일으켜 내 플라즈마 코팅(31)을 형성하며, 상기 내 플라즈마 코팅(31)은 안정된 상의 이트륨계 옥시플루오라이드 또는 이트륨계 다성분 금속 산화물을 포함한다. 부품(31)이 산소 및/또는 불소를 포함하는 플라즈마 환경에 노출될 경우, 상기 이트륨계 옥시플루오라이드 또는 이트륨계 다성분 금속 산화물의 구조는 상대적으로 안정적이므로 이트륨계 옥시플루오라이드 또는 이트륨계 다성분 금속 산화물은 플라즈마의 산소 및/또는 불소에 의해 쉽게 부식되지 않도록 하고, 동시에 챔버 내의 플라즈마 환경이 안정화되는 시간이 단축되므로 식각 챔버에서의 플라즈마 환경의 안정성을 향상시키는데 유리하다.
도 6은 본 발명의 부품에 내 플라즈마 코팅을 형성하는 공정 흐름도이다.
도 6을 참조하면, S1 단계: 상기 부품에 내 플라즈마 코팅을 형성하는 장치를 제공하며; S2 단계: 상기 제 1 여기 장치를 이용하여 제 1 코팅 재료 소스에 제 1 여기 공정을 진행하여 상기 제1 코팅 재료 소스의 이트륨 원자 및 산소 원자가 여기되게 하고, 동시에 상기 제 2 여기 장치를 이용하여 제 2 코팅 재료 소스에 대해 제 2 여기 공정을 진행하여 상기 제 2 코팅 재료 소스의 원자가 여기되도록 하며; S3 단계: 제 1 코팅 재료 소스에서 여기된 상기 이트륨 원자 및 산소 원자가 제 2 코팅 재료 소스에서 여기된 원자와 충돌하여 화학 반응을 일으키고 부품에 내 플라즈마 코팅을 증착하여 형성하며, 상기 내 플라즈마 코팅은 안정된 상의 이트륨계 다성분 금속 산화물 또는 이트륨계 옥시플루오라이드를 포함한다.
상기 제1 여기 공정과 제2 여기 공정은 각각 이온 스퍼터링 공정과 고온 증발 공정 중 하나이다.
제 1 여기 공정 및/또는 제 2 여기 공정이 이온 스퍼터링 공정인 경우, 상기 제 1 여기 장치 및/또는 제 2 여기 장치는 플라즈마 충격 장치이고, 상기 플라즈마 충격 장치에 의해 생성된 플라즈마는 아르곤 플라즈마 및 산소 플라즈마 중 적어도 하나이다
상기 제1 여기 공정 및/또는 제2 여기 공정이 고온 증발 공정인 경우, 상기 제1 여기 장치 및/또는 제2 여기 장치는 전자총 히터, 저항 와이어 히터, 레이저 히터 및 주파수 유도 히터 중 적어도 하나이다.
일 실시예에서, 제 1 여기 공정 및 제 2 여기 공정은 모두 이온 스퍼터링 공정이고, 상기 제 1 여기 공정의 파라미터는 다음과 같다: 플라즈마는 아르곤 이온이고 충격 에너지는 5kW ~ 20kW이며; 상기 제 2 여기 공정의 파라미터는 다음과 같다: 플라즈마는 아르곤 이온이고 충격 에너지는 5kW~ 20kW이다.
다른 실시예에서, 상기 제1 여기 공정 및 제2 여기 공정은 모두 고온 증발 공정이며; 제1 여기 공정의 파라미터는 온도가 2400℃를 초과하는 것을 포함하며, 상기 제 2 여기 공정의 파라미터는 온도가 1400℃를 초과하는 것을 포함한다.
상기 제1 코팅 재료 소스에서 여기된 상기 이트륨 원자와 산소 원자가 제2 코팅 재료 소스에서 여기된 원자 사이에서 화학 반응을 일으켜 이트륨계 옥시플루오라이드를 형성하는 화학 반응의 원리에 대한 설명은 다음과 같다: 이트륨 원자, 산소 원자, 금속 원자 및 불소 원자는 각각 이온 스퍼터링 또는 고온 증발되어 비교적 높은 초기 에너지(부동한 여기 방법을 통해 획득)를 가지고 고진공 환경(<10-4Pa, 이러한 입자의 평균 자유 경로는 비행 거리보다 크다)에서 직선을 따라 자유 비행하며, 에너지를 갖는 입자가 서로 만나면 많은 충돌이 발생하여 화학 반응을 촉진하고 형성된 분자 흐름은 부품에 부딪힐 때까지 계속하여 비행하여 표면에 증착되며, 나아가 내 플라즈마 코팅을 형성한다. 형성된 내 플라즈마 코팅이 이트륨 산소 불소 화합물일 경우, Y2O3에 F2를 주입하는 것에 비해 이트륨 산소와 이트륨 불소 입자의 초기 에너지가 높고(모두 여기되어 분자/원자 상태로 형성), 입자 충돌에 의한 에너지 전환이 높아(Y2O3과 YF3의 상대 분자 질량은 각각 225.8과 145.9이고 F2의 상대 분자 질량 38과 O2의 상대 분자 질량 32 보다 훨씬 높아 효과적인 충돌이 발생시 에너지 전환이 더 높다) Y2O3+YF3 → YOF의 화학반응의 발생을 촉진한다.
상기 제1 코팅 재료 소스에서 여기되는 상기 이트륨 원자와 산소 원자는 제2 코팅 재료 소스에서 여기되는 원자와 화학 반응을 일으켜 안정된 상을 갖춘 이트륨계 다성분 금속 산화물 또는 이트륨계 옥시플루오라이드를 형성한다. 부품이 산소 및/또는 불소를 포함하는 플라즈마 환경에 노출될 경우, 이트륨계 다성분 금속 산화물 또는 이트륨계 옥시플루오라이드가 안정된 상을 가지므로 이트륨계 다성분 금속 산화물 또는 이트륨계 옥시플루오라이드가 구조적 안정성을 더 잘 유지할 수 있게 되며, 또한 안정된 상의 이트륨 산소 불소 화합물이 챔버 내부의 플라즈마의 산소와 불소에 의해 쉽게 흡착, 확산 및 추가 부식되지 않아, 챔버 내의 플라즈마 환경을 상대적으로 안정적으로 유지하므로 플라즈마가 웨이퍼를 식각함에 있어서의 안정성을 제고하는데 유리하다.
본 실시예에는 상기 부품을 가열하여 제1 코팅 재료 소스에서 여기되는 상기 이트륨 원자 또는 산소 원자와 제2 코팅 재료에서 여기되는 원자에 에너지를 제공함으로써 제1 코팅 재료 소스에서 여기되는 상기 이트륨 원자와 산소 원자와 제2 코팅 재료 소스에서 여기되는 원자가 더 쉽게 화학반응을 일으키게 하여 부품에 안정된 상의 이트륨계 다성분 금속 산화물 또는 이트륨계 옥시플루오라이드를 형성하는데 더 유리하다.
본 실시예에서, 상기 부품을 가열하는 온도 범위는 25℃~ 500℃이다.
도 7은 본 발명에 의해 형성된 일종의 내 플라즈마 코팅의 X-선 회절 패턴(XRD)이다.
설명할 것은: 1은 YOF의 표준 피크이고, 2는 내 플라즈마 코팅의 피크이다.
1과 2를 비교하면, 내 플라즈마 코팅의 피크 2가 YOF의 표준 피크 1과 잘 일치하는 것을 알 수 있으며, 이는 본 발명에서 제안하는 YOF 내 플라즈마 코팅을 형성하는 방법이 효과적임을 설명하고, 상기 1의 YOF 표준 피크에 해당하는 물상은 면심입방 구조의 YOF, 즉 내 플라즈마 코팅은 면심 입방 구조의 YOF임을 설명한다.
따라서, 본 발명은 부품을 더 제공하며, 부품은 부품 본체를 포함하며, 상기 부품 본체에는 상기 방법으로 형성한 내 플라즈마 코팅이 구비되며, 상기 내 플라즈마 코팅은 안정된 상을 갖춘 이트륨계 다성분 금속 산화물 또는 이트륨계 옥시플루오라이드를 포함한다.
따라서, 본 발명은 상기 부품의 플라즈마 처리장치를 더 포함하며, 내부가 플라즈마 환경인 반응 챔버; 상기 반응 챔버 내부에 위치하고 내 플라즈마 코팅을 구비하는 부품;을 포함하며, 상기 내 플라즈마 코팅은 안정된 상을 갖는 이트륨계 다성분 금속 산화물 또는 이트륨계 옥시플루오라이드를 포함하며, 상기 내 플라즈마 코팅은 상기 플라즈마 환경에 노출된다.
플라즈마 처리 장치가 유도 결합 플라즈마 처리 장치인 경우 상기 부품은: 세라믹 플레이트(window), 내부 부싱(liner), 가스 노즐(nozzle), 가스 분배판(gas box), 가스 파이프 플랜지(gas flange), 정전 척(ESC) 어셈블리, 커버 링(cover ring), 초점 링(focus ring), 절연 링(insert ring) 및 기판 고정 프레임 중 적어도 하나를 포함한다.
플라즈마 처리 장치가 용량 결합 플라즈마 처리 장치인 경우, 상기 부품은: 샤워 헤드(showerhead), 상부 접지 링(upper ground ring), 이동 링(moving ring), 가스 분배판(gas box), 가스 버퍼 플레이트(mountain base), 정전 척 어셈블리(ESC), 하부 접지 링(lower ground ring), 커버 링(cover ring) 초점 링(focus ring), 절연 링(insert ring), 기판 고정 프레임 중 적어도 하나를 포함한다.
상기 플라즈마 처리 장치는 반응 챔버를 포함하며, 상기 반응 챔버 내부는 플라즈마 환경이며, 상기 플라즈마 환경은 불소 플라즈마와 산소 플라즈마를 포함하고, 상기 불소 플라즈마와 산소 플라즈마는 웨이퍼에 대해 플라즈마 식각 처리를 진행하는데 사용된다. 상기 방법에 의해 형성되는 내 플라즈마 코팅은 안정된 상의 이트륨계 다성분 금속 산화물 또는 이트륨계 옥시플루오라이드를 포함하며, 상기 내 플라즈마 코팅을 포함하는 부품을 플라즈마 환경에 노출하여 내 플라즈마 코팅에 대한 불소 이온 및/또는 산소 이온의 흡착, 확산, 화학 반응 층 깊이를 감소시키며, 따라서 챔버 식각 환경이 포화에 도달하는데 필요한 시간을 단축하는데 유리하며, 플라즈마 부품의 내식성 및 사용 수명을 제고하고, 플라즈마 환경의 안정성을 제고하여 플라즈마가 웨이퍼를 식각하는 속도의 안정성을 향상시키고, 플라즈마 처리 장치의 운행 및 유지 관리 비용을 더욱 절감한다.
이상과 같이 본 발명을 나타내었지만 본 발명은 이에 한정되지 않는다. 당업자라면 본 발명의 사상을 벗어나지 않는 범위 내에서 다양한 변경 및 수정을 할 수 있으므로, 본 발명의 보호 범위는 청구범위에 의해 한정되는 범위를 기준으로 한다.

Claims (18)

  1. 부품에 내 플라즈마 코팅을 형성하는 장치에 있어서,
    진공 챔버;
    상기 진공 챔버의 내부에 위치하는 제 1 코팅 재료 소스, 제 2 코팅 재료 소스 및 부품;
    제 1 코팅 재료 소스의 이트륨 원자 및 산소 원자를 여기하는 제 1 여기 장치;
    제2 코팅 재료 소스의 원자를 여기하는 제2 여기 장치;를 포함하며,
    상기 제 1 코팅 재료 소스는 산소 원자 및 이트륨 원자를 포함하고; 제 2 코팅 재료 소스는 이트륨 불소 화합물, 알루미늄 산화물 및 지르코늄 산화물 중 하나를 포함하며; 상기 부품은 제 1 코팅 재료 소스 및 제 2 코팅 재료 소스와 서로 마주보게 구비되며;
    상기 제 1 코팅 재료 소스에서 여기되는 상기 이트륨 원자 및 산소 원자는 제 2 코팅 재료 소스에서 여기되는 원자와 충돌하여 화학 반응을 일으켜 부품에 내 플라즈마 코팅을 증착하여 형성하며, 상기 내 플라즈마 코팅은 안정된 상을 갖는 이트륨계 다성분 금속 산화물 또는 이트륨계 옥시플루오라이드를 포함하는 것을 특징으로 하는 부품에 내 플라즈마 코팅을 형성하는 장치.
  2. 제 1 항에 있어서, 상기 제1 코팅 재료 소소는 Y2O3, Y(OH)3 및 고온에서의 분해 생성물이 Y2O3인 화합물인 것을 특징으로 하는 부품에 내 플라즈마 코팅을 형성하는 장치.
  3. 제 1 항에 있어서, 상기 이트륨 불소 화합물에는 YF3, Y(CO3)F 또는 고온에서의 분해 생성물이 YF3인 화합물이 포함되며, 상기 내 플라즈마 코팅에 포함되는 이트륨계 옥시플루오라이드는 이트륨 산소 불소 화합물이며; 상기 안정된 상의 이트륨 산소 불소 화합물은 YOF, Y5O4F7, Y6O5F8, Y7O6F9 및 Y17O14F23 중의 적어도 하나를 포함하는 것을 특징으로 하는 부품에 내 플라즈마 코팅을 형성하는 장치.
  4. 제 1 항에 있어서, 상기 알루미늄 산화물에는 산화 알루미늄, 수산화 알루미늄, 보헤마이트 또는 유사 보헤마이트가 포함되며, 상기 내 플라즈마 코팅에 포함되는 이트륨계 다성분 금속 산화물은 이트륨 알루미늄 산화물이며; 상기 이트륨 알루미늄 산화물에는 Y3Al5O12, YAlO3 또는 Y4Al2O9가 포함되는 것을 특징으로 하는 부품에 내 플라즈마 코팅을 형성하는 장치.
  5. 제 1 항에 있어서, 상기 지르코늄 산화물에는 산화 지르코늄 또는 수산화 지르코늄이 포함되며, 상기 내 플라즈마 코팅에 포함되는 이트륨계 다성분 금속 산화물은 이트륨 지르코늄 산화물이며, 상기 이트륨 지르코늄 산화물에는 ZraY1-aO2(0.5<a<1)이 포함되는 것을 특징으로 하는 부품에 내 플라즈마 코팅을 형성하는 장치.
  6. 제 1 항에 있어서, 상기 제 2 코팅 재료 소스는 알루미늄 산화물 또는 지르코늄 산화물이며, 상기 내 플라즈마 코팅 장치는 제3 코팅 재료 소스 및 제3 여기 장치를 더 포함하며, 상기 제3 코팅 재료 소스에는 불소 함유 화합물, 알루미늄 산화물 또는 지르코늄 산화물이 포함되며, 상기 제3 코팅 재료 소소의 재료와 제2 코팅 재료 소스의 재료는 상이하며, 상기 제3 여기 장치는 제3 코팅 재료 소스의 원자를 여기하며, 제1 코팅 재료 소스에서 여기되는 이트륨 원자 및 산소 원자와 제2 코팅 재료 소스 및 제3 코팅 재료 소스에서 여기되는 원자가 화학반응을 일으켜 형성하는 내 플라즈마 코팅은 이트륨계 옥시플루오라이드 또는 이트륨계 다성분 금속 산화물이며, 상기 이트륨계 옥시플루오라이드에는 이트륨 알루미늄 불소 산화물 또는 이트륨 지르코늄 불소 산화물이 포함되며, 상기 이트륨계 다성분 금속 산화물에는 이트륨 알루미늄 지르코늄 산화물이 포함되는 것을 특징으로 하는 부품에 내 플라즈마 코팅을 형성하는 장치.
  7. 제 6 항에 있어서, 상기 제1 여기 장치, 제2 여기 장치 또는 제3 여기 장치는 플라즈마 충격 장치이고, 상기 플라즈마 충격 장치에 의해 생성되는 플라즈마에는 아르곤 플라즈마 및 산소 플라즈마 중의 적어도 하나가 포함되는 것을 특징으로 하는 부품에 내 플라즈마 코팅을 형성하는 장치.
  8. 제 6 항에 있어서, 상기 제1 여기 장치, 제2 여기 장치 또는 제3 여기 장치는 전자총 히터, 저항 히터, 레이저 히터 및 주파수 유도 히터 중의 적어도 하나인 것을 특징으로 하는 부품에 내 플라즈마 코팅을 형성하는 장치.
  9. 제 1 항에 있어서, 상기 내 플라즈마 코팅은 안정된 상을 갖는 이트륨계 다성분 금속 산화물 또는 이트륨계 옥시플루오라이드만 포함하는 것을 특징으로 하는 부품에 내 플라즈마 코팅을 형성하는 장치.
  10. 제 1 항에 있어서, 상기 내 플라즈마 코팅에는 금속 산화물 및 금속 불화물 중 적어도 1종을 포함하는 것을 특징으로 하는 부품에 내 플라즈마 코팅을 형성하는 장치.
  11. 부품에 내 플라즈마 코팅을 형성하는 방법에 있어서,
    제 1 항에 기재된 부품에 내 플라즈마 코팅을 형성하는 장치;를 제공하며,
    상기 제1 여기 장치를 이용하여 제1 코팅 재료 소스에 제1 여기 공정을 실시하여 상기 제1 코팅 재료 소스의 이트륨 원자 및 산소 원자가 여기되게 하고 동시에 상기 제2 여기 장치를 이용하여 상기 제2 코팅 재료 소스에 대해 제2 여기 공정을 실시하여 상기 제2 코팅 재료 소스의 원자가 여기되게 하며;
    상기 제1 코팅 재료 소스에서 여기되는 상기 이트륨 원자 및 산소 원자가 제2 코팅 재료 소스에서 여기되는 원자와 충돌하여 화학반응을 일으켜 부품에 내 플라즈마 코팅을 증착하여 형성하며, 상기 내 플라즈마 코팅은 안정된 상을 갖는 이트륨계 다성분 금속 산화물 또는 이트륨계 옥시플루오라이드를 포함하는 것을 특징으로 하는 부품에 내 플라즈마 코팅을 형성하는 방법.
  12. 제 11 항에 있어서, 상기 제1 여기 공정 및 제2 여기 공정은 각각 이온 스퍼터링 공정 및 고온 증발 공정 중 하나인 것을 특징으로 하는 부품에 내 플라즈마 코팅을 형성하는 방법.
  13. 제 12 항에 있어서, 상기 제1 여기 공정 및 제 2 여기 공정 중 적어도 하나가 이온 스퍼터링 공정인 경우, 상기 제 1 여기 장치 및 제 2 여기 장치 중 적어도 하나는 플라즈마 충격 장치이고, 상기 플라즈마 충격 장치에 의해 생성되는 플라즈마는 아르곤 플라즈마 및 산소 플라즈마 중 적어도 하나를 포함하는 것을 특징으로 하는 부품에 내 플라즈마 코팅을 형성하는 방법.
  14. 제 12 항에 있어서, 상기 제 1 여기 공정 및 제 2 여기 공정 중 적어도 하나가 고온 증발 공정인 경우, 상기 제 1 여기 장치 및 제 2 여기 장치 중 적어도 하나는 전자총 히터, 저항 와이어 히터, 레이저 히터 및 주파수 유도 히터 중 적어도 하나인 것을 특징으로 하는 부품에 내 플라즈마 코팅을 형성하는 방법.
  15. 제 13 항에 있어서, 상기 제1 여기 공정 및 제 2 여기 공정은 모두 이온 스퍼터링 공정이며; 상기 제 1 여기 공정의 파라미터는: 플라즈마는 아르곤 이온이고 충격 에너지는 5kW ~ 20kW이며; 상기 제2 여기 공정의 파라미터는: 플라즈마는 아르곤 이온이고, 충격 에너지는 5kW ~ 20kW인 것을 특징으로 하는 부품에 내 플라즈마 코팅을 형성하는 방법.
  16. 제 12 항에 있어서, 상기 제 1 여기 공정 및 상기 제 2 여기 공정은 모두 고온 증발 공정이고, 상기 제 1 여기 공정의 파라미터는 온도가 2400℃를 초과하고; 상기 제2 여기 공정의 파라미터는 온도가 1400℃를 초과하는 것을 특징으로 하는 부품에 내 플라즈마 코팅을 형성하는 방법.
  17. 제 11 항에 있어서, 상기 부품을 가열하여, 상기 이트륨 원자, 산소 원자 및 금속 원자 사이 또는 상기 이트륨 원자, 산소 원자, 금속 원자 및 불소 원자 사이에 충돌이 발생하여 화학 반응이 일어나도록 하며, 부품에 안정된 상의 이트륨계 다성분 금속 산화물 또는 이트륨계 옥시플루오라이드를 증착하여 형성하는 단계를 더 포함하는 것을 특징으로 하는 부품에 내 플라즈마 코팅을 형성하는 방법.
  18. 제 17 항에 있어서, 상기 부품에 대해 가열하는 온도 범위는 25℃ ~ 500℃인 것을 특징으로 하는 부품에 내 플라즈마 코팅을 형성하는 방법.
KR1020230056966A 2019-12-04 2023-05-02 내 플라즈마 코팅을 형성하는 방법, 장치 KR102600297B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
CN201911227763.9A CN112899617B (zh) 2019-12-04 2019-12-04 形成耐等离子体涂层的方法、装置、零部件和等离子体处理装置
CN201911227763.9 2019-12-04
KR1020200163169A KR20210070913A (ko) 2019-12-04 2020-11-27 내 플라즈마 코팅을 형성하는 방법, 장치, 부품 및 플라즈마 처리 장치

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020200163169A Division KR20210070913A (ko) 2019-12-04 2020-11-27 내 플라즈마 코팅을 형성하는 방법, 장치, 부품 및 플라즈마 처리 장치

Publications (2)

Publication Number Publication Date
KR20230068373A true KR20230068373A (ko) 2023-05-17
KR102600297B1 KR102600297B1 (ko) 2023-11-10

Family

ID=76110758

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020200163169A KR20210070913A (ko) 2019-12-04 2020-11-27 내 플라즈마 코팅을 형성하는 방법, 장치, 부품 및 플라즈마 처리 장치
KR1020230056966A KR102600297B1 (ko) 2019-12-04 2023-05-02 내 플라즈마 코팅을 형성하는 방법, 장치

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020200163169A KR20210070913A (ko) 2019-12-04 2020-11-27 내 플라즈마 코팅을 형성하는 방법, 장치, 부품 및 플라즈마 처리 장치

Country Status (5)

Country Link
US (1) US20210172049A1 (ko)
JP (1) JP7063975B2 (ko)
KR (2) KR20210070913A (ko)
CN (1) CN112899617B (ko)
TW (1) TWI821615B (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102421398B1 (ko) * 2021-11-01 2022-07-15 (주)코미코 Hvof에 의한 고밀도 yf3 코팅층의 제조방법 및 이를 통해 제조된 고밀도 yf3 hvof 코팅층

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040081117A (ko) * 2002-01-08 2004-09-20 어플라이드 머티어리얼스, 인코포레이티드 이트륨-알루미늄 코팅을 갖는 컴포넌트를 구비한 처리 챔버
KR20100052502A (ko) * 2007-08-02 2010-05-19 어플라이드 머티어리얼스, 인코포레이티드 처리 챔버 내의 아킹 및 부식을 감소시키는 보호성 이트륨 함유 코팅을 갖는 코팅 반도체 처리 장치
KR20160088357A (ko) * 2013-11-21 2016-07-25 엔테그리스, 아이엔씨. 플라즈마 시스템에서 사용되는 챔버 구성요소를 위한 표면 코팅
KR20180123992A (ko) * 2017-05-10 2018-11-20 어플라이드 머티어리얼스, 인코포레이티드 챔버 컴포넌트들을 위한 금속-옥시-플루오라이드 막들

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0431160B1 (en) * 1988-03-16 1995-05-17 Kabushiki Kaisha Toshiba Process for producing thin-film oxide superconductor
JPH01242496A (ja) * 1988-03-24 1989-09-27 Mitsubishi Metal Corp 酸化物系超伝導薄膜の製造方法
JPH07228866A (ja) * 1994-02-18 1995-08-29 Kanegafuchi Chem Ind Co Ltd 蛍光層材料及びその製膜方法
JP4277973B2 (ja) 2001-07-19 2009-06-10 日本碍子株式会社 イットリア−アルミナ複合酸化物膜の製造方法、イットリア−アルミナ複合酸化物膜および耐蝕性部材
BR0309810A (pt) * 2002-05-08 2007-04-10 Dana Corp sistemas e método de tratamento da exaustão de motor e veìculo móvel
JP4488057B2 (ja) * 2007-11-09 2010-06-23 セイコーエプソン株式会社 アクティブマトリクス装置、電気光学表示装置、および電子機器
JP5527329B2 (ja) * 2009-11-19 2014-06-18 コニカミノルタ株式会社 有機エレクトロルミネッセンス素子及びこれを用いる照明装置
CN103794445B (zh) * 2012-10-29 2016-03-16 中微半导体设备(上海)有限公司 用于等离子体处理腔室的静电夹盘组件及制造方法
CN104241069B (zh) * 2013-06-13 2016-11-23 中微半导体设备(上海)有限公司 等离子体装置内具有氧化钇包覆层的部件及其制造方法
US9725799B2 (en) * 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
JP6384536B2 (ja) * 2015-10-23 2018-09-05 信越化学工業株式会社 フッ化イットリウム溶射材料及びオキシフッ化イットリウム成膜部品の製造方法
CN105648386B (zh) * 2016-02-18 2018-12-07 中国科学院上海硅酸盐研究所 热喷涂氧化铝–氧化钇复合陶瓷涂层及其制备方法
US11572617B2 (en) * 2016-05-03 2023-02-07 Applied Materials, Inc. Protective metal oxy-fluoride coatings
WO2018051974A1 (ja) * 2016-09-13 2018-03-22 Toto株式会社 半導体製造装置用部材
US20190131113A1 (en) * 2017-11-02 2019-05-02 Applied Materials, Inc. Y2O3-SiO2 PROTECTIVE COATINGS FOR SEMICONDUCTOR PROCESS CHAMBER COMPONENTS
JP7044581B2 (ja) * 2018-02-20 2022-03-30 株式会社アルバック 耐食性膜及び真空部品
US10443126B1 (en) 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
US11424140B2 (en) * 2019-10-10 2022-08-23 Samsung Electronics Co., Ltd. Member, method of manufacturing the same, apparatus for manufacturing the same, and semiconductor manufacturing apparatus

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040081117A (ko) * 2002-01-08 2004-09-20 어플라이드 머티어리얼스, 인코포레이티드 이트륨-알루미늄 코팅을 갖는 컴포넌트를 구비한 처리 챔버
KR20100052502A (ko) * 2007-08-02 2010-05-19 어플라이드 머티어리얼스, 인코포레이티드 처리 챔버 내의 아킹 및 부식을 감소시키는 보호성 이트륨 함유 코팅을 갖는 코팅 반도체 처리 장치
KR20160088357A (ko) * 2013-11-21 2016-07-25 엔테그리스, 아이엔씨. 플라즈마 시스템에서 사용되는 챔버 구성요소를 위한 표면 코팅
KR20180123992A (ko) * 2017-05-10 2018-11-20 어플라이드 머티어리얼스, 인코포레이티드 챔버 컴포넌트들을 위한 금속-옥시-플루오라이드 막들

Also Published As

Publication number Publication date
CN112899617B (zh) 2023-03-31
US20210172049A1 (en) 2021-06-10
JP7063975B2 (ja) 2022-05-09
CN112899617A (zh) 2021-06-04
TW202126836A (zh) 2021-07-16
KR20210070913A (ko) 2021-06-15
TWI821615B (zh) 2023-11-11
JP2021090053A (ja) 2021-06-10
KR102600297B1 (ko) 2023-11-10

Similar Documents

Publication Publication Date Title
US20200140996A1 (en) Metal oxy-flouride films based on oxidation of metal flourides
JP7046005B2 (ja) 保護金属オキシフッ化物コーティング
JP4996868B2 (ja) プラズマ処理装置およびプラズマ処理方法
JP5726928B2 (ja) プラズマ処理システムにおける副生成物堆積減少方法並びに構造
US5952060A (en) Use of carbon-based films in extending the lifetime of substrate processing system components
US6432256B1 (en) Implanatation process for improving ceramic resistance to corrosion
JP6759004B2 (ja) 被処理体を処理する方法
JP2008526026A5 (ko)
KR102600297B1 (ko) 내 플라즈마 코팅을 형성하는 방법, 장치
TWI768367B (zh) 用於電漿腔室內部的部件的製作方法
WO2022006004A1 (en) Yttrium oxide based coating and bulk compositions
US20230160055A1 (en) Yttrium oxide based coating composition
JP3946660B2 (ja) 耐ハロゲンガス性の半導体加工装置用部材の製造方法
Park et al. Surface Analysis of Chamber Coating Materials Exposed to CF4/O2 Plasma. Coatings 2021, 11, 105
JPS6342373A (ja) 連続真空蒸着メツキ装置
JPH02156069A (ja) 複合材料膜の製造方法

Legal Events

Date Code Title Description
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant