KR20040081117A - 이트륨-알루미늄 코팅을 갖는 컴포넌트를 구비한 처리 챔버 - Google Patents

이트륨-알루미늄 코팅을 갖는 컴포넌트를 구비한 처리 챔버 Download PDF

Info

Publication number
KR20040081117A
KR20040081117A KR10-2004-7010681A KR20047010681A KR20040081117A KR 20040081117 A KR20040081117 A KR 20040081117A KR 20047010681 A KR20047010681 A KR 20047010681A KR 20040081117 A KR20040081117 A KR 20040081117A
Authority
KR
South Korea
Prior art keywords
yttrium
processing chamber
metal alloy
aluminum
chamber component
Prior art date
Application number
KR10-2004-7010681A
Other languages
English (en)
Other versions
KR100864205B1 (ko
Inventor
니안시 한
리 수
홍 시흐
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20040081117A publication Critical patent/KR20040081117A/ko
Application granted granted Critical
Publication of KR100864205B1 publication Critical patent/KR100864205B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12458All metal or with adjacent metals having composition, density, or hardness gradient
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/1266O, S, or organic compound in metal component
    • Y10T428/12667Oxide of transition metal or Al
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12736Al-base component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12736Al-base component
    • Y10T428/12764Next to Al-base component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/13Hollow or container type article [e.g., tube, vase, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Physical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

기판 처리 챔버 컴포넌트는 이트륨-알루미늄 화합물을 포함하는 전체 표면 코팅을 갖는 구조물이다. 상기 컴포넌트는 이트륨 및 알루미늄을 포함하는 금속 합금을 컴포넌트 형상으로 형성하고, 전체 양극산화처리된 표면 코팅을 형성하도록 그 표면을 양극산화처리함으로써 제조될 수 있다. 상기 챔버 컴포넌트는 또한 수행되는 금속 형상으로 이온 주입 물질에 의해 형성될 수 있다. 상기 컴포넌트는 챔버 벽, 기판 지지부, 기판 수송부, 가스 공급기, 가스 에너자이저, 및 가스 배기부 중 하나 이상일 수 있다.

Description

이트륨-알루미늄 코팅을 갖는 컴포넌트를 구비한 처리 챔버{PROCESS CHAMBER HAVING COMPONENT WITH YTTRIUM-ALUMINUM COATING}
예를 들어, 기판 에칭 처리, 기판 증착 처리, 및 기판과 챔버 세정 처리와 같은 기판의 처리에서, 할로겐 또는 산소와 같은 가스들이 사용된다. 가스들은 특히, 예를 들어 RF 파워 또는 마이크로파 에너지에 의해 에너지를 제공받을 경우, 챔버 벽과 같은 챔버의 컴포넌트를 부식 또는 침식(이 용어들은 본 명세서에서 서로 혼합되어 사용됨)시킬 수 있다. 예를 들어, 알루미늄으로 구성된 챔버 컴포넌트는 AlCl3또는 AlF3를 형성하기 위해 할로겐 가스에 의해 부식될 수 있다. 부식된 컴포넌트는 대체 또는 세정을 필요로하여 바람직하지 않은 챔버의 가동 휴지 시간을 초래한다. 또한, 컴포넌트의 부식된 부분이 벗겨져서 기판을 오염시킬 경우, 기판의 수율을 저하시킨다. 따라서, 챔버 컴포넌트의 부식을 감소시키는 것이 바람직하다.
알루미늄 챔버 컴포넌트의 내부식성 또는 내침식성은 컴포넌트상에 양극산화처리된(anodized) 알루미늄 산화 코팅을 형성함으로써 개선될 수도 있다. 예를 들어, 알루미늄 챔버 벽은 양극산화처리된 알루미늄 산화물의 보호 코팅을 형성하기 위해 전기 도금 용기에서 양극산화처리(anodizing)될 수 있다. 양극산화처리된 코팅은 알루미늄 챔버의 내부식성을 증가시키지만, 여전히 높은 에너지 공급 또는 부식성 가스 성분에 의해, 예를 들어, CF4와 같은 불소 함유 가스의 플라즈마를 포함하는 에너지를 받은 가스에 의해 때때로 침식되어, AlF3와 같은 가스 부산물을 형성한다.
벌크 세라믹 재료 또는 플라즈마 스프레잉된 세라믹 코팅으로 형성된 통상의 챔버 컴포넌트는 더 양호한 내부식성을 갖지만 다른 결함을 갖기 쉽다. 예를 들어, 이트륨 산화물 및 알루미늄 산화물의 혼합물을 포함하는 벌크 재료로 형성된 챔버 컴포넌트는 부서지기 쉬우며, 컴포넌트의 형상으로 절단될 경우 깨지는 경향이 있다. 벌크 세라믹 재료는 챔버의 동작 동안 금이 가기 쉽다. 챔버 컴포넌트는 또한 플라즈마 스프레잉된 코팅을 사용하여 제조될 수 있다. 그러나, 코팅과 하부의 컴포넌트 재료의 열적 팽창의 부정합은 가열 또는 냉각 동안 열적 변형(strain)을 초래하여 하부의 컴포넌트로부터 세라믹 코팅이 금이 가게 하거나 벗겨지게 한다. 따라서, 통상의 세라믹 컴포넌트는 바람직한 내부식성 및 내결함성을 언제나 제공하지는 않는다.
따라서, 에너지를 받은 부식성의 가스에 대한 개선된 내부식성 또는 내침식성을 갖는 챔버 컴포넌트가 필요하다. 또한 원하는 형상으로 이러한 컴포넌트를용이하게 제조할 필요성이 있다. 챔버의 동작 동안 금이 가거나 부서지지 않는 내구성이 있는 챔버 컴포넌트가 또한 필요하다.
본 발명은 기판 처리 챔버 및 기판 처리 챔버를 제조하는 방법에 관한 것이다.
도 1a는 본 발명에 따른 하나의 버젼의 처리 챔버 일실시예의 개략적인 측단면도.
도 1b는 가스 에너자이저의 다른 버젼의 개략적인 측단면도.
도 1c는 상기 처리 챔버의 다른 버젼의 개략적인 측단면도.
도 2는 이트륨 알루미늄 화합물의 전체 표면 코팅을 포함하는 챔버 컴포넌트의 부분적인 개략 측단면도.
도 3a는 금속 합금 컴포넌트의 표면을 양극산화처리하여 전체 표면 코팅을 형성하기 위한 일 실시예의 처리 흐름도.
도 3b는 하나의 컴포넌트의 표면을 이온 주입하여 전체 표면 코팅을 형성하기 위한 실시예의 처리 흐름도.
도 4는 이온 주입기의 개략적인 평면도.
도 5는 도 4의 이온 주입기의 이온 소스의 개략적인 측단면도.
도 6은 어닐링기(annealer)의 개략적인 측단면도.
기판 처리 챔버 컴포넌트는 이트륨 및 알루미늄으로 이루어진 전체 층을 구성하는 금속 합금을 포함하며, 양극산화처리된(anodized) 표면 코팅을 갖는다.
기판 처리 챔버 컴포넌트를 제조하는 방법은 이트륨 및 알루미늄을 포함하는 금속 합금을 포함한 챔버 컴포넌트를 형성하는 단계 및 금속 합금의 노출된 표면을 양극산화처리하는 단계를 포함한다.
기판 처리 챔버 컴포넌트를 제조하는 방법은 알루미늄을 포함하는 금속 합금을 포함한 챔버 컴포넌트를 형성하는 단계, 금속 합금에 이트륨을 주입하는 단계 및 금속 합금의 표면을 양극산화처리하는 단계를 포함한다.
기판 처리 챔버 컴포넌트를 제조하는 방법은 알루미늄을 포함하는 금속 합금을 포함한 챔버 컴포넌트를 형성하는 단계, 금속 합금에 이트륨을 주입하는 단계 및 금속 합금에 산소를 이온 주입하는 단계를 포함한다.
기판 처리 장치는, 처리 영역 부근에 벽을 갖는 처리 챔버, 기판을 처리 챔버로 수송하는 기판 수송부, 기판을 수용하는 기판 지지부, 처리 가스를 처리 챔버로 도입하는 가스 공급부, 처리 챔버에서 처리 가스에 에너지를 공급하는 가스 에너자이저(gas energizer), 및 처리 가스를 처리 챔버로부터 배출시키는 배출부를 포함하며, 하나 이상의 챔버 벽, 기판 지지부, 기판 수송부, 가스 공급부, 가스 에너자이저 및 가스 배기부는 이트륨 및 알루미늄을 포함하는 금속 합금을 포함하며처리 영역에 노출된 양극산화처리된 표면 코팅을 갖는다.
본 발명의 이들 및 다른 특징들, 측면들 및 장점들은 다음의 상세한 설명, 첨부된 청구항들, 본 발명의 실시예들을 도시하는 첨부 도면들과 관련하여 보다 잘 이해될 것이다.
기판(104)을 처리하기에 적당한 예시적인 장치(102)는 도 1a 및 1c에 도시된바와 같은 기판(104)을 밀봉할 수 있는 처리 챔버(106)를 포함한다. 예시적인 챔버들은 캘리포니아 산타 클라라 어플라이드 머티어리얼스로부터 상업적으로 판매되는 eMax(TM) 및 DSPⅡ(TM)이다. 여기에 도시된 장치(102)의 특정 실시예는 반도체 웨이퍼들 같은 기판(104)을 처리하기 위하여 적당하고, 편평한 패널 디스플레이들, 중합체 패널들, 또는 다른 전기 회로 수용 구조들 같은 다른 기판(104)을 처리하기 위하여 당업자에 의해 제공될 수 있다. 장치(102)는 기판(104)상에 에칭 레지스턴트, 실리콘 함유, 금속 함유, 유전체 및/또는 도전체 층들 같은 층들을 처리하는데 특히 유용하다.
장치(102)는 장치(102)에 대한 전기, 배관, 및 다른 지지 기능들을 포함 및 제공하는 메인프레임 유니트(도시되지 않음)에 부착될 수 있고 다중챔버 시스템(도시되지 않음)의 일부일 수 있다. 예시적인 메인프레임들은 캘리포니아 산타 클라라 어플라이드 머티어리얼스, 인코포레이티드의 Centura(TM) 및 Producer(TM)이다. 다중챔버 시스템은 진공을 파괴하지 않고 다중챔버 시스템 외부 습기 또는 다른 오염물들에 대해 기판(104)을 노출시키지 않고 챔버들 사이에서 기판(104)을 전달하는 능력을 가진다. 다중챔버 시스템의 장점은 다중챔버 시스템의 여러 챔버들이 이 여러 목적을 위해 사용될 수 있다는 것이다. 예를들어, 하나의 챔버는 기판(104)을 에칭하기 위해 사용될 수 있고, 다른 챔버는 금속 막의 증착에 사용될 수 있고, 또다른 챔버는 급속 열처리를 위해 사용될 수 있고, 또다른 챔버는 반사 방지 층 증착에 사용될 수 있다. 상기 처리들은 다중챔버 시스템내에서 방해받지 않고 진행될 수 있어서, 여러 처리 부분들을 위해 다양한 독립된 개별 챔버들 사이에서 기판(104)을 전달할 때 발생할 수 있는 기판들(104)의 오염을 방지한다.
일반적으로, 장치들(102)은 외장 벽(103) 같은 벽(107)을 가진 처리 챔버(106)를 포함하고, 상기 챔버는 처리 영역(108)을 밀봉하는 실링(118), 측벽들(114) 및 바닥 벽(116)을 포함할 수 있다. 벽(107)은 또한 처리 영역(108)에 대해 외장 벽(103)의 적어도 일부를 정렬하는 챔버 벽 라이너(105)를 포함할 수 있다. 예시적인 라이너들은 상기된 eMax 및 DPS Ⅱ 챔버들에 사용된다. 동작시, 처리 가스는 처리 가스 소스(138) 및 가스 분배기(137)를 포함하는 가스 공급부(130)를 통하여 챔버(106)내로 도입된다. 가스 분배기(137)는 하나 이상의 가스 흐름 밸브들(134)을 가진 하나 이상의 도관들(136), 및 기판 수용 표면(180)을 가진 기판 지지부(110)의 주변 둘레에 배치된 하나 이상의 가스 출구들(142)을 포함할 수 있다. 선택적으로, 가스 분배기(130)는 샤워헤드 가스 분배기(도시되지 않음)를 포함할 수 있다. 소비된 처리 가스 및 에천트 부산물들은 처리 영역으로부터 소비된 처리 가스를 수용하는 펌핑 채널(170)을 포함할 수 있는 배출구(144), 챔버(106)의 처리 가스 압력을 제어하기 위한 드로틀 밸브(135), 및 하나 이상의 배기 펌프들(152)을 통하여 챔버(106)로부터 배기된다.
처리 가스는 챔버(106) 처리 영역(108)의 처리 가스에 에너지를 결합하는 가스 에너자이저(154)에 의해 에너지를 공급받을 수 있다. 도 1a에 도시된 버젼에서, 가스 에너자이저(154)는 처리 가스에 에너지를 공급하기 위하여 전력 공급부(159)에 의해 전력을 공급받는 처리 전극들(139, 141)을 포함한다. 처리 전극들(139, 141)은 측벽(114) 같은 벽 또는 상기 벽 내부에 있는 전극(141) 또는 기판(104) 아래 지지부(110)의 전극 같은 다른 전극(139)에 용량적으로 결합될 수 있는 챔버(106)의 실링(118)을 포함할 수 있다. 도 1b에 도시된 바와같이 선택적으로 또는 부가적으로, 가스 에너자이저(154)는 챔버(106)의 중심에 대해 원형 대칭을 가질수있는 하나 이상의 인덕터 코일들(178)을 포함하는 안테나(175)를 포함할 수 있다. 다른 버젼에서, 가스 에너자이저(154)는 도 1c에 도시된 바와같이 챔버(106) 상부 원격 영역(157)의 마이크로파 에너지에 의해 처리 가스를 활성화하기 위하여 마이크로파 소스 및 도파관을 포함할 수 있다. 기판(104)을 처리하기 위하여, 처리 챔버(106)는 진공되고 소정 서브 대기압으로 유지된다. 그 다음 기판(104)은 예를들어 로봇 암 및 리프트 핀 시스템 같은 기판 수송부(101)에 의해 지지부(110)상에 제공된다. 그 다음 가스 에너자이저(154)는 가스에 RF 또는 마이크로파 에너지를 결합시킴으로써 기판(104)을 처리하기 위한 처리 영역(108)에 에너지화된 가스를 제공하도록 가스를 에너지화한다.
도 2에 개략적으로 도시된 바와 같이, 챔버(106)의 적어도 하나의 컴포넌트(114)는 이트륨-알루미늄 화합물을 포함하는 전체 표면 코팅(integral surface coating)(117)을 포함한다. 점선으로 도 2에 개략적으로 표시된 바와 같이, 컴포넌트(114)의 하부 구조물(underlying structure)(111) 및 전체 표면 코팅(117)은 그 사이에 불연속적인 날카로운 결정질 경계가 존재하지 않는 단일의 연속 구조물을 형성한다. 전체 표면 코팅은 하부 컴포넌트 재료의 적어도 일부를 사용하여 컴포넌트(114)의 상기 표면으로부터 인-시튜(in-situ) 형성된다. 컴포넌트(114)가 제조되는 구조물에서 표면 코팅(117)을 "성장"시킴으로써, 표면코팅(117)은 코팅과 하부 구조물 사이에 불연속적인 날카로운 경계를 갖는 플라즈마 스프레이 코팅들과 같은 종래의 코팅들보다 하부 컴포넌트 재료 구조물에 더 강하게 결합된다. 전체 표면 코팅(117)은 예를 들어, 바람직한 금속 조성을 포함하는 컴포넌트 표면(112)을 양극산화처리(anodizing)함으로써, 또는 컴포넌트(114)의 표면(112) 내로 이온 주입함으로써 상기 구조물(111)로부터 형성된다. 전체 표면 코팅(117)은 또한 하부 재료 조성으로부터 표면 조성으로 연속적으로 또는 점차적으로 조성이 변화하는 조성 그래디언트(compositional gradient)를 가질 수 있다. 결과적으로, 전체 표면 코팅(117)은 하부 재료에 강하게 결합되고, 이것은 코팅(117)의 박편(flaking-off)를 감소시키며, 또한 코팅이 크래킹(cracking) 없이 열적 응력(thermal stress)들을 더 잘 견디게 한다.
전체 표면 코팅(117)을 갖는 컴포넌트(114)는 예를 들어, 외장 벽(103)의 일부 또는 라이너(105)와 같은 챔버 벽(107), 기판 지지부(110), 가스 공급부(130), 가스 에너자이저(154), 가스 배기부(144), 또는 기판 수송부(101)일 수 있다. 부식 또는 침식에 취약한 챔버 컴포넌트(114)의 부분들, 예를 들어, 프로세스 존(108)에서 고온, 부식 가스들, 및/또는 침식 스퍼터링 종들에 노출되는 컴포넌트들(114)의 표면들(115) 또한 전체 표면 코팅(117)을 형성하기 위하여 처리될 수 있다. 예를 들어, 컴포넌트(114)는 챔버 벽 표면(115)과 같은 챔버(106)에서 플라즈마에 노출되는 챔버 벽(107)의 일부를 형성할 수 있다.
하나의 버전으로, 전체 표면 코팅(117)은 이트륨과 알루미늄의 합금일 수 있는 이트륨-알루미늄 화합물, 또는 이트륨과 알루미늄의 다수의 산화물과 같은 미리정해진 화학양론(stoichiometry)을 갖는 하나 이상의 화합물들을 포함한다. 예를 들어, 이트륨-알루미늄 화합물은 예를 들어, 이트륨 알루미늄 가닛(YAG)과 같은, Y2O3및 Al2O3의 혼합물일 수 있다. 전체 표면 코팅(117)이 이트륨 알루미늄 산화물일 때, 코팅(117)은 컴포넌트(114)의 두께를 통해 산화물 화합물들의 농도 그래디언트를 가질 수 있는데, 산화물 화합물들의 더 높은 농도는 컴포넌트(114)의 표면에 더 근접하여 존재하고, 산화물 화합물들의 농도는 컴포넌트의 내부 구조물(111) 안으로의 거리가 증가함에 따라, 즉, 표면(112)로부터 이격된 거리가 증가함에 따라 감소한다.
예를 들어, 전체 표면 코팅(117)이 이트륨 알루미늄 산화물을 포함할 때, 표면(112) 근처의 영역들은 더 높은 농도의 산화된 이트륨 및 알루미늄 종들 갖는 경향이 있는 반면, 컴포넌트 내부 쪽의 영역들은 더 낮은 농도의 산화된 종들을 갖는다. 이트륨 알루미늄 산화물로 이루어진 전체 표면 코팅(117)은 활성 스퍼터링 가스들로부터의 양호한 침식 저항성 및 활성화된 할로겐화 가스들로부터의 양호한 침식 저항성을 나타낸다. 특히, 전체 표면 코팅(117)은 활성화된 염소 함유 가스들에 대해 양호한 저항성을 나타낸다. 전체 표면 코팅(117)의 조성 및 두께는 부식 및 침식에 대한 저항성, 또는 다른 유해한 영향들에 대한 저항성을 개선시키도록 선택된다. 예를 들어, 더 두꺼운 전체 표면 코팅(117)은 챔버 컴포넌트(114)의 부식 또는 침식에 대한 보다 견고한 장벽을 제공할 수 있는 반면, 더 얇은 코팅은 열적 충격 저항에 더 적당하다. 전체 표면 코팅(117)은 산화된 종들이 컴포넌트의깊이 내내 또는 단지 그 표면 상에서 연장되어, 코팅(117)의 두께가 컴포넌트의 깊이 내내 또는 단지 그 표면 상에서 연장되도록 형성될 수도 있다. 전체 표면 코팅(117)의 적당한 두께는 예를 들어, 약 0.5 mil 내지 약 8 mil, 또는 약 1 mil 내지 약 4 mil일 수 있다.
하나의 버전에서, 컴포넌트(114)는 이트륨과 알루미늄을 포함하는 금속 합금을 포함하고, 전체 표면 코팅(117)은 금속 합금의 표면을 양극산화처리함으로써 형성된다. 양극산화처리된 전체 표면 코팅(117)을 갖는 금속 합금은 챔버 컴포넌트(114)의 일부 또는 전부를 형성할 수 있다. 금속 합금은 바람직한 부식 저항성 또는 다른 합금 특성들을 제공하도록 선택된 원소 이트륨 및 알루미늄의 조성을 포함한다. 예를 들어, 상기 조성은 챔버 컴포넌트들(114)의 제조 및 성형을 촉진하도록 양호한 용융 온도 또는 가단성(malleablility)을 갖는 금속 합금을 제공하도록 선택될 수 있다. 조성은 또한 기판의 처리 동안 유리한 특성들, 예를 들어, 활성화된 프로세스 가스에서의 부식에 대한 저항성, 높은 온도들에 대한 저항성, 또는 열적 쇼크를 견디어내는 능력을 제공하도록 선택될 수 있다. 하나의 버전에서, 적절한 조성은 필수적으로 이트륨과 알루미늄으로 구성된 금속 합금을 포함한다.
양극산화처리될 금속 합금의 혼합물은 하부 코팅을 위한 목적하는 부식 또는 침식 저항성을 제공하도록 선택된다. 혼합물은 활성화된 가스에 의한 침식에 대해 저항성있는 양극산화처리된 전체 표면 코팅(117)을 형성하기 위하여 양극산화처리될 수 있는 금속 합금을 제공하도록 선택될 수 있다. 예를 들어, 금속 합금 조성은 산성 용액에서 양극산화처리될 때 금속 합금의 표면(113) 상에 산화된 알루미늄의 목적하는 코팅 조성을 제공하도록 선택될 수 있다. 부식 저항성 양극산화처리된 전체 표면 코팅(117)을 제공하는 금속 합금의 적절한 혼합물은 예를 들어, 이트륨이 금속 합금의 중량 기준으로 적어도 약 5%, 바람직하게는 금속 합금의 중량 기준으로 약 80% 이하 포함된, 예를 들어, 금속 합금의 중량 기준으로 약 67% 포함된 금속 합금이다.
금속 합금은 하부의 전체 코팅(117)을 구비한 일체식 또는 연속적인 구조물인 것이 바람직하다. 전체 구조물은 양극산화처리된 전체 표면 코팅(117)과 하부 금속 합금 사이에 감소된 열적 팽창 불일치 문제를 제공한다. 대신에, 양극산화처리된 전체 표면 코팅(117)을 포함하는 양극산화처리된 금속 합금은 금속 합금의 가열 및 냉각 동안 실질적으로 단일 구조물로 남아 있다. 그리하여, 양극산화처리된 전체 표면 코팅(117)은 기판 처리 동안 최소 결함 또는 박편을 나타내고, 금속 합금의 나머지로 내구성있는 부식 저항 구조를 형성한다.
이트륨과 알루미늄으로 이루어지며 양극산화처리된 전체 표면 코팅(117)을 가진 금속 합금을 포함하는 컴포넌트(114)를 제조하는 바람직한 방법에서, 이트륨과 알루미늄의 혼합물은 열에 의해 연화되거나 용해되어 챔버 컴포넌트(113)를 형성하는 모양의 금속 합금을 형성한다. 챔버 컴포넌트(114)의 표면(113)은 세척된 다음 챔버 컴포넌트(114)를 산화 용액에 넣고 챔버 컴포넌트(114)을 전기적으로 바이어스시킴으로써 양극산화처리된다.
도 3a는 제품의 양극산화처리 방법의 실시예를 설명하는 흐름도를 나타낸다.이트륨과 알루미늄을 포함하는 금속 합금은 바람직한 조성으로 형성된다. 예를 들어, 적당한 조성은 이트륨과 알루미늄의 질량비가 약 5:3인 금속 합금을 포함할 수도 있다. 예를 들어 바람직한 양의 이트륨과 알루미늄으로 이루어진 혼합물을 조성물의 용해 또는 연화 온도까지 가열하여 금속을 용해시키고 이들을 단일 합금으로 결합시킴으로써 금속 합금이 형성될 수 있다. 어떤 형태에서 금속 합금은 본질적으로 이트륨과 알루미늄으로 구성될 수 있는 한편, 다른 금속 등의 다른 합금제가 금속 이트륨 및 알루미늄에 의해 용해되어 금속 합금의 형성을 촉진시키거나 금속 합금의 특성을 강화시킬 수도 있다. 예를 들어, 세륨 또는 그 밖의 희토류 원소들이 추가될 수도 있다.
금속 합금은 바람직한 챔버 컴포넌트(114) 또는 챔버 컴포넌트(114)의 일부를 형성하는 모양을 이룬다. 예를 들어, 금속 합금을 주조 또는 기계 가공함으로써 원하는 형상의 금속 합금이 얻어질 수 있다. 금속 합금은 용해되거나 다른 방법으로 액화된 형태의 금속 합금을 원하는 모양 또는 형태를 갖는 주조 용기에서 냉각시킴으로써 주조된다. 주조 용기는 금속 이트륨과 알루미늄이 용해되어 합금(112)을 형성하는 동일한 용기를 포함하거나 개별 주조 용기가 될 수도 있다. 가열된 금속 합금의 냉각에 의해 금속 합금이 주조 용기의 모양에 따르는 모양으로 응고되어 바람직한 금속 합금 형상을 제공한다.
바람직한 모양을 가진 금속 합금이 형성되면, 양극산화처리가 행해져 금속 합금 표면을 양극 산화함으로써 산화 종의 양극산화처리된 전체 표면 코팅(117)을 형성한다. 금속 합금은 또한 양극산화처리 전에 세척되어 양극산화처리된 표면 코팅의 성장을 방해할 수도 있는 금속 합금 표면(113)의 오염균이나 미립자들을 제거한다. 예를 들어, 표면(113)은 금속 합금을 산성 용액에 담그고 오염균 미립자들을 에칭시킴으로써 세척되거나 금속 합금이 초음파 세척될 수도 있다.
어떤 형태에서 금속 합금은 금속 합금 표면(113)을 산화제로 전해 반응시킴으로써 양극산화처리된다. 예를 들어, 금속 합금은 산화 산성 용액 등의 산화 용액에 놓여 전기적으로 바이어스 되어 양극산화처리된 표면 코팅의 형성을 유도한다. 적당한 산성 용액은 예를 들어 크롬산, 옥살산(oxalic acid), 황산 중 하나 또는 그 이상을 포함할 수도 있다. 산성 용액 조성, 전기 바이어스 전력, 처리 지속 시간 등의 양극산화처리 파라미터는 예를 들어 바람직한 두께나 부식 저항성 등의 바람직한 특성을 갖는 양극산화처리된 전체 표면 코팅(117)을 형성하도록 선택될 수 있다. 예를 들어, 양극산화처리된 표면 코팅을 포함하는 금속 합금은 약 30분 내지 약 90분, 심지어는 120분의 지속 시간 동안 배스(bath)에서 전극에 적당한 바이어스 전압을 인가하여 약 0.5 M 내지 약 1.5 M의 황산을 포함하는 산성 용액에서 금속 합금을 양극산화처리함으로서 형성될 수도 있다.
또한 금속 합금을 공기 등의 산소 함유 가스에 노출시킴으로써 금속 합금이 적어도 부분적으로 양극산화처리될 수도 있다. 공기로부터의 산소는 표면(113)을 산화시킴으로써 양극산화처리된 전체 표면 코팅(117)을 형성한다. 양극산화처리의 속도는 금속 합금 및 산소 함유 가스를 가열시키고 순수한 산소 가스를 사용함으로써 증가될 수 있다.
당업자들에게 알려진 바와 같이, 양극산화처리된 전체 표면 코팅(117)을 갖는 금속 합금(114)을 포함하는 챔버 컴포넌트(114)의 형성 단계가 챔버 컴포넌트(114)의 제조에 가장 적합한 순서로 행해진다. 예를 들어, 상술한 바와 같이 바람직한 형상으로 금속 합금이 형성된 후 양극산화처리가 행해질 수도 있다. 다른 예로서, 금속 합금이 바람직한 형태로 형성되기 전에 양극산화처리가 행해질 수도 있다. 예를 들어, 금속 합금은 양극산화처리 전 또는 후에 용접에 의해 형성될 수도 있다.
챔버 벽(107), 가스 공급부, 가스 에너자이저, 가스 배기부, 기판 수송부 또는 지지부 등, 이트륨과 알루미늄을 포함하며 양극산화처리된 전체 표면 코팅(117)을 갖는 금속 합금으로부터 적어도 부분적으로 형성되는 챔버 컴포넌트(114)가 활성화된 처리 가스에 의해 높은 처리 온도로 상기 컴포넌트(114)의 부식에 대해 개선된 저항성을 제공한다. 양극산화처리된 전체 표면 코팅(117)을 갖는 금속 합금의 집적 구조는 부식 저항성을 더 향상시키고, 양극산화처리된 표면 코팅의 크래킹이나 박리를 감소시킨다. 따라서, 바람직하게 챔버 컴포넌트(114)는 처리 영역에 노출되는 챔버 벽(107) 표면(115)과 같이 부식되기 쉬운 컴포넌트(114)의 영역에 양극산화처리된 전체 표면 코팅(117)을 갖는 금속 합금을 포함하여 상기 영역의 부식 및 침식을 감소시킨다.
본 발명의 또다른 실시예에서, 도 4에서 도시된 바와 같은 이온 주입기(300)는 전체 표면 코팅(117)의 구성 재료를 컴포넌트(114)의 표면(112) 내부로 주입함으로써 전체 표면 코팅(117)을 형성시킨다. 이 방법에서, 이온 주입기(300)는, 예를 들어 1이상의 금속들로부터 컴포넌트(114)를 제조하며, 에너지화(energetic) 이온 주입 종으로 컴포넌트(114)의 표면(112)에 충격을 가함으로써 그 컴포넌트(114) 내부로 다른 금속 종 또는 비금속 종을 주입한다. 하나의 실시예에서, 에너지화 이트륨 이온들이 알루미늄을 포함하는 컴포넌트(114)의 표면(112)으로 주입되는 반면, 다른 실시예에서는 에너지화 산소 이온들이 이트륨-알루미늄 합금의 표면(112)으로 주입된다. 이온 주입기(300)는 진공 환경으로 밀폐시키는 진공 하우징(310)과, 그 진공 하우징(310)에서 진공 환경을 생성시키기 위하여 그 진공 하우징(310)을 진공상태로 만드는 하나 이상의 진공 펌프들(320)을 포함한다. 이온 주입 공정은 상온 또는 고온에서 수행될 수 있다. 통상적인 공정 단계의 목록은 도 3b에서 제공된다.
이온 주입기(300)는 금속 합금의 표면(112)으로 주입되는 재료의 일정성과 표면 분포에 대한 양호한 제어를 제공한다. 예를 들어, 이온 주입기(300)는 주입 가능한 이온들이 컴포넌트(114)내로 주입되는 주입 밀도와 컴포넌트(114)내에서 주입 재료의 침투 깊이(penetration depth)를 제어할 수 있다. 이온 주입기(300)는 또한 일정한 표면 커버리지(coverage)와 농도 수준을 제공할 수 있다. 또한, 이온 주입기(300)는 또한 컴포넌트(114)의 소정의 선택된 영역들 상에서만 전체 표면 코팅(117)을 형성시킬 수 있으며, 그 영역들의 에지에서 주입 재료의 분포가 제어될 수 있다. 통상적인 이온 주입 방법에서, 주입될 수 있는 이온량(ion dose)의 양호한 범위는, 예를 들어, 약 1011에서 약 1017ions/㎠이다. 하나의 실시예에서, 이온 주입기(300)는 이온량을 상기 이온량 범위 내에서 ±1% 내로 제어할 수 있다.
통상적으로, 이온 주입기(300)는 전체 표면 코팅(117)을 형성시키기 위하여 주입될 재료를 제공하고 이온화하기 위해 진공 하우징(310)내에 이온 소스(330)를 포함한다. 하나의 버전에서, 이온 소스(330)는 주입 재료를 고체 형태로 포함하며 기화 챔버(미도시)가 그 고체 주입 재료를 기화시키는데 사용된다. 또다른 버전에서, 이온 소스(330)는 주입 재료를 기체 형태로 제공한다. 예를 들어, 기체 주입 재료가 먼 지점에서부터 이온 소스(330) 내부로 공급될 수 있음으로써, 진공 하우징(310)을 개방하거나 기타 진공 환경을 깨지 않으면서 그 재료가 이온 소스(330)에 채워지는 것이 가능하게 된다. 주입 재료는, 예를 들어, YAG와 같은 이트륨-알루미늄 산화물의 화합물을 포함하는 컴포넌트를 형성하기 위해 알루미늄 컴포넌트로 주입되어질 이트륨 원소 또는 산소 원소를 포함할 수 있다. 예를 들어, 이트륨을 포함하는 기체, 고체 이트륨, 또는 산소 기체와 같은, 이온화 가능한 재료의 임의의 소스가 사용될 수 있다.
도 5에서 도시된 하나의 실시예에서, 이온 소스(330)는 주입 재료를 컴포넌트 표면(112)으로 전달하기 전에 그 기체 주입 재료를 이온화하기 위한 이온화 시스템(420)의 이온화 영역으로 그 기체 주입재료를 유도하는 기체 입구(gas inlet)(410)를 포함한다. 기체 또는 기화된 주입 재료는 그 기체 또는 증기(vapor)를 열음극 전자 방전(hot cathode electronic discharge), 냉음극 전자 방전(cold cathode electronic discharge), 또는 RF 방전에 통과시킴으로써 이온화된다. 하나의 버전에서, 이온화 시스템(420)은 가열된 필라멘트(heated filament)(425)를 포함한다. 이온 소스(330)는 애노드(430) 및 추출출구(extraction outlet)(445) 부근에 있는 추출 전극(extraction electrode)(440)을 추가적으로 포함하는데, 이들은 이온화 기체로부터 양이온들을 추출하여 이온빔(340)을 형성하기 위하여 점증적으로 전기적으로 바이어스된다. 하나의 실시예에서, 애노드(430)는 약 100V 부근과 같이, 약 70V에서 약 130V까지에서 바이어스된다. 추출 전극(440)은 약 15KeV에서 약 20KeV까지와 같이, 약 10KeV에서 약 25KeV까지에서 바이어스될 수 있다. 추출 출구(445)는 이온빔(340)의 형태를 정하도록 그 형태가 갖추어질 수 있다. 예를 들어, 추출 출구(445)는 원형 구멍 또는 직사각형 슬릿일 수 있다. 솔레노이드(450)는, 이온 소스(330)의 이온화 효율을 향상시키기 위하여 전자들을 나선 궤적으로 이동시키는 자기장을 생성하기 위하여 제공된다. 이온빔(340) 전류의 예시적인 적절한 범위는, 약 1mA에서 약 20mA까지와 같이, 약 0.1mA에서 약 100mA까지이다.
도 4로 돌아가, 이온 주입기(300)는 또한 통상적으로 이온빔(340)을 가속시키기 위한 일련의 가속 전극들(350)을 포함한다. 일반적으로, 가속 전극들(350)은 이온빔(340)을 점차 가속시키기 위하여 그 이온빔(340)의 진행방향을 따라 점차적으로 증가하는 레벨의 전위로 유지된다. 하나의 버전에서, 가속 전극들(350)은, 약 50에서 약 500keV까지, 보다 통상적으로는 약 100에서 약 400keV까지의 에너지로 이온빔(340)을 가속한다. 보다 큰 에너지를 갖는 이온빔들은 상대적으로 무겁고 컴포넌트(114)의 표면(112) 내부로 깊이 주입되는 것이 바람직한 이온들을 주입하는데 사용될 수 있다.
이온 주입기(300)는 이온빔(340)을 포커싱하는 빔 초점기(beamfocuser)(360)를 포함한다. 하나의 버전에서, 빔 초점기(360)는 이온빔(340)을 수렴시키는 자기장을 생성시키는 자기장 렌즈(미도시)를 포함한다. 예를 들어, 자기장은 이온빔(340)의 진행방향에 근사적으로 평행할 수 있다. 또한, 빔 초점기(360)는, 예를 들어 전위로 유지됨으로써, 이온빔(340)을 추가적으로 가속시키는 기능을 할 수 있다. 다른 버전에서, 빔 초점기(360)는 이온빔(340)을 수렴시키는 전기장을 생성시키는 정전기장(electrostatic field) 렌즈(미도시)를 포함한다. 예를 들어, 전기장의 부분은 이온빔(340)의 진행방향에 근사적으로 수직일 수 있다.
일 실시예에서, 이온 주입기(300)는 이온들의 질량을 분석하고 선택하기 위한 질량 분석기(370)를 더 포함한다. 일 버전에서, 질량 분석기(370)는 곡선형태의 채널(도시되지 않음)을 포함하고, 상기 채널을 통해서 이온빔(340)이 통과할 수 있다. 질량 분석기(370)는 상기 채널 내에 자기장을 생성하여 곡선 형태의 채널의 내부를 따라 선택된 질량 대 전하비를 가지는 이온들을 가속화한다. 선택된 이온들과 실질적으로 서로 다른 질량 대 전하비를 가지는 이온들은 곡선 형태의 채널의 측면과 충돌하고, 따라서 곡선 형태의 채널을 계속해서 통과하지 못한다. 일 실시예에서, 특정 자기장의 세기를 선택함으로써, 질량 분석기(370)는 허용되는 특정 질량 대 전하비를 선택한다. 또 다른 실시예에서, 질량 분석기(370)은 자기장 세기의 범위를 테스트하고 각각의 자기장 세기에서의 곡선 형태의 채널을 통과하는 이온들의 수를 검출함으로써, 이온빔(340)의 질량 대 전하비 분배를 결정한다. 질량 분석기(370)는 일반적으로 강자기 물질로 만들어진 다수의 자기 폴조각들(magnet pole pieces)을 포함한다. 하나 이상의 솔레노이드들은 자기 폴 피스들의 근방에 자기장을 생성하도록 제공될 수 있다.
이온 주입기(300)는 이온들을 컴포넌트(114)로 분산시켜 주입하기 위해서 컴포넌트(114)의 표면(112)에 대해서 이온 빔(340)을 편향시키는 빔 편향기(beam deflector)를 포함한다. 일 실시예에서, 빔 편향기(380)는 이온 빔(340)을 편향시키기 위해서 전기장을 생성하는 정전기 편향기를 포함한다. 전기장은 이온 빔(340)의 진행 방향에 직교하는 필드 컴포넌트들 가지고, 그 방향을 따라 정전기 편향기는 이온 빔(340)을 편향시킨다. 또 다른 실시예에서, 빔 편향기(380)는 이온 빔을 편향시키기 위해서 자기장을 생성하는 자기 편향기를 포함한다. 자기장은 이온 빔(340)의 진행 방향에 직교한 필드 컴포넌트들 가지고, 자기 편향기는 이온 빔(340)의 진행 방향 및 직교 자기장 컴포넌트 둘 다에 직교한 방향으로 이온 빔(340)을 편향시킨다.
이온 주입기(300)는 아래 놓인 구조의 재료 대 주입된 재료의 비율이 바람직한 화학량을 제공하도록 컴포넌트(114)의 구조(111)로 주입 재료의 양을 주입시킨다. 예를 들면, 이트륨 이온들을 알루미늄 구조의 표면으로 주입시킬 때, 대략 4:2 내지 대략 6:4, 또는 대략 5:3의 알루미늄-대-이트륨 몰 비율을 가지는 것이 바람직하다. 상기 비율은 구조(111)가 실질적으로 어닐링, 양극산화처리(anodizing), 또는 산소 이온들이 주입될 때, YAG를 제공하는데 최적화된다.
도 6 에 도시된 것처럼, 어닐링기(500)는 컴포넌트(114)의 결정 구조에 대한손상을 복구하기 위해서 컴포넌트(114)를 어닐링하는데 또한 사용될 수 있다. 예를 들면, 어닐링기(500)는 이온 주입 동안에 활성 이온들에 의해서 손상된 컴포넌트(114)의 영역을 "치료한다". 일반적으로, 비일치성(incoherent) 또는 일치성(coherent) 전자기 방사 소스(510)와 같은 열 소스(510)를 포함하고, 이는 컴포넌트(114)를 어닐링을 위한 적당한 온도로 가열할 수 있다. 예를 들면, 어닐링기(500)는 컴포넌트(114)를 적어도 대략 600℃, 예를 들면 적어도 대략 900℃의 온도로 가열할 수 있다. 도 6 에 도시된 실시예에서, 어닐링기(500)는 발광을 만들기 위한 텅스텐 할로겐 램프(515)를 포함하는 열 소스(510) 및 방사를 컴포넌트(114)로 편향시키기 위한 반사기(520)를 포함하는 급속 열 어닐링기(rapid thermal annealer)(505)이다. 공기 또는 물과 같은 유체(525)는 열 소스(510)의 온도를 조절하기 위해서 열 소스(510)를 따라서 흐른다. 일 실시예에서, 석영판(530)은 컴포넌트(114)로부터 유체를 분리하기 위해서 열 소스(510) 및 컴포넌트(114) 사이에 제공된다. 급속 열 어닐링기(505)는 컴포넌트(114)의 온도를 모니터링하기 위한 온도 모니터(540)를 더 포함한다. 일 실시예에서, 온도 모니터(540)는 컴포넌트(114)의 온도를 결정하기 위해서 컴포넌트(114)에 의해서 방출된 방사를 분석하기 위한 광 고온계(545)를 포함한다.
본 발명의 예시적인 실시예가 도시되고 설명되었지만, 당업자들은 본 발명에 통합하여 다른 실시예들을 발명할 수 있고, 이는 본 발명의 범위 내에 있다. 예를 들면, 금속 합금은 특히 언급된 것보다 다른 적당한 컴포넌트들을 포함할 수 있고,이는 당업자에게 분명하다. 또한, 아래에, 위에, 하부, 상부, 위로, 아래로, 제 1및 제 2 및 다른 관련, 위치 용어들은 도면의 예시적인 실시예에 관하여 도시되고, 교환 가능하다. 따라서, 첨부된 청구항들은 본 발명을 설명하기 위해서 여기에 설명된 바람직한 버전들, 재료들, 또는 공간적인 배치들의 설명에 한정되지 않는다.

Claims (35)

  1. 이트륨-알루미늄 화합물로 이루어진 전체 표면 코팅을 갖는 구조물을 포함하는 기판 처리 챔버 컴포넌트.
  2. 제 1 항에 있어서, 상기 전체 표면 코팅은 양극산화처리된 코팅을 포함하는 것을 특징으로 하는 기판 처리 챔버 컴포넌트.
  3. 제 2 항에 있어서, 상기 구조물은 이트륨 및 알루미늄으로 이루어진 금속 합금을 포함하는 것을 특징으로 하는 기판 처리 챔버 컴포넌트.
  4. 제 3 항에 있어서, 상기 금속 합금은 약 50 중량% 미만의 이트륨 함량을 포함하는 것을 특징으로 하는 기판 처리 챔버 컴포넌트.
  5. 제 1 항에 있어서, 상기 전체 표면 코팅은 이온 주입된 코팅을 포함하는 것을 특징으로 하는 기판 처리 챔버 컴포넌트.
  6. 제 1 항에 있어서, 상기 이트륨-알루미늄 화합물은 이트륨 알루미늄 산화물을 포함하는 것을 특징으로 하는 기판 처리 챔버 컴포넌트.
  7. 제 6 항에 있어서, 상기 이트륨-알루미늄 화합물은 YAG를 포함하는 것을 특징으로 하는 기판 처리 챔버 컴포넌트.
  8. 제 1 항에 있어서, 상기 전체 표면 코팅은 약 0.5mil 내지 약 8mil의 두께를 포함하는 것을 특징으로 하는 기판 처리 챔버 컴포넌트.
  9. 제 1 항에 있어서, 상기 하부 구조물물은 외장 벽인 것을 특징으로 하는 기판 처리 챔버 컴포넌트.
  10. 제 1 항에 있어서, 상기 하부 구조물물은 벽 라이너인 것을 특징으로 하는 기판 처리 챔버 컴포넌트.
  11. 기판 처리 챔버 컴포넌트를 제조하는 방법으로서,
    (a) 이트륨 및 알루미늄으로 이루어진 금속 합금을 포함하는 구조물을 포함하는 챔버 컴포넌트를 형성하는 단계; 및
    (b) 이트륨-알루미늄 화합물로 이루어진 양극산화처리된 코팅을 형성하기 위해 상기 금속 합금 구조물의 표면을 양극산화처리하는 단계
    를 포함하는 기판 처리 챔버 컴포넌트 제조 방법.
  12. 제 11 항에 있어서, 상기 금속 합금의 표면을 양극산화처리하여 이트륨 알루미늄 산화물을 형성하는 단계를 포함하는 것을 특징으로 하는 기판 처리 챔버 컴포넌트 제조 방법.
  13. 제 11 항에 있어서, (a) 단계는 약 50 중량% 미만의 이트륨 함량을 포함하는 금속 합금을 형성하는 단계를 포함하는 것을 특징으로 하는 기판 처리 챔버 컴포넌트 제조 방법.
  14. 제 11 항에 있어서, 약 0.5mil 내지 약 8mil의 두께를 갖는 양극산화처리된 코팅을 형성하도록 상기 금속 합금 구조물의 표면을 양극산화처리하는 단계를 포함하는 것을 특징으로 하는 기판 처리 챔버 컴포넌트 제조 방법.
  15. 제 11 항에 있어서, 옥살산, 크롬산, 및 황산 중 하나 이상을 포함하는 산성 용액으로 상기 금속 합금의 표면을 양극산화처리하는 단계를 포함하는 것을 특징으로 하는 기판 처리 챔버 컴포넌트 제조 방법.
  16. 제 15 항에 있어서, 약 30분 내지 약 120분 동안 상기 금속 합금의 표면을 양극산화처리하는 단계를 포함하는 것을 특징으로 하는 기판 처리 챔버 컴포넌트 제조 방법.
  17. 제 11 항에 있어서, YAG를 포함하는 양극산화처리된 코팅을 형성하도록 상기금속 합금의 표면을 양극산화처리하는 단계를 포함하는 것을 특징으로 하는 기판 처리 챔버 컴포넌트 제조 방법.
  18. 기판 처리 챔버 컴포넌트를 제조하는 방법으로서,
    (a) 알루미늄을 포함하는 구조물을 포함하는 챔버 컴포넌트를 형성하는 단계; 및
    (b) 상기 알루미늄으로 이트륨을 이온 주입시키는 단계
    를 포함하는 기판 처리 챔버 컴포넌트 제조 방법.
  19. 제 18 항에 있어서, 상기 (b) 단계는, 이트륨 이온들을 생성하고 상기 이온들을 약 50 내지 약 500keV의 에너지 레벨로 에너지화하는 단계를 포함하는 것을 특징으로 하는 기판 처리 챔버 컴포넌트 제조 방법.
  20. 제 18 항에 있어서, 상기 구조물을 어닐링하는 단계를 더 포함하는 것을 특징으로 하는 기판 처리 챔버 컴포넌트 제조 방법.
  21. 제 18 항에 있어서, 상기 구조물로 산소를 이온 주입시키는 단계를 더 포함하는 것을 특징으로 하는 기판 처리 챔버 컴포넌트 제조 방법.
  22. 제 18 항에 있어서, 상기 구조물의 표면을 산성 용액으로 양극산화처리하는단계를 포함하는 것을 특징으로 하는 기판 처리 챔버 컴포넌트 제조 방법.
  23. 제 18 항에 있어서, 상기 구조물의 표면을 처리하여 이트륨 알루미늄 산화물을 형성하는 단계를 포함하는 것을 특징으로 하는 기판 처리 챔버 컴포넌트 제조 방법.
  24. 제 18 항에 있어서, 상기 구조물의 표면을 처리하여 YAG를 형성하는 단계를 포함하는 것을 특징으로 하는 기판 처리 챔버 컴포넌트 제조 방법.
  25. 기판 처리 챔버 컴포넌트를 제조하는 방법으로서,
    (a) 알루미늄을 포함하는 구조물을 포함하는 챔버 컴포넌트를 형상화하는 단계;
    (b) 상기 구조물로 이트륨을 이온 주입시키는 단계; 및
    (c) 상기 구조물로 산소를 이온 주입시키는 단계
    를 포함하는 기판 처리 챔버 컴포넌트 제조 방법.
  26. 제 25 항에 있어서, 상기 (b) 단계는, 이트륨 이온들을 생성하고 상기 이온들을 약 50 내지 약 500keV의 에너지 레벨로 에너지화하는 단계를 포함하는 것을 특징으로 하는 기판 처리 챔버 컴포넌트 제조 방법.
  27. 제 25 항에 있어서, 상기 구조물을 어닐링하는 단계를 더 포함하는 것을 특징으로 하는 기판 처리 챔버 컴포넌트 제조 방법.
  28. 제 25 항에 있어서, YAG를 형성하는 이트륨-대-알루미늄의 몰 비율을 산소에 제공하도록 이트륨 및 알루미늄을 주입시키는 단계를 포함하는 것을 특징으로 하는 기판 처리 챔버 컴포넌트 제조 방법.
  29. 처리 영역 근처에 벽을 갖는 처리 챔버;
    상기 처리 챔버로 기판을 수송할 수 있는 기판 수송부;
    기판을 수용할 수 있는 기판 지지부;
    상기 처리 챔버로 처리 가스를 유입시킬 수 있는 가스 공급부;
    상기 처리 챔버에서 상기 처리 가스를 에너지화할 수 있는 가스 에너자이저; 및
    상기 처리 챔버로부터 상기 처리 가스를 배기시킬 수 있는 배기부
    를 포함하며, 상기 처리 챔버 벽, 기판 지지부, 기판 수송부, 가스 공급부, 가스 에너자이저, 및 가스 배기부 중 하나 이상은 이트륨-알루미늄 화합물로 이루어진 전체 표면 코팅을 갖는 구조물을 포함하는 기판 처리 장치.
  30. 제 29 항에 있어서, 상기 전체 표면 코팅은 양극산화처리된 코팅을 포함하는 것을 특징으로 하는 기판 처리 장치.
  31. 제 29 항에 있어서, 상기 구조물은 이트륨 및 알루미늄으로 이루어진 금속 합금을 포함하는 것을 특징으로 하는 기판 처리 장치.
  32. 제 31 항에 있어서, 상기 금속 합금은 약 50 중량% 미만의 이트륨 함량을 포함하는 것을 특징으로 하는 기판 처리 장치.
  33. 제 29 항에 있어서, 상기 전체 표면 코팅은 이온 주입된 코팅을 포함하는 것을 특징으로 하는 기판 처리 장치.
  34. 제 29 항에 있어서, 상기 이트륨-알루미늄 화합물은 이트륨 알루미늄 산화물을 포함하는 것을 특징으로 하는 기판 처리 장치.
  35. 제 29 항에 있어서, 상기 이트륨-알루미늄 화합물은 YAG를 포함하는 것을 특징으로 하는 기판 처리 장치.
KR1020047010681A 2002-01-08 2002-12-19 이트륨-알루미늄 코팅을 갖는 부품을 구비한 처리 챔버 KR100864205B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/042,666 US6942929B2 (en) 2002-01-08 2002-01-08 Process chamber having component with yttrium-aluminum coating
US10/042,666 2002-01-08
PCT/US2002/041150 WO2003060187A1 (en) 2002-01-08 2002-12-19 Process chamber having component with yttrium-aluminum coating

Publications (2)

Publication Number Publication Date
KR20040081117A true KR20040081117A (ko) 2004-09-20
KR100864205B1 KR100864205B1 (ko) 2008-10-17

Family

ID=21923126

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020047010681A KR100864205B1 (ko) 2002-01-08 2002-12-19 이트륨-알루미늄 코팅을 갖는 부품을 구비한 처리 챔버

Country Status (5)

Country Link
US (1) US6942929B2 (ko)
KR (1) KR100864205B1 (ko)
CN (2) CN101302610B (ko)
TW (1) TWI307114B (ko)
WO (1) WO2003060187A1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101456539B1 (ko) * 2007-04-27 2014-10-31 어플라이드 머티어리얼스, 인코포레이티드 할로겐 플라즈마에 노출된 표면들의 침식 속도를 감소시키는 동시에 개선된 기계적 특성들을 나타내는 소결된 고용체 코팅
KR101491437B1 (ko) * 2007-08-02 2015-02-10 어플라이드 머티어리얼스, 인코포레이티드 처리 챔버 내의 아킹 및 부식을 감소시키는 보호성 이트륨 함유 코팅을 갖는 코팅 반도체 처리 장치
KR20230068373A (ko) * 2019-12-04 2023-05-17 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드. 차이나 내 플라즈마 코팅을 형성하는 방법, 장치, 부품 및 플라즈마 처리 장치

Families Citing this family (390)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US20080264564A1 (en) * 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US7479304B2 (en) * 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US8067067B2 (en) * 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US20060226003A1 (en) * 2003-01-22 2006-10-12 John Mize Apparatus and methods for ionized deposition of a film or thin layer
US20040182315A1 (en) 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
US20050161061A1 (en) * 2003-09-17 2005-07-28 Hong Shih Methods for cleaning a set of structures comprising yttrium oxide in a plasma processing system
US7791047B2 (en) * 2003-12-12 2010-09-07 Semequip, Inc. Method and apparatus for extracting ions from an ion source for use in ion implantation
DE102004039443B4 (de) * 2004-08-13 2023-05-25 Beijing E-Town Semiconductor Technology, Co., Ltd. Verfahren zum thermischen Behandeln von scheibenförmigen Substraten
US9659758B2 (en) 2005-03-22 2017-05-23 Honeywell International Inc. Coils utilized in vapor deposition applications and methods of production
US8617672B2 (en) * 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
US20070215607A1 (en) * 2006-03-20 2007-09-20 Wander Joseph M Apparatus and method for heating semiconductor wafers via microwares
US8440049B2 (en) 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US8475625B2 (en) * 2006-05-03 2013-07-02 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US20080105203A1 (en) * 2006-09-28 2008-05-08 Tokyo Electron Limited Component for substrate processing apparatus and method of forming film on the component
JP2008088912A (ja) * 2006-10-03 2008-04-17 Tohoku Univ メカニカルポンプおよびその製造方法
JP2008103403A (ja) * 2006-10-17 2008-05-01 Tokyo Electron Ltd 基板載置台及びプラズマ処理装置
KR101397124B1 (ko) * 2007-02-28 2014-05-19 주성엔지니어링(주) 기판지지프레임 및 이를 포함하는 기판처리장치, 이를이용한 기판의 로딩 및 언로딩 방법
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US7696117B2 (en) * 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US8367227B2 (en) * 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
US20090214825A1 (en) * 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
US8066895B2 (en) * 2008-02-28 2011-11-29 Applied Materials, Inc. Method to control uniformity using tri-zone showerhead
KR20100037765A (ko) * 2008-10-02 2010-04-12 삼성전자주식회사 플라즈마 발생장치
US8206829B2 (en) * 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
DE102010024543A1 (de) * 2010-06-22 2011-12-22 Merck Patent Gmbh Verfahren und Vorrichtung zum Beschichten einer Oberfläche
TWI471445B (zh) * 2010-12-30 2015-02-01 Hon Hai Prec Ind Co Ltd 殼體及其製造方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
US9358702B2 (en) 2013-01-18 2016-06-07 Applied Materials, Inc. Temperature management of aluminium nitride electrostatic chuck
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9669653B2 (en) 2013-03-14 2017-06-06 Applied Materials, Inc. Electrostatic chuck refurbishment
WO2014158253A2 (en) * 2013-03-14 2014-10-02 Applied Materials, Inc. Thermal treated sandwich structure layer to improve adhesive strength
US9887121B2 (en) 2013-04-26 2018-02-06 Applied Materials, Inc. Protective cover for electrostatic chuck
US9666466B2 (en) 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
JP2017512375A (ja) * 2014-01-31 2017-05-18 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated チャンバコーティング
WO2015134135A1 (en) 2014-03-05 2015-09-11 Applied Materials, Inc. Critical chamber component surface improvement to reduce chamber particles
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR20160002543A (ko) * 2014-06-30 2016-01-08 세메스 주식회사 기판 처리 장치
CN105304519A (zh) * 2014-07-11 2016-02-03 北京北方微电子基地设备工艺研究中心有限责任公司 内衬、内衬的制备方法及反应腔室
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
WO2016060773A1 (en) * 2014-10-15 2016-04-21 Applied Materials, Inc. Corrosion resistant abatement system
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US9790582B2 (en) 2015-04-27 2017-10-17 Lam Research Corporation Long lifetime thermal spray coating for etching or deposition chamber application
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
KR102210971B1 (ko) * 2016-03-11 2021-02-01 어플라이드 머티어리얼스, 인코포레이티드 반도체 프로세싱 장비 상에 이트륨 산화물을 형성하기 위한 방법
KR102464817B1 (ko) * 2016-03-31 2022-11-09 에이비엠 주식회사 금속부품 및 그 제조 방법 및 금속부품을 구비한 공정챔버
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11183373B2 (en) 2017-10-11 2021-11-23 Honeywell International Inc. Multi-patterned sputter traps and methods of making
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
KR20200086750A (ko) 2017-12-07 2020-07-17 램 리써치 코포레이션 챔버 내 산화 내성 보호 층 컨디셔닝
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
CN111936664A (zh) * 2018-03-19 2020-11-13 应用材料公司 在航空航天部件上沉积涂层的方法
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US11239058B2 (en) 2018-07-11 2022-02-01 Applied Materials, Inc. Protective layers for processing chamber components
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US20210340670A1 (en) * 2018-10-19 2021-11-04 Lam Research Corporation In situ protective coating of chamber components for semiconductor processing
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
CN113261073A (zh) * 2018-12-15 2021-08-13 恩特格里斯公司 利用非钨材料的氟离子植入系统和其使用方法
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
WO2020219332A1 (en) 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN114068276A (zh) * 2020-08-05 2022-02-18 中微半导体设备(上海)股份有限公司 半导体零部件、等离子体反应装置和涂层形成方法
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
JPWO2022091860A1 (ko) * 2020-11-02 2022-05-05
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3754903A (en) * 1970-09-15 1973-08-28 United Aircraft Corp High temperature oxidation resistant coating alloy
US4244743A (en) 1979-04-23 1981-01-13 United Technologies Corporation Sulfur containing refractory for resisting reactive molten metals
US4433004A (en) 1979-07-11 1984-02-21 Tokyo Shibaura Denki Kabushiki Kaisha Semiconductor device and a method for manufacturing the same
JPS59205468A (ja) 1983-05-10 1984-11-21 Natl Res Inst For Metals 高温耐食性材料
US4897315A (en) 1985-10-15 1990-01-30 United Technologies Corporation Yttrium enriched aluminide coating for superalloys
DE3543802A1 (de) 1985-12-12 1987-06-19 Bbc Brown Boveri & Cie Hochtemperatur-schutzschicht und verfahren zu ihrer herstellung
JPS62174377A (ja) 1986-01-24 1987-07-31 Mitsubishi Heavy Ind Ltd タ−ビン翼
US4910092A (en) * 1986-09-03 1990-03-20 United Technologies Corporation Yttrium enriched aluminide coating for superalloys
US4743493A (en) 1986-10-06 1988-05-10 Spire Corporation Ion implantation of plastics
NL8700844A (nl) * 1987-04-10 1988-11-01 Philips Nv Ceramisch lichtdoorlatend materiaal, werkwijze voor het vervaardigen van een dergelijk materiaal en hogedrukontladingslamp voorzien van een dergelijk materiaal.
DE3740478C1 (de) 1987-11-28 1989-01-19 Asea Brown Boveri Hochtemperatur-Schutzschicht
US4939308A (en) 1988-04-29 1990-07-03 Allied-Signal Inc. Method of forming crystallite-oriented superconducting ceramics by electrodeposition and thin film superconducting ceramic made thereby
US4933239A (en) 1989-03-06 1990-06-12 United Technologies Corporation Aluminide coating for superalloys
US5244875A (en) 1991-05-06 1993-09-14 Hauser Chemical Research, Inc. Electroplating of superconductor elements
US5470820A (en) 1991-05-06 1995-11-28 Hauser Chemical Research, Inc. Electroplating of superconductor elements
JPH04333573A (ja) 1991-05-09 1992-11-20 Canon Inc マイクロ波プラズマcvd装置
JPH0632617A (ja) * 1992-07-13 1994-02-08 Tosoh Corp 複合酸化物焼結体
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
NZ247940A (en) 1993-06-21 1995-05-26 Grace W R & Co Heat-shrinkable thermoplastics packaging film comprising at least two identical films
US5498313A (en) 1993-08-20 1996-03-12 International Business Machines Corp. Symmetrical etching ring with gas control
US5455119A (en) * 1993-11-08 1995-10-03 Praxair S.T. Technology, Inc. Coating composition having good corrosion and oxidation resistance
US5798016A (en) 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
GB9414858D0 (en) 1994-07-22 1994-09-14 Baj Coatings Ltd Protective coating
GB9414859D0 (en) 1994-07-22 1994-09-14 Baj Coatings Ltd Protective coating
DE9421671U1 (de) 1994-08-26 1996-07-11 Siemens Ag Entladungskammer für eine Plasmaätzanlage in der Halbleiterfertigung
US5753044A (en) 1995-02-15 1998-05-19 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
CA2205052C (en) * 1994-11-09 2001-05-29 Alina C. Aguero Method of producing reactive element modified-aluminide diffusion coatings
US5624632A (en) 1995-01-31 1997-04-29 Aluminum Company Of America Aluminum magnesium alloy product containing dispersoids
JP3623054B2 (ja) 1996-08-28 2005-02-23 京セラ株式会社 プラズマプロセス装置用部材
US5993594A (en) 1996-09-30 1999-11-30 Lam Research Corporation Particle controlling method and apparatus for a plasma processing chamber
US6120640A (en) 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
US6447937B1 (en) * 1997-02-26 2002-09-10 Kyocera Corporation Ceramic materials resistant to halogen plasma and components using the same
JPH11214194A (ja) * 1998-01-30 1999-08-06 Kyocera Corp プラズマ処理装置用窓部材
EP0875593B1 (en) 1997-04-30 2001-09-19 Sumitomo Electric Industries, Ltd. Aluminium alloy and its production process
JP3799139B2 (ja) 1997-07-09 2006-07-19 太平洋セメント株式会社 セラミックス複合部材
AU1069999A (en) 1997-10-08 1999-04-27 Regents Of The University Of California, The Aqueous electrodeposition of rare earth and transition metals
US5964928A (en) 1998-03-12 1999-10-12 Natural Coating Systems, Llc Protective coatings for metals and other surfaces
US6517303B1 (en) * 1998-05-20 2003-02-11 Applied Komatsu Technology, Inc. Substrate transfer shuttle
US6123791A (en) 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
JP2000096176A (ja) 1998-09-24 2000-04-04 Sumitomo Electric Ind Ltd アルミニウム合金およびその製造方法
JP2000144292A (ja) 1998-10-30 2000-05-26 Sumitomo Electric Ind Ltd アルミニウム合金およびアルミニウム合金部材の製造方法
US6365010B1 (en) * 1998-11-06 2002-04-02 Scivac Sputtering apparatus and process for high rate coatings
JP3550306B2 (ja) 1998-11-27 2004-08-04 京セラ株式会社 耐プラズマ性部材及びその製造方法
US6383964B1 (en) * 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
US6287644B1 (en) * 1999-07-02 2001-09-11 General Electric Company Continuously-graded bond coat and method of manufacture
US6179976B1 (en) * 1999-12-03 2001-01-30 Com Dev Limited Surface treatment and method for applying surface treatment to suppress secondary electron emission
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
JP3510993B2 (ja) * 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
CN1102555C (zh) * 2000-05-26 2003-03-05 清华大学 高耐磨性复合金属陶瓷刀具材料
US6645585B2 (en) * 2000-05-30 2003-11-11 Kyocera Corporation Container for treating with corrosive-gas and plasma and method for manufacturing the same
JP3967093B2 (ja) * 2000-07-10 2007-08-29 東芝セラミックス株式会社 セラミックス部材およびその製造方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101456539B1 (ko) * 2007-04-27 2014-10-31 어플라이드 머티어리얼스, 인코포레이티드 할로겐 플라즈마에 노출된 표면들의 침식 속도를 감소시키는 동시에 개선된 기계적 특성들을 나타내는 소결된 고용체 코팅
KR101491437B1 (ko) * 2007-08-02 2015-02-10 어플라이드 머티어리얼스, 인코포레이티드 처리 챔버 내의 아킹 및 부식을 감소시키는 보호성 이트륨 함유 코팅을 갖는 코팅 반도체 처리 장치
KR20230068373A (ko) * 2019-12-04 2023-05-17 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드. 차이나 내 플라즈마 코팅을 형성하는 방법, 장치, 부품 및 플라즈마 처리 장치

Also Published As

Publication number Publication date
TW200301921A (en) 2003-07-16
TWI307114B (en) 2009-03-01
CN100439562C (zh) 2008-12-03
US20030127049A1 (en) 2003-07-10
US6942929B2 (en) 2005-09-13
CN101302610A (zh) 2008-11-12
CN1620522A (zh) 2005-05-25
WO2003060187A1 (en) 2003-07-24
CN101302610B (zh) 2012-04-25
KR100864205B1 (ko) 2008-10-17

Similar Documents

Publication Publication Date Title
KR100864205B1 (ko) 이트륨-알루미늄 코팅을 갖는 부품을 구비한 처리 챔버
US9012030B2 (en) Process chamber component having yttrium—aluminum coating
US6143128A (en) Apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
US6432256B1 (en) Implanatation process for improving ceramic resistance to corrosion
US20030029563A1 (en) Corrosion resistant coating for semiconductor processing chamber
KR20210042939A (ko) 전자빔 매개 플라즈마 에칭 및 증착 공정을 위한 장치 및 공정
KR20000035640A (ko) 반도체 구조물에서의 저온 구리 리플로우를 개선하기 위한구조물 및 방법
KR102311575B1 (ko) 피처리체를 처리하는 방법
US20160254125A1 (en) Method for coating surfaces
JP2007023381A (ja) 着脱可能な陽極を有する大面積の基板用改良型マグネトロンスパッタリングシステム
KR20100009625A (ko) 규소 화합물 형성 방법 및 이의 시스템
US20240167149A1 (en) Multi-functional shutter disk for thin film deposition chamber
KR100284248B1 (ko) 스퍼터링장치
KR20160100835A (ko) Mocvd 반응공정을 개선하는 공정 부품 및 그 방법
US20010050265A1 (en) Reduction of metal oxide in a dual frequency etch chamber
JP4948088B2 (ja) 半導体製造装置
US20190385825A1 (en) Process chamber process kit with protective coating
US20020148941A1 (en) Sputtering method and apparatus for depositing a coating onto substrate
JP3765990B2 (ja) 導体の形成方法及び装置
JP2001140066A (ja) 薄膜形成方法及び形成装置
KR20100002079A (ko) 플라즈마 처리 장치, 플라즈마 처리 방법 및 유기 전자 디바이스
KR920003016B1 (ko) 통전가열부재 및 그 제조방법
JP2001185508A (ja) 銅の堆積のために形成されたチャンバーを洗浄する方法及びその装置
Schwartz Methods/principles of deposition and etching of thin-films
CN114649179A (zh) 半导体零部件、等离子处理装置及耐腐蚀涂层的形成方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120927

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20130927

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20140929

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee