TWI307114B - Process chamber having component with yttrium-aluminum coating - Google Patents

Process chamber having component with yttrium-aluminum coating Download PDF

Info

Publication number
TWI307114B
TWI307114B TW091134971A TW91134971A TWI307114B TW I307114 B TWI307114 B TW I307114B TW 091134971 A TW091134971 A TW 091134971A TW 91134971 A TW91134971 A TW 91134971A TW I307114 B TWI307114 B TW I307114B
Authority
TW
Taiwan
Prior art keywords
metal alloy
assembly
gas
aluminum
processing chamber
Prior art date
Application number
TW091134971A
Other languages
English (en)
Other versions
TW200301921A (en
Inventor
Han Nianci
Xu Li
Shih Hong
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200301921A publication Critical patent/TW200301921A/zh
Application granted granted Critical
Publication of TWI307114B publication Critical patent/TWI307114B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12458All metal or with adjacent metals having composition, density, or hardness gradient
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/1266O, S, or organic compound in metal component
    • Y10T428/12667Oxide of transition metal or Al
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12736Al-base component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12736Al-base component
    • Y10T428/12764Next to Al-base component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/13Hollow or container type article [e.g., tube, vase, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Physical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Description

1307114 九、發明說明 【發明所屬之技術領域】 本發明係關於一基材處理室和該處理室之製造方法。 【先前技術】 在基材之處理中,例如:基材蝕刻製程、基材沈積製程、 和基材及處理室清潔製程’使用齒素或氧等氣體。該氣體被 激發時(例如藉由射頻功率(RF power )或微波能源而激發 之)’特別可能腐蝕(corrode )或侵蝕(erode )(以上二詞 可交替使用)該室之組件,如:室壁。例如,由鋁製成之室 組件可能被鹵素氣體腐蝕以形成三氣化鋁(A1Ch )或三氟化 鋁(AIF3 )。該被腐蝕之組件必須被替換或清除,因此將造 成處理室之停工。且,當組件被腐蝕的部分剝落並污染該基 材時,會減少基材之產量。因此,期望減少該室組件之腐蝕。 亦可藉由於該組件上形成—陽極電鍍氧化鋁(aluminum oxide )塗層而改善該鋁室組件之抗腐蝕性或抗侵蝕性。例 士 鋁室壁可在一電鍍浴槽中陽極電鍍以形成一陽極電鍍 氧化鋁保護塗層。該陽極電鍍塗層增加該鋁室之抗蝕性, 但有時仍會因高度激發或侵#性氣體成分而退化,例如,藉 由至少包含一含氣氣體電漿之激發氣體,諸如四氧化碳 (CF4)’形成氣態副產物,諸如三氟化鋁(Mb)。 傳統之室组件自塊狀陶究材料(bulk ceramic material ) 或電聚嘴灑之陶:是塗層形成,其顯現較佳之抗蝕性,但可能 發生其他受損模式(failure mGde)。例如由—至少包含氧 5 曰修ί更)正脊换頁 ’ —」 ㈣_丨號案以年丄月修正 化釔(yttrium oxide )和氧化鋁之塊狀陶瓷材料所形成之室 組件易碎裂,且當以機械成形製成組件之型態時有斷裂之傾 向。塊狀陶瓷材料亦可能在操作該室時破裂β室組件亦曾以 電漿喷灑塗層製作。然而,在塗層和其下之組件材料間之熱 膨脹的不協調可能在加熱或冷卻時產生熱張力,造成該陶瓷 塗層自其下之組件破裂或剝落。因此,傳統陶究組件並不能 完全滿足抗#舆抗損之需求。 因此’需要-針對具侵蝕性之激發氣體具有改良之抗腐 蝕或抗侵蝕性之室組件。亦需能輕易製造此種組件成所需形 狀。進-步需要不易破裂’或在操作該室時不易斷裂之耐用 室組件β 發明内容】 一基材處理室組件,其自冬__ 卉岜3 含釔和鋁之積成層之一金 屬合金’並具有一電鍍之表面塗層。 之方法,該方法包含:形成 之室組件’並電鍍該金屬合
一種製造一基材處理室組件 一包含一含有纪和銘之金屬合金 金暴露於外之表面。 ’該方法包含:形成 在该金屬合金中離子 一種製造一基材處理室組件之方法 一含有一包含鋁之金屬合金之室組件, 植入釔,和電鍍該金屬合金之表面。 1,錄刀法:至少 形成-含有-包含魅之金屬合金之室組件,在 離子植入釔,和在該金屬合金中離子植入氧。 6 1307114 衾月π日修(更}正替換頁 一基材處理設備至少包含•·一處理室,該處理室於處理 區域附近具有壁;一可傳輪一基材至該處理室之基材傳輪 器;一可承接一基材之基材支撐器;一可引導一製程氣體至 該處理室之氣體供應器;一可在處理室將製程氣體激發之氣 體激發器;和一可將製程氣體排出處理室之排放器。其中室 壁、基材支撐器、基材傳輸器、氣體供應器、氣體激發器和 氣體排除器其中之一者或多者包含一含有釔和銘之金屬合 Φ 金’且具有一暴露至處理區域之陽極電艘表面塗層。 【實施方式】 如第1A和1C圖所示,一例示設備1〇2,其係適於處理 一基材104,該設備1〇2至少包含一可圍住—基材1〇4之處 理室106。例示的處理室為可自加州聖塔克拉拉應用材料公 司(Santa Clara Applied Material, Inc.)取得之 eMax ( TM) 和DPS II ( TM)室。在此所顯示之設備1〇2之特定具體實 施例適於處理諸如半導體晶圓之基材1〇4,且可為一般技藝 鲁 者將之用於處理諸如平面顯示器(Hat panel displays )、聚合 物面板(polymer panels)或其他電路接收結構之其他基材 104上。該設備1〇2對處理諸如基材丨〇4上之抗蝕層、含破 層、含金屬層、介電層、和/或導電層之「層」特別有用。 該設備102可連至一主機(未顯示於圖中),該主機包 含並提供對設備102之電力、配管(plumbing )和其他支援 功能,並可為多室(multichamber)系統(未顯示於圖中) 之部分。例示之主機亦可自加州聖塔克拉拉應用材料公司 7 1307114j . (Santa Clara 和 Producer ( 材1 04暴露於 遞基材1 0 4之 室能用於不同 室可沈積金屬 processing ), 室系統中不受 同部分於多個 一般而言 10 6 ’諸如圍潘 圍住一處理區 該壁1 0 7亦可 牆103在處理 II室中之襯墊 1 30引入處理 程氣體源1 3 8 含一個或多個 具有基材承接 個排氣口 142 氣體分配器( 使用後之製種 1 0 6排除,其 理區域之使用
Applied Material, Inc.)取得之 Centura ( TM) TM)。該多室系統具有在不影響真空且不使基 系統外界之潮濕或污染的情況下,於各室間傳 能力。多室系統的優越性在於’系統中不同的 的目的。例如,一室可用於钱刻基材10 4,一 琪,一室可用於快速熱處理(rapid thermal 而另一室則可用於沈積抗反射層。製程可在多 干擾地進行,如此可避免基材104在製程之不 獨立室間傳遞所發生的污染。 ,該設備1〇2至少包含一具有壁107之處理室 ( enclosure wall) 103,該圍牆 103 可能包含 域1 0 8的一頂壁11 8、側壁114,和底璧11 6。 包含一室壁襯墊105,該襯墊105至少墊襯圍 區域1 08附近之部分。用於上述之eMax和DPS 即為示例。操作中,製程氣體經由氣體供應器 室106中,其中氣體供應器130至少包含一製 和一氣體分配器137。該氣體分配器13 7可包 具有一個或多個氣流閥134之導管136,且在 表面180之基材支撐器11〇周圍具有一個或多 。該氣體分配器137亦可選擇性地包含一噴頭 showerhead gas distributor)(未顯示於圖中)。 氣體及敍刻劑副產物經声氣體排除器丨4 4自室 中該氣體排除器144可能包含:一接收來自處 後製程氣體之泵通道1 7 0 ; —節流閥1 3 5以控
8 1307114 制室1 06中製程氣體之壓力,和一個或多個排放泵1 52。 該製程氣體可藉由氣體激發器1 5 4而被激發,該激發器 154將能量送至室106中位於處理區域1〇8之製程氣體上。 在第1A圖所示之版本中,該氣體激發器154至少包含製程 電極139、141,該製程電極139、ι41由電源供應器159供 應電源以將製程氣體激發。該製程電極丨3 9 '丨4 1可包含一 本身即為一壁’或為在壁上之電極141,諸如室1〇6中可電 容輕合至其他電極139 (諸如在基材丨04下方之支撐器π〇 中之電極)之側壁114或頂壁U8。如第iB圖所示,該氣體 激發器1 54可能交替性地或額外地包含一至少包含—個或多 個感應線圈1 7 8之天線1 7 5,該感應線圈1 7 8對室1 〇 6中心 可能為環狀對稱。如第1C圖所示,在另一實施例中,該氣 體激發器1 54可能包含一微波源和波導(waveguide )以藉由 該室106上游之遠端區域丨57之微波能量活化製程氣體。為 處理基材104,該處理室106係抽真空,並將該處理室1〇6 維持在預定之次大氣壓(sub —atm〇spheric pressure)下。接 著,以一基材傳輸器1 〇丨(例如一機械手臂和一升降銷系統 (lift pin system))將該基材1〇4置於支撐器11〇上。接著, 該氣體激發154藉由連接rF或微波能源至氣體而將氣體 激發,以在處理區域108中提供已激發氣體以處理該基材 104 ° 1 44其中之一
如第2圖中之概略描述,該室1〇6中最少有一組件1〇9 (例如為:室壁107、基材支撐器11〇、基材傳輸器1〇1、氣 體供應器1 3 0、氣體激發器1 5 4及氣體排除器 9
1307114 或多者)至少包含一含有釔-鋁成分之積成表面塗層117。如 第2圖中之虛線處所概略描述,組件1〇9之下層結構^和 該積成表面塗層117形成一均一且連續之結構,該結構中無 斷裂或尖銳之晶狀邊界》該積成表面塗層117使用至少一部 份之下層組件材料而於組件丨〇9表面原位(in_situ )形成。 使用製造組件109之結構材料來「生成」表面塗層117,該 表面塗層117將較傳統塗層更牢固地與下層組件材料結構連 結(所謂傳統塗層指諸如在塗層和下層結構間有斷裂和尖銳 邊界之電聚喷灑塗層)》該積成表面塗層117自結構丨丨丨形 成,舉例來說,其乃藉由陽極電鍍一含有所需金屬成分之組 件表面112 ’或藉由離子植入該組件109之表面112所形成。 該積成表面塗層117亦可能具有一在成分上連續或漸變之成 分梯度’該成分係在下層結構成分至表面成分間變化。因 此’該積成表面塗層117牢固地與下層材料連結,並減低該 塗層117之剝落’且亦使該塗層更能抵抗熱張力而不破裂。 舉例來說’該具有積成表面塗層117之組件1〇9可能為 室壁107’圍牆1〇3之部分或襯墊1〇5,基材支撐器11〇,氣 體供應器130,氣體激發器154,氣體排除器144,或基材傳 輸器101。可能受腐蝕或侵蝕之室組件1〇9部分,諸如暴露 於高溫、腐蚀性氣髅、和/或處理區域1〇8中侵蝕性濺鍍物質 之組件109表面115’亦可將之處理以形成該積成表面塗層 117。例如:該組件109可形成暴露至該室1〇6中電漿之室 壁107之部分,諸如該室壁表面115。 在一實施例中,該積成表面塗層〗丨7至少包含釔-鋁化 10 1307114 合物’該纪-銘化合物可能為釔銘合金,或一種或多種具有預 先定義之化學計量之化合物’諸如多種釔和鋁之氧化物。舉 例來說’該釔-鋁化合物可能為氧化雀“ Υ2〇3)和氧化鋁 (Ah〇3 )的混合物,例如釔鋁石榴石(ymium garnet ; YAG)。當該積成表面塗層117為釔鋁氧化物時,該 塗層117依組件1G9的厚度可能具有—氧化㈣分之濃度梯 度’愈接近組件109表φ 112通常氧化物成分的濃度愈高, 而愈靠近内部結構⑴而遠離表面"2之氧化物成分的濃度
則愈低。
舉例來說,當積成表面塗層117至少包含一釔鋁氧化 物,靠近表面112之區域傾向具有較高濃度的氧化釔和鋁物 質,而組件内部結構1U之部分則具有較低濃度的氧化物 質。該釔鋁氧化物之積成表面塗層117對已激發之鹵素氣體 (energized hal〇genated gases )及已激發之濺鍍氣體 (energetic sputtering gases )顯示出良好的抗蝕性。尤其, 該積成表面塗層Π7對已激發之含氣氣體具有良好之抗蝕 性。選擇該積成表面塗層117之組成與厚度以增加其對腐蝕 和^又钱或其他有害作用之抵抗力。舉例來說,較厚之積成表 面塗層117可為室組件1〇9之腐蝕或侵蝕提供較充足之障 蔽’而較薄的塗層117較適於抵抗熱衝擊。形成該積成表面 塗層117之氧化物質(即該塗層117的厚度),可能延伸遍 及該組件之深度’或僅及於其表面。該積成表面塗層117之 適當厚度,舉例來說’可能自約〇_5密爾(mUs )至約8密 爾’或甚至自約1密爾至約4密爾。 11 1307114 盡 6¾7日修(更}正替換頁 在一實施例中,該組件109至少包含一含有釔和鋁之金 屬合金’且該積成表面塗層117乃藉由在該金屬合金表面陽 極電鍵所形成。該具有陽極電鍍積成表面塗層117之金屬入 金可能構成該室組件109之部分或全部。該金屬合金至少包 含元素釔和元素鋁的成分,該釔和鋁被選用於提供所需之抗 蝕性或其他合金特性《例如,該成分可能被選用於提供—具 有良好炫點或延展性之合金,以助於製作和形塑該室組件 1〇9。該成分亦可被選用於提供有益於處理基材之特性,諸 如在已激發之製程氣體中之抗蝕性、對高溫之抵抗力、或對 熱衝擊之承受力。在一實施例中,一適當成分至少包含—實 質上含有釔和鋁之合金。 被陽極電鍍的金屬合金之成分係經選擇以提供上方鍵 層所需之抗腐蚀與侵蝕性質。該成分可選用於提供一合金, 其能夠於陽極電鍍後形成具有抵抗已激發之氣體腐蝕能力 之陽極電鍍積成表面塗層117。例如,當在一酸性溶液中陽 極電鍍時,該金屬合金組成’可選用在金屬合金表面113得 提供所需氧化銘和記塗層組成者》舉例來說,提供抗蝕之積 成表面塗層117之金屬合金適當成分為,所含釔的重量最少 約為金屬合金重量之5%,且最好低於金屬合金重量的8〇%, 例如’釔的重量約為金屬合金重量的67〇/〇。 該金屬合金與其上之積成塗層117具有一體或連續結構 疋有益的。該一體結構降低陽極電鍍表面塗層117和其下金 屬合金之熱膨脹不協調問題。取而代之的是,包含該陽極電 鍍積成表面塗層117之該陽極電鍍金屬合金在該金屬合金加 12 1307114
時維持實質均―的結構 面塗層117因此,該陽極電鍍積成表 頁! W在基材處理時,顯 且與乓他之厶®人X 最低之破裂或剝落狀態, 興其他之金屬合金形成一耐用之抗钱結構。 在—製作該至少包含一金屬人 咕 鋁)且° (該金屬合金含有釔和 ;且具有陽極電鍍積成表面塗 法中,—力 罜層117之組件109之例示方 —釔和鋁之混合物加熱軟化或融化《形# ^思人 金而該金屬合金形塑成為一室組
Jr * _ 卞凊潔該室組件1 09 ,並繼續藉由將該室組件109胃 ^ r/ ^ ^ 姐仟1 09置於一氧化溶液中,
、乂電力將該室組_ 109偏壓而將之陽極電鍍。
第3A圈顯示一陽極電錄製作方法之具體實施例的流程 圖。至少包含纪和銘之金屬合金係形成且為所需之組" 例來說’-適當之組成可包含_纪和銘之莫耳比率約為Μ 之金屬合金。舉例來說’該金屬合金可能藉由加熱一含有所 需之釔和鋁量之混合物至該成分之熔化或軟化溫度以軟化 金屬並合併之成為單-合金而形成。在—實施例中,該金屬 合金可能實質上由釔和鋁所構成,而其他合金齊丨(aii” agent),諸如其他金屬,亦可能舆該金屬纪和銘相溶以助於
形成金屬合金或增強該金屬合金之特質。例如,可能加入飾 (cerium)或其他稀土元素。 該金屬合金被形塑成為所需之室組件1〇9或是部分之組 件109。例如,藉由澆鑄或以機械成形將該金屬合金製成所 需之型態。該金屬合金藉由冷熔澆鑄,或將液化形式之該金 屬合金置於具有所需形狀或型態之澆鑄容器中洗鑄。該濟禱 谷器可能包含金屬纪和銘溶化形成該合金之相同容器,或為 13 1307114
及-'月,曰修(更;!正替換頁 一不同之洗鑄容器。冷卻該加熱之金屬合金使金屬合金固化 成形而符合澆鑄容器之形狀,因此提供了所需之金屬合金形 狀。 ―旦該具有所需形狀之金屬合金形成’可執行陽極電锻 製程以陽極電鍍金屬合金之表面,從而形成該陽極電鍍之氧 化物質積成表面塗層117。亦可在陽極電鍍前清潔該金屬合 金,以移除該金屬合金表面113之可能干擾該陽極電鍍表面 塗層之生成的污染物或微粒物質。例如,可藉由將該金屬合 金浸於酸性溶液中以將污染粒子餘去’或以超音波清潔該表 面 113。
在一實施例中,該金屬合金藉由在該金屬合金表面ιι3 以氧化劑進行電解反應而陽極電鑛。例如,該金屬合金可置 於氧化溶液中,諸如氧化之酸性溶液,光w恭 取並以電偏壓誘導陽極 電鍍表面塗層之生成。舉例來說,適當 遇曰之酸性溶液可能包含 鉻酸、草酸和硫酸其中之一者或多者。 有選擇該陽極電鍍製程 參數,諸如酸性溶液之組成、電偏壓 ^ „ 、, 堙之功率,以及該製程之 持續時間,以形成具有所需性質 之瞄招《啻雜接4、* 所需之厚度或抗蝕性) 之陽極電鍍積成表面塗層117。 mu人β 社 一含有陽極電鍍表面 罜赝之金屬〇金,可藉由在酸性 而帘占,盆合液中^極電鍵該金屬合金 而形成’纟中該酸性溶液由約0 忐’祐斟次搞山 Μ至約1.5Μ之硫酸所組 成’並對Α槽中之電極施 的q〇八德 * <偏屋功率’持續約30至 約90刀鐘、甚至120分鐘。
該金屬 (諸如空氣 合 )’ 金亦可能藉由將金屬 以陽極電鐘至少邹分 合金暴露至含氧氣體中 之金屬合金。空氣申之 14 1307114 iM±h
氧氣氧化該表面113,從而形成嗜 117 ^ ^ ,s φ ^ 形成該陽極電鍍積成表面堂層 117。該陽極電鍍製程之速率 ,…、… 藉由加熱該金屬合金和含氧 軋體,以及藉由使用純氧而增加。 形成該室組件1〇9之步驟,至少包含該具有陽極電鍵積 成表面塗層117之金屬合金在—最適於製作該室組件1〇9之
順序下執行,而此為習知技藝人W知。例如,如上所述, 該陽極電鐘製程可在金屬合金形成所需形狀後執行。或者例 如,該陽極電鍍製程亦可在金屬合金形成所需形狀前執行。 舉例來說,該金屬合金可在陽極電鍍製程前或後藉由焊接成 形。
該室組件109’諸如該室壁107、氣體供應器13〇、氣體 激發器154、氣體排除器144'基材傳輸器1〇1、或支撐器 110,以上組件至少部分自該含有釔和鋁且具有陽極電鍍積 成表面塗層117之合金所形成,此提供該室組件1〇9對已激 發之製程氣體和高處理溫度更佳之抗钮性。該具有陽極電鍍 積成表面塗層丨17之金屬合金之一體結構,進一步增加抗蝕 性,益降低陽極電鐘表面塗層之破裂或剝落。因此,該室組 件109易於腐蝕之區域,諸如暴露於處理區之室壁1〇7表面 115,需要含有陽極電鍍積成表面塗層117之室組件109,以 降低該些區域之腐蚀和侵触。 如笫4圖所示,於本發明之另一態樣下,一離子植入器 300藉由將該積成表面塗層117之組成材料離子植入該组件 109表面Η2以形成積成表面塗層〗17。舉例來說,在此方 法中,該離子植入器300自一種或多種金屬而製成該組件
15 1307114 衾.0^2?日修(更)正替換頁 ’並藉高能離子植入物質轟擊該表® 112 W植入其他金 屬或非金屬物質至該组件109中。在一具體實施例中,將高 能之紀離子植入該含銘之㈣1〇9表面112,而在另一具體 實施例中,將高能之氧離子植入釔_鋁合金之表面Η]。該離
子植入器3〇〇至少包含一包圍住真空環境之真空槽31〇,和 或多個真空录320以排空該真空槽31"之空氣以形成 真空環境。該離子植人製程能在室溫或稍高㈣度巾進行。 典型之製程步驟列於第3B圖中。
一離子植入器300對植入金屬合金表面112之材料提供 良好的均一性與表面分布。舉例來說,該離子植入器3〇〇能 控制植入組件1〇9之植入離子的植入密度和組件1〇9中植入 材料的滲入深度。㈣子植入器3〇〇亦可提供均一之表面覆 蓋與濃度標準。此外,該離子植入器3〇〇亦可僅於該組件1〇9 上之選定區域形成該積成表面塗層117,且可控制該植入物 質在該區域邊緣之分布。在典型之植入方法中,將植入一定 範圍之離子劑量,例如自約每平方公分1〇11個離子至約每平 方公分1017個離子。在一具體實施例中,該離子植入器3〇〇 可控制該劑量於此範圍中在1%的誤差内。 該離子植入器300 —般至少包含一離子源33〇於真空槽 31〇中,以提供並離子化將被植入之材料,以形成該積成表 面塗層117。在一實施例中,該離子源33〇容納固態之植入 材料,並有一汽化室(未顯示於圖中)用於汽化該固態植入 材料。在另一實施例中,該離子源330提供氣態之植入材料。 例如,氣態之植入物質可自一遠端區域供給至該離子源 16
1307114 33〇,從而可右 法、 不打開真空槽31〇或無其他干擾真空環境的 憬〉兄下,念 .. 、離子源33〇中之材料。舉例來說,該植入材
料可能至少句冬-土A 70素紀或氧,並將之植入鋁組件中以形成含 氧化物成分之組件,諸如YAG。可使用任何可離子化 之材料為來源’例如含釔之氣體、固態釔,或氧氣。 第5圖所顯不,在一具體實施例中,該離子源330至 少包含一' β职^、 '口 410,氣體植入物質經由該輸入口 410 而引入離子化系統42〇中之離子化區域以在該氣態植入 物質送至該組件表面112前’將之離子化。該氣態或被汽化 物質乃疋藉由使該氣體或蒸汽經過一熱陰極電子放 ^冷^電子放電或射頻放電diSeharge)而離子化。 實施例中,該離子化系統420至少包含一加熱之燈絲 425。該離子源330更至少白各―胳权 /匕含 陽極430和一没取出口 445 附近之汲取電極(extraction electr〇de) 44〇,其遞增電偏壓 而自該離子化氣體中汲取出正離子並形成離子束34〇。在一 具體實施例中,該陽極430在自約7〇伏特⑺至Η"(如: 1〇〇 v)下偏壓。該汲取電極 电® 44υ可在自約10仟電子伏特 (keV )至約25 keV下偽μ,社二a儿 壓諸如自約1 5 keV至約20 keV。 該没取出口 445可形塑以定蠢铉齙 又我这離子束340之樣態。例如, 該汲取出口 445可為一圓?|赤一七也仏办 圓孔次一方形挾縫。提供_螺線管45〇 以形成一迫使電子循螺旋軌道運叙 運連動之磁%,以增加該離子源 330之離子化效率。該離子走々 .. 卞果340之一例示之適當範圍電流 為自約0.1毫安培(mA)至約i〇0mA _ , 約100 mA,諸如自約1 mA至約 20 mA 〇 17
1307114
回到第4 W,該離子植入器300亦典型地至少包含一系 列之加速電極35G以加速該離子束340。該加迷電極35〇1 般沿離子束34G傳送方向維持電位遞增之增加程度,以逐漸 加速該離子束340。在-實施例中,該加速電350加速該 離子束340至能量在約5〇5&«ΛΛ1 Λ7 to里你、J π至約5〇〇 keV,更典型的情況是在 約100至約400 keV。該較高能量之離子束可用 較重之離子或期望將其植入組件1〇9之表面 以植入相對 較深處。 該離子植入器300至少包含一離子束聚焦器(beam f〇cuSer) 360以聚焦該離子束34〇。在一實施例中,該離子 束聚焦器360至少包含一磁場鏡(magnetic; field lens )(未 顯示於圖中)’以產生包圍該離子束34〇之磁場。舉例來說, 該磁場可能與該離子束3 40傳送方向大致平行。該離子束聚 焦器360可額外地,諸如藉由維持於一電位,而進一步加速 該離子束340。在另一實施例中,該離子束聚焦器360至少 包含一靜電場透鏡(electrostatic field lens )(未顯示於圖 中)’以產生包圍該離子束340之電場。舉例來說,部分之 電場可能與該離子束3 40傳送方向大致垂直。 在一實施例中’該離子植入器300更至少包含一質量分 析器370以分析或楝選該離子之質量。在一實施例中,該質 量分析器370至少包含一離子束340可經過之弧狀通道(圖 中未示)。該質量分析器370在通道中產生一磁場,以沿著 弧狀通道内部加速具有所選荷質比(ratio of mass to charge ) 之離子。具有與所選離子實質不同荷質比之離子與弧狀通道 壁相碰撞,因此無法繼續通過該通道。在一具體實施例中’
18 1307114
藉由選定一定磁場強度,該質量分析器3 7〇將可揀選特定荷 質比者。在另一具體實施例,該質量分析器37〇藉由測試磁 場強度之範圍,並偵測在該磁場強度下經過該弧狀通道之離 子數,而確定離子束340荷質比之分布。該質量分析器3 70 典型地至少包含複數之強磁物質所製之磁極部件。提供一個 或多個螺線管以在磁極部件附近產生磁場。 該離子植入器3 00至少包含一離子束偏轉器(beam
deflector) 380以使組件1〇9表面112之離子束34〇偏向,
而將離子分配植入該組件109。在一具體實施例中,該離子 束偏轉器3 80至少包含一產生電場以將該電子束3 4〇偏向之 靜電偏轉器。該電場具有一與該電子束340傳送方向垂直之 場組成,沿此該靜電偏轉器使該離子束340偏向。在另一具 體實施例中’該離子束偏轉器380至少包含一產生將離子束 偏向之磁場的磁偏轉器(magnetic deflector )。該磁場具有一 與離子束傳送方向垂直之場組成,且該磁場偏轉器將該離子 束3 40偏向,而同時垂直於該離子束340傳误士人
哥适方向和與該傳 送方向垂直之磁場組成方向。
該離子植入器300植入一定量之植入物曾 只主該組件1 09 之下層结構111 ’如此植入物質與其下層結槿 * 111物質之比 率於化學計量上得為所需之值。例如,當於叙 、構表面植入 釔離子時’可能希望鋁對釔之莫耳比率為4.2 • 至6:4,甚至 為5:3。當該結構111將繼續退火、陽極電缺 力取虱植入氧離子 時,此比率係經最佳化以提供YAG。 如第6圖所示,-退火器500亦可用於退火該組件⑽ 19
.牛> )¾曰修(更)正替換I 1307114 以修復該組件1 09晶狀結構之任何損傷。舉例來說,該退火 器5 00可修復該組件109於離子植入時為高能離子所損害之 Q域。該退火器500典型地至少包含一可加熱組件1〇9至_ 適於退火溫度之熱源510,諸如非連貫(incoherent )或連貫 (coherent)之電磁放射源。舉例來說,該退火器5〇〇可加 熱該組件109至至少约攝氏600度(勺)之溫度,例如至少 約900 C。在第6圖所示之具體實施例中,該退火器5〇〇為 鲁 一快速熱退火器(rapid thermal annealer ) 505,該退火器505 至少包含一含有鎢絲齒素燈515之熱源51〇以產生輻射,以 · 及一反射器520已將該輻射反射至該組件1〇9。一流體525, 諸如空氣或水,沿著該熱源5 1 0而流動以調節該熱源5 1 〇之 溫度。在一實施例中,於該熱源51〇與該組件1〇9間提供一 石英板530以將該流體525與該組件1〇9隔離。該快速熱退 火器505可能更至少包含一溫度監視器54〇以監視該組件 109之溫度。在一具體實施例中,該溫度監視器54〇至少包 含一分析該組件109所放射之輻射的光學高溫計 • (Pyrometer) 545,以確定該組件1〇9之溫度。 儘管顯示並描述了本發明之例示性具體實施例,習知技 φ 藝人士可併用本發明而衍生出其他為本發明範圍所涵蓋之 具體實施例。例如’該金屬合金可在不偏離本發明之範圍下 至少包含其他適當之組件’諸如其他金屬。且,該金屬合金 可形成至組件1G9其他未特別提及之部分,此對熟知技藝人 士亦為顯而易見的。此外,以下、以上、底部、上部、向上、 肖下、第-和第一等用詞以及其他相關或位置性之用詞僅為 20
1307114 例示性之具體實施例且是可互換的。因此,該所附之申請專 利範圍不應受限於該較佳版本之描述、材料、或為說明該發 明之空間配置之描述。 【圖式簡單說明】 在參考以下之描述、所附之申請專利範圍、和附隨的關 於本發明實施例之圖示後,將更易於瞭解此些和其他特徵、 觀點、或本發明之優勢,其中: 第1A圖為根據本發明之一處理室之具體實施例版本之概略 剖面側視圖; 第1 B圖為一另一版本之氣體激發器之剖面側視圖; 第1 C圖為一另一版本之處理室之概略剖面側視圖; 第2圖為一室組件之部分剖面概略側視圖,該室組件至少包 含一釔鋁成分之積成表面塗層; 第3A圖為一陽極電鍍金屬合金組件之表面以形成一積成表 面塗層製程之具體實施例之流程圖; 第3B圖為離子植入組件表面以形成一積成表面塗層製程之 具體實施例之流程圖; 第4圖為一離子植入器之概略上視圖; 第5圖為一第4圖之離子植入器中,離子源之概略剖面側視 圖;且 第6圖為一退火器之概略剖面側視圖。 【元件代表符號簡單說明】 101 基材傳輸器
21 設備 圍牆 基材 襯墊 處理室 室壁 處理區域 組件 支撐器 (下層)結構 表面 表面 侧壁 表面 底壁 (表面)塗層 頂壁 氣體供應器 氣流閥 節流閥 導管 氣體分配器 製程氣體源 製程電極 製程電極 排氣口 氣體排除器 排放泵 (氣體)激發器 遠端區域 電源供應器 泵通道 天線 感應線圈 基材承接表面 離子植入器 真空槽 真空泵 22 1307114 . 「———— — _月曰修(更) ϋ (‘
330 離 子 源 340 離 子 束 350 加 速 電 極 360 離 子 束 聚 焦 器 370 質 量 分析 器 380 離 子 束 偏 轉 器 410 (氣體)輸- 口 420 離 子 化 系 統 425 燈 絲 430 陽 極 440 汲 取 電 極 445 汲 取 出 口 450 螺 線 管 500 退 火 器 505 快 速 熱 退 火 器 510 熱 源 515 鎢 絲 鹵 素 燈 520 反 射 器 525 流 體 530 石 英 板 540 温 度 監 視 器 545 光 學 高 溫 計
23

Claims (1)

1307114 申*月專牙!身色圍 一種基材處理室組件,該組件能夠暴露於一基材處理室 中的一射頻(RF)或微波激發氣體,該組件包含:一具 有一紀-銘化合物之一積成表面塗層(integral surface coating )的結構,該塗層包括一陽極電鍍塗層或一離子 植入塗層。
2· 根據申請專利範圍第1項所述之組件,其中上述之結構 包含一釔和鋁之金屬合金。 · 3· 根據申請專利範圍第2項所述之組件,其中上述之金屬 合金包含低於約50% (以重量計)之一釔成分。 4. 根據申請專利範圍第1項所述之組件,其中上述之釔-銘化合物包含紀銘氧化物(yttrium aluminum oxide )。
5. 根據申請專利範圍第4項所述之組件,其中上述之釔-紹化合物包含YAG ( yttrium aluminum garnet ;纪銘石 榴石)。 6· 根據申請專利範圍第1項所述之組件,其中上述之積成 表面塗層的厚度為約〇 5炱約8密爾(mils )。 7.根據申請專利範圍第1項所述之組件,其中上述之結構 24 1307114 為一圍牆(enclosure wall)。 8. 根據申請專利範圍第1項所述之組件,其中上述之 為一壁概墊(wall liner)。 9. 一種製造一基材處理室組件之方法,該組件能夠暴 一基材處理室中的一射頻或微波激發氣體,該方 含: (a) 形成一室組件,該室組件包含一結構,該結 含由釔和鋁組成之一金屬合金;和 (b) 陽極電鍍該金屬合金之該結構的一表面,以 一釔-鋁化合物之一陽極電鍵塗層。 10. 根據申請專利範圍第9項所述之方法’該方法包含 電鍍該金屬合金之該表面以形成釔鋁氧化物。 11. 根據申請專利範圍第9項所述之方法,其中上述之 (a)包含形成一金屬合金,該金屬合金包含低於約 (以重量計)之一釔成分。 12. 根據申請專利範圍第9項所述之方法,該方法包含 電鍍該金屬合金之該結搆的该表面’以形成一厚度 0.5密爾(mil)至約8密爾的陽極電鍍塗層》 結構 露於 法包 構包 形成 陽極 步驟 50% 陽極 為約 1307114 1 3 ·根據申請專利範圍第9項所述之方法,該方法包含在一 酸性溶液中陽極電鍍該金屬合金之該表面,其中該酸性 溶液包含鉻酸、草酸和硫酸其中之一者或多者。 14.根據申請專利範圍第13項所述之方法,該方法包含陽 極電鍍該金屬合金之該表面約30分鐘至約120分鐘。 1 5 ·根據申請專利範圍第9項所述之方法,該方法包含陽極 電鍍該金屬合金之該表面以形成一包含YAG之陽極電 鐘塗層。 1 6 · —種製造一基材處理室組件之方法,該組件能夠暴露於 一基材處理室中的一射頻或微波激發氣體,該方法包 含: (a) 形成一室組件,該室組件包含一結構,該結構 包含鋁;和
(b) 將釔離子植入至該鋁中。 1 7.根據申請專利範圍第1 6項所述之方法,其中上述之步 驟(b)包含產生釔離子並將該離子激發至約50至約500 仟電子伏特(keV)之能量層級。 1 8.根據申請專利範圍第1 6項所述之方法,該方法更包含 將該結構退火。 26 1307114 1 9.根據申請專利範圍第1 6項所述之方法,該方法更包含 將氧離子植入該結構。 20. 根據申請專利範圍第16項所述之方法,該方法包含在 一酸性溶液中陽極電鍍該結構之一表面。 ,該方法包含處
21. 根據申請專利範圍第16項所述之方法 理該結構之一表面以形成釔鋁氧化物。 22. 根據申請專利範圍第16項所述之方法,該方法包含處 理該結構之一表面以形成YAG。 23. —種製造一基材處理室組件之方法,該組件能夠暴露於 一基材處理室中的一射頻或微波激發氣體,該方法包 含:
(a) 形塑一室組件,該室組件包含一結構,該結構包 含鋁; (b) 將釔離子植入該結構中;和 (c) 將氧離子植入該結構中。 24.根據申請專利範圍第23項所述之方法,其中上述之步 驟(b)包含產生釔離子並將該些離子激發至約 50至約 500 keV之能量層級。 27 1307114 25.根據申請專利範圍第23項所述之方法,該方法更包含 將該結構退火。 2 6.根據申請專利範圍第23項所述之方法,該方法包含植 入釔和氧,以提供一可形成YAG之釔對鋁對氧之莫耳 比率。
27. —種基材處理設備,該設備包含: 一處理室,在一處理區域周圍具有一壁; 一基材傳輸器,能傳輸一基材至該處理室; 一基材支撐器,能承接一基材; 一氣體供應器,能將一製程氣體引入該處理室; 一氣體激發器,能在該處理室中激發該製程氣體;以 及 一氣體排除器,能將該製程氣體自該處理室排出,
其中該處理室壁、該基材支撐器、該基材傳輸器、該氣 體供應器、該氣體激發器和該氣體排除器其中之一者或多者 包含一能夠暴露於一基材處理室中的一射頻或微波激發氣 體之一結構,該結構具有一釔-鋁化合物之一積成表面塗層, 該塗層包括一陽極電鍍塗層或一離子植入塗層〇 28.根據申請專利範圍第27項所述之設備,其中上述之結 28 1307114 * 構包含一釔和鋁之金屬合金。 29. 根據申請專利範圍第28項所述之設備,其中上述之金 屬合金包含低於约5 0 % (以重量計)之一釔成分。 30. 根據申請專利範圍第27項所述之設備,其中上述之釔-鋁化合物包含釔鋁氧化物。 3 1 ·根據申請專利範圍第27項所述之設備,其中上述之釔-銘化合物包含YAG。
29 1307114 第 ml號
1307114
106
111 112 117 113
第2圖 109 1307114
1307114 第3A圖
1307114 第3B圖
1307114
• · •330 1307114
|^5^〇〇〇〇〇〇〇〇〇〇〇 510:么 525 流體
流體 530 石英板
TW091134971A 2002-01-08 2002-12-02 Process chamber having component with yttrium-aluminum coating TWI307114B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/042,666 US6942929B2 (en) 2002-01-08 2002-01-08 Process chamber having component with yttrium-aluminum coating

Publications (2)

Publication Number Publication Date
TW200301921A TW200301921A (en) 2003-07-16
TWI307114B true TWI307114B (en) 2009-03-01

Family

ID=21923126

Family Applications (1)

Application Number Title Priority Date Filing Date
TW091134971A TWI307114B (en) 2002-01-08 2002-12-02 Process chamber having component with yttrium-aluminum coating

Country Status (5)

Country Link
US (1) US6942929B2 (zh)
KR (1) KR100864205B1 (zh)
CN (2) CN100439562C (zh)
TW (1) TWI307114B (zh)
WO (1) WO2003060187A1 (zh)

Families Citing this family (410)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7371467B2 (en) * 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US7479304B2 (en) * 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US8067067B2 (en) * 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US20080264564A1 (en) * 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US20060226003A1 (en) * 2003-01-22 2006-10-12 John Mize Apparatus and methods for ionized deposition of a film or thin layer
US20040182315A1 (en) * 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
US20050161061A1 (en) * 2003-09-17 2005-07-28 Hong Shih Methods for cleaning a set of structures comprising yttrium oxide in a plasma processing system
US7791047B2 (en) * 2003-12-12 2010-09-07 Semequip, Inc. Method and apparatus for extracting ions from an ion source for use in ion implantation
DE102004039443B4 (de) * 2004-08-13 2023-05-25 Beijing E-Town Semiconductor Technology, Co., Ltd. Verfahren zum thermischen Behandeln von scheibenförmigen Substraten
US9659758B2 (en) 2005-03-22 2017-05-23 Honeywell International Inc. Coils utilized in vapor deposition applications and methods of production
US8617672B2 (en) * 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
US20070215607A1 (en) * 2006-03-20 2007-09-20 Wander Joseph M Apparatus and method for heating semiconductor wafers via microwares
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US8475625B2 (en) * 2006-05-03 2013-07-02 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US20080105203A1 (en) * 2006-09-28 2008-05-08 Tokyo Electron Limited Component for substrate processing apparatus and method of forming film on the component
JP2008088912A (ja) * 2006-10-03 2008-04-17 Tohoku Univ メカニカルポンプおよびその製造方法
JP2008103403A (ja) * 2006-10-17 2008-05-01 Tokyo Electron Ltd 基板載置台及びプラズマ処理装置
KR101397124B1 (ko) * 2007-02-28 2014-05-19 주성엔지니어링(주) 기판지지프레임 및 이를 포함하는 기판처리장치, 이를이용한 기판의 로딩 및 언로딩 방법
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
TWI567793B (zh) * 2007-04-27 2017-01-21 應用材料股份有限公司 減小曝露於含鹵素電漿下之表面腐蝕速率的設備
US7696117B2 (en) * 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
US8129029B2 (en) * 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
US20090214825A1 (en) * 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
US8066895B2 (en) * 2008-02-28 2011-11-29 Applied Materials, Inc. Method to control uniformity using tri-zone showerhead
KR20100037765A (ko) * 2008-10-02 2010-04-12 삼성전자주식회사 플라즈마 발생장치
US8206829B2 (en) * 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
DE102010024543A1 (de) * 2010-06-22 2011-12-22 Merck Patent Gmbh Verfahren und Vorrichtung zum Beschichten einer Oberfläche
TWI471445B (zh) * 2010-12-30 2015-02-01 Hon Hai Prec Ind Co Ltd 殼體及其製造方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
US9358702B2 (en) 2013-01-18 2016-06-07 Applied Materials, Inc. Temperature management of aluminium nitride electrostatic chuck
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
WO2014158253A2 (en) * 2013-03-14 2014-10-02 Applied Materials, Inc. Thermal treated sandwich structure layer to improve adhesive strength
US9669653B2 (en) 2013-03-14 2017-06-06 Applied Materials, Inc. Electrostatic chuck refurbishment
US9887121B2 (en) 2013-04-26 2018-02-06 Applied Materials, Inc. Protective cover for electrostatic chuck
US9666466B2 (en) 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
JP2017512375A (ja) * 2014-01-31 2017-05-18 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated チャンバコーティング
KR102370665B1 (ko) 2014-03-05 2022-03-03 어플라이드 머티어리얼스, 인코포레이티드 챔버 입자들을 감소시키기 위한 중요 챔버 구성요소 표면 개선
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR20160002543A (ko) * 2014-06-30 2016-01-08 세메스 주식회사 기판 처리 장치
CN105304519A (zh) * 2014-07-11 2016-02-03 北京北方微电子基地设备工艺研究中心有限责任公司 内衬、内衬的制备方法及反应腔室
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
KR20170070175A (ko) * 2014-10-15 2017-06-21 어플라이드 머티어리얼스, 인코포레이티드 내부식성 저감 시스템
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US9790582B2 (en) 2015-04-27 2017-10-17 Lam Research Corporation Long lifetime thermal spray coating for etching or deposition chamber application
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
KR102210971B1 (ko) * 2016-03-11 2021-02-01 어플라이드 머티어리얼스, 인코포레이티드 반도체 프로세싱 장비 상에 이트륨 산화물을 형성하기 위한 방법
KR102464817B1 (ko) * 2016-03-31 2022-11-09 에이비엠 주식회사 금속부품 및 그 제조 방법 및 금속부품을 구비한 공정챔버
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11183373B2 (en) 2017-10-11 2021-11-23 Honeywell International Inc. Multi-patterned sputter traps and methods of making
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111448640A (zh) 2017-12-07 2020-07-24 朗姆研究公司 在室调节中的抗氧化保护层
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10633740B2 (en) 2018-03-19 2020-04-28 Applied Materials, Inc. Methods for depositing coatings on aerospace components
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US11239058B2 (en) 2018-07-11 2022-02-01 Applied Materials, Inc. Protective layers for processing chamber components
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
JP2022514171A (ja) * 2018-10-19 2022-02-10 ラム リサーチ コーポレーション 半導体処理のためのチャンバ構成部品のインサイチュ保護被膜
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11538687B2 (en) 2018-12-15 2022-12-27 Entegris, Inc. Fluorine ion implantation system with non-tungsten materials and methods of using
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
EP3959356A4 (en) 2019-04-26 2023-01-18 Applied Materials, Inc. METHODS FOR PROTECTING AEROSPACE ELEMENTS AGAINST CORROSION AND OXIDATION
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
KR20210006229A (ko) * 2019-07-08 2021-01-18 주성엔지니어링(주) 기판 처리 장치의 챔버 클리닝 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
CN112899617B (zh) * 2019-12-04 2023-03-31 中微半导体设备(上海)股份有限公司 形成耐等离子体涂层的方法、装置、零部件和等离子体处理装置
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN114068276A (zh) * 2020-08-05 2022-02-18 中微半导体设备(上海)股份有限公司 半导体零部件、等离子体反应装置和涂层形成方法
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20230098298A (ko) * 2020-11-02 2023-07-03 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3754903A (en) * 1970-09-15 1973-08-28 United Aircraft Corp High temperature oxidation resistant coating alloy
US4244743A (en) 1979-04-23 1981-01-13 United Technologies Corporation Sulfur containing refractory for resisting reactive molten metals
US4433004A (en) 1979-07-11 1984-02-21 Tokyo Shibaura Denki Kabushiki Kaisha Semiconductor device and a method for manufacturing the same
JPS59205468A (ja) 1983-05-10 1984-11-21 Natl Res Inst For Metals 高温耐食性材料
US4897315A (en) 1985-10-15 1990-01-30 United Technologies Corporation Yttrium enriched aluminide coating for superalloys
DE3543802A1 (de) 1985-12-12 1987-06-19 Bbc Brown Boveri & Cie Hochtemperatur-schutzschicht und verfahren zu ihrer herstellung
JPS62174377A (ja) 1986-01-24 1987-07-31 Mitsubishi Heavy Ind Ltd タ−ビン翼
US4910092A (en) * 1986-09-03 1990-03-20 United Technologies Corporation Yttrium enriched aluminide coating for superalloys
US4743493A (en) 1986-10-06 1988-05-10 Spire Corporation Ion implantation of plastics
NL8700844A (nl) * 1987-04-10 1988-11-01 Philips Nv Ceramisch lichtdoorlatend materiaal, werkwijze voor het vervaardigen van een dergelijk materiaal en hogedrukontladingslamp voorzien van een dergelijk materiaal.
DE3740478C1 (de) 1987-11-28 1989-01-19 Asea Brown Boveri Hochtemperatur-Schutzschicht
US4939308A (en) 1988-04-29 1990-07-03 Allied-Signal Inc. Method of forming crystallite-oriented superconducting ceramics by electrodeposition and thin film superconducting ceramic made thereby
US4933239A (en) 1989-03-06 1990-06-12 United Technologies Corporation Aluminide coating for superalloys
US5244875A (en) 1991-05-06 1993-09-14 Hauser Chemical Research, Inc. Electroplating of superconductor elements
US5470820A (en) 1991-05-06 1995-11-28 Hauser Chemical Research, Inc. Electroplating of superconductor elements
JPH04333573A (ja) 1991-05-09 1992-11-20 Canon Inc マイクロ波プラズマcvd装置
JPH0632617A (ja) * 1992-07-13 1994-02-08 Tosoh Corp 複合酸化物焼結体
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
NZ247940A (en) 1993-06-21 1995-05-26 Grace W R & Co Heat-shrinkable thermoplastics packaging film comprising at least two identical films
US5498313A (en) 1993-08-20 1996-03-12 International Business Machines Corp. Symmetrical etching ring with gas control
US5455119A (en) * 1993-11-08 1995-10-03 Praxair S.T. Technology, Inc. Coating composition having good corrosion and oxidation resistance
US5798016A (en) 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
GB9414859D0 (en) 1994-07-22 1994-09-14 Baj Coatings Ltd Protective coating
GB9414858D0 (en) 1994-07-22 1994-09-14 Baj Coatings Ltd Protective coating
DE9421671U1 (de) 1994-08-26 1996-07-11 Siemens AG, 80333 München Entladungskammer für eine Plasmaätzanlage in der Halbleiterfertigung
US5753044A (en) 1995-02-15 1998-05-19 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
AU3836895A (en) * 1994-11-09 1996-06-06 Cametoid Advanced Technologies Inc. Method of producing reactive element modified-aluminide diffusion coatings
US5624632A (en) 1995-01-31 1997-04-29 Aluminum Company Of America Aluminum magnesium alloy product containing dispersoids
JP3623054B2 (ja) 1996-08-28 2005-02-23 京セラ株式会社 プラズマプロセス装置用部材
US5993594A (en) 1996-09-30 1999-11-30 Lam Research Corporation Particle controlling method and apparatus for a plasma processing chamber
US6120640A (en) 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
US6447937B1 (en) * 1997-02-26 2002-09-10 Kyocera Corporation Ceramic materials resistant to halogen plasma and components using the same
JPH11214194A (ja) * 1998-01-30 1999-08-06 Kyocera Corp プラズマ処理装置用窓部材
US6231808B1 (en) 1997-04-30 2001-05-15 Sumitomo Electric Industries, Ltd. Tough and heat resisting aluminum alloy
JP3799139B2 (ja) 1997-07-09 2006-07-19 太平洋セメント株式会社 セラミックス複合部材
WO1999018265A2 (en) 1997-10-08 1999-04-15 The Regents Of The University Of California Aqueous electrodeposition of rare earth and transition metals
US5964928A (en) 1998-03-12 1999-10-12 Natural Coating Systems, Llc Protective coatings for metals and other surfaces
US6517303B1 (en) * 1998-05-20 2003-02-11 Applied Komatsu Technology, Inc. Substrate transfer shuttle
US6123791A (en) 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
JP2000096176A (ja) 1998-09-24 2000-04-04 Sumitomo Electric Ind Ltd アルミニウム合金およびその製造方法
JP2000144292A (ja) 1998-10-30 2000-05-26 Sumitomo Electric Ind Ltd アルミニウム合金およびアルミニウム合金部材の製造方法
WO2000028104A1 (en) * 1998-11-06 2000-05-18 Scivac Sputtering apparatus and process for high rate coatings
JP3550306B2 (ja) 1998-11-27 2004-08-04 京セラ株式会社 耐プラズマ性部材及びその製造方法
US6383964B1 (en) * 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
US6287644B1 (en) * 1999-07-02 2001-09-11 General Electric Company Continuously-graded bond coat and method of manufacture
US6179976B1 (en) * 1999-12-03 2001-01-30 Com Dev Limited Surface treatment and method for applying surface treatment to suppress secondary electron emission
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
JP3510993B2 (ja) * 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
CN1102555C (zh) * 2000-05-26 2003-03-05 清华大学 高耐磨性复合金属陶瓷刀具材料
US6645585B2 (en) * 2000-05-30 2003-11-11 Kyocera Corporation Container for treating with corrosive-gas and plasma and method for manufacturing the same
JP3967093B2 (ja) * 2000-07-10 2007-08-29 東芝セラミックス株式会社 セラミックス部材およびその製造方法

Also Published As

Publication number Publication date
CN1620522A (zh) 2005-05-25
KR20040081117A (ko) 2004-09-20
US20030127049A1 (en) 2003-07-10
TW200301921A (en) 2003-07-16
WO2003060187A1 (en) 2003-07-24
CN101302610A (zh) 2008-11-12
CN101302610B (zh) 2012-04-25
US6942929B2 (en) 2005-09-13
CN100439562C (zh) 2008-12-03
KR100864205B1 (ko) 2008-10-17

Similar Documents

Publication Publication Date Title
TWI307114B (en) Process chamber having component with yttrium-aluminum coating
US9012030B2 (en) Process chamber component having yttrium—aluminum coating
KR101737378B1 (ko) 플라즈마 전해질 산화 코팅에서의 구리 또는 미량 금속 오염 물질의 감소
KR102513108B1 (ko) 반도체 프로세싱을 위한 대기압 플라즈마 장치
US6432256B1 (en) Implanatation process for improving ceramic resistance to corrosion
US20030029563A1 (en) Corrosion resistant coating for semiconductor processing chamber
KR20000035640A (ko) 반도체 구조물에서의 저온 구리 리플로우를 개선하기 위한구조물 및 방법
TW200847272A (en) Aluminum-plated components of semiconductor material processing apparatuses and methods of manufacturing the components
WO2000074127A1 (fr) Dispositif de traitement au plasma
JP2002217179A (ja) 大気圧ダウンストリームプラズマジェットシステムを用いて残留金属含有ポリマー物質及びイオン注入工程が行われたフォトレジストを除去する方法
CN112133630A (zh) 处理具有掩模的被处理体的方法
US8715782B2 (en) Surface processing method
CN111755322A (zh) 用于改良的金属离子过滤的方法和设备
US20180195196A1 (en) Protective oxide coating with reduced metal concentrations
JP3148878B2 (ja) アルミニウム板、その製造方法及び該アルミニウム板を用いた防着カバー
US20090266711A1 (en) Substrate processing apparatus
EP1141997A2 (en) Physical vapor deposition of semiconducting and insulating materials
TWI321810B (en) Plasma enhanced sputtering method and apparatus
JP2009188396A (ja) プラズマ耐性部材及びプラズマ処理装置
JP2003247071A (ja) バリアメタル膜作製装置及びバリアメタル膜作製方法
Schwartz Methods/principles of deposition and etching of thin-films
KR20240043589A (ko) 기판 처리 장치 및 그 장치를 이용한 기판 처리 방법
JPH06196437A (ja) チタンまたはチタン化合物の薄膜形成装置
JP2008208398A (ja) 成膜方法及び装置

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees