TW202347443A - 用於電漿蝕刻的側壁鈍化 - Google Patents

用於電漿蝕刻的側壁鈍化 Download PDF

Info

Publication number
TW202347443A
TW202347443A TW112103269A TW112103269A TW202347443A TW 202347443 A TW202347443 A TW 202347443A TW 112103269 A TW112103269 A TW 112103269A TW 112103269 A TW112103269 A TW 112103269A TW 202347443 A TW202347443 A TW 202347443A
Authority
TW
Taiwan
Prior art keywords
substrate
boron
oxygen
semiconductor processing
containing precursor
Prior art date
Application number
TW112103269A
Other languages
English (en)
Inventor
姚忠華
謙 符
亞倫 艾普勒
慕庫德 史瑞尼凡生
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202347443A publication Critical patent/TW202347443A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/38Borides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

示例性半導體處理方法可包括在基板上沉積含硼材料。含硼材料可沿著基板中的一或多個特徵的側壁延伸。方法可包括形成含氧前驅物的電漿以及使基板與含氧前驅物的電漿流出物接觸。接觸可蝕刻基板中的一或多個特徵的一部分。接觸可氧化含硼材料。

Description

用於電漿蝕刻的側壁鈍化
交叉參考相關申請案 本申請主張2022年2月1日提交的美國非臨時專利申請案No. 17/590,084的優先權,其全文透過引用整體併入本文以用於所有目的。
本技術涉及半導體系統、處理、和設備。更具體地,本技術涉及在蝕刻操作期間鈍化側壁和保持特徵尺寸的處理和系統。
透過在基板表面上產生複雜地圖案化的材料層的處理使得積體電路成為可能。在基板上產生圖案化材料需要受控的形成和去除材料的方法。隨著裝置尺寸的不斷減小,積體電路內的特徵可能會變得更小並且結構的深寬比可能會增大,並且在處理操作期間保持這些結構的尺寸可能會受到挑戰。一些處理可能會導致材料中的凹陷特徵,這些特徵可能具有不平坦或錐形的側壁。發展具有垂直特徵且沒有任何底切(undercut)的材料可能會變得更加困難。
因此,需要可用於生產高品質裝置和結構的改進的系統和方法。這些和其他需求由本技術解決。
示例性半導體處理方法可包括在基板上沉積含硼材料。含硼材料可沿著基板中的一或多個特徵的側壁延伸。方法可包括形成含氧前驅物的電漿以及使基板與含氧前驅物的電漿流出物接觸。接觸可蝕刻基板中的一或多個特徵的一部分。接觸可氧化含硼材料。
在一些實施例中,含氧前驅物可以是或包括雙原子氧。在使基板與含氧前驅物的電漿流出物接觸以及在基板上沉積含硼材料時,將溫度保持在小於或約50℃。在使基板與含氧前驅物的電漿流出物接觸以及在基板上沉積含硼材料時,將壓力保持在約5 mTorr和約100 mTorr之間。方法可包括形成含硼前驅物的電漿。含氧前驅物的電漿可以以大於或約3,000 W的電漿功率產生。方法可包括在基板上沉積第二量的含硼材料。第二量的含硼材料可沿著基板中的一或多個特徵的側壁進一步延伸。方法可包括提供含硼前驅物。含硼前驅物可包括三氯化硼。含碳遮罩可沿著基板的至少一部分設置。方法可包括提供含氟前驅物。方法可包括以含氟前驅物處理沿基板的至少一部分設置的含矽材料。以含氟前驅物處理含矽材料可保持含矽材料中界定的開口。含氟前驅物可以是或包括有機鹵化物。
本技術的一些實施例涵蓋半導體處理方法。方法可包括 i) 以含氧前驅物的電漿流出物蝕刻佈置在半導體處理腔室的處理區域中的基板中的一或多個特徵。方法可包括 ii) 在基板上沉積含硼材料。含硼材料可沿著基板中的一或多個特徵的至少一部分延伸。方法可包括重複操作 i 和 ii 以反覆蝕刻基板中的一或多個特徵。在實行操作 i 和 ii 時,半導體處理腔室內的溫度可保持在小於或約50°C。
在一些實施例中,含硼材料可包括含硼和氧的材料。在蝕刻期間可沿著基板的至少一部分形成含矽材料。方法可包括 iii) 以含氟前驅物處理含矽材料。以含氟前驅物處理含矽材料可保持基板中界定的開口。操作 i) 至 iii) 可重複至少三次。可沉積含硼材料小於或約60秒的總時間段。
本技術的一些實施例涵蓋半導體處理方法。方法可包括使含氧前驅物流入半導體處理腔室的處理區域。含氧前驅物可以是或包括雙原子氧。基板可設置在半導體處理腔室的處理區域內。方法可包括在處理區域內形成含氧前驅物的電漿。方法可包括使基板與含氧前驅物的電漿流出物接觸。接觸可蝕刻基板中的一或多個特徵的第一部分。方法可包括使含硼前驅物流入半導體處理腔室的處理區域。方法可包括在基板上沉積含硼材料。含硼材料可沿著基板中的一或多個特徵的至少一部分延伸。含硼材料可包含氧。方法可包括使含氧前驅物流入半導體處理腔室的處理區域。方法可包括在處理區域內形成含氧前驅物的電漿。方法可包括使基板與含氧前驅物的電漿流出物接觸。接觸可蝕刻基板中的一或多個特徵的第二部分。接觸可氧化含硼材料。
在一些實施例中,含硼前驅物的流率可介於約50 sccm和約500 sccm之間。含硼前驅物可在沒有載氣的情況下流到半導體處理腔室的處理區域。方法可包括形成含硼前驅物的電漿。含氧前驅物的電漿可以以比含硼前驅物的電漿更高的電漿功率產生。方法可包括提供含氟前驅物至半導體處理腔室的處理區域。含氟前驅物可包含碳。方法可包括以含氟前驅物處理沿基板的至少一部分設置的含矽遮罩。以含氟前驅物處理含矽遮罩可保持含矽遮罩中界定的開口。
相對於常規的方法和技術,本技術可提供許多益處。例如,處理可鈍化正在形成的特徵的側壁,同時允許基板保持在單個處理腔室中。此外,處理可透過保持特徵尺寸來均勻地蝕刻特徵,這可以允許產生更深和更均勻的特徵。鈍化材料的形成可能比傳統的原子層沉積(ALD)更快,從而實現更好的產量和單腔室處理。結合以下描述和隨附圖式更詳細地描述了這些和其他實施例以及它們的許多優點和特徵。
隨著裝置尺寸不斷縮小,許多材料層的厚度和尺寸可能會減小以按比例縮放裝置。可以減小半導體結構內的特徵的尺寸,並且可以增加特徵的深寬比。隨著特徵的深寬比增加,蝕刻操作可能難以均勻地蝕刻特徵而不使特徵的側壁成錐形,或在特徵尺寸或完整性折衷。
傳統技術使用ALD在蝕刻處理期間間歇性地在特徵的側壁上沉積薄的材料的保護層。然而,這些ALD操作需要大量時間來形成特徵,這會增加排隊時間並降低產量。此外,引入使用ALD形成的材料層可能需要腔室上的額外硬體以提供各種前驅物,或者可能需要打破真空並將結構轉移到另一個腔室以經由ALD實行沉積。這種轉移不僅會增加排隊時間並降低產量,而且還會在處理期間造成不希望的污染或大氣暴露。因此,許多常規技術在防止最終裝置中的結構缺陷的能力上受到限制。
本技術透過提供沉積前驅物以形成鈍化材料來克服這些問題,所述鈍化材料用於在蝕刻操作期間塗覆特徵的側壁。沉積處理可以在與蝕刻處理相同的腔室中實行,這可以限制排隊時間並增加產量。透過提供鈍化材料,本技術可以繼續蝕刻特徵,同時保護特徵尺寸並限制朝向特徵的頂部的蝕刻側壁,使得側壁變成錐形或底切覆蓋材料擴大特徵尺寸。透過沉積鈍化層,本技術可防止由於最終裝置中的間距損失和/或缺陷導致的任何後續整合處理中的問題。
儘管其餘的公開將慣常地識別利用所公開的技術的具體蝕刻和沉積處理,但是將容易理解的是,系統和方法同樣適用於可能發生在所描述的腔室中的各種其他處理。因此,本技術不應被認為受限於僅與所描述的蝕刻或沉積處理一起使用。在描述根據本技術的一些實施例的示例性處理序列的系統和方法或操作之前,本公開將討論可以與本技術一起使用的一種可能的系統和腔室。應理解,本技術不限於所描述的設備,並且所討論的處理可以在任何數量的處理腔室和系統中實行。
圖1示出根據實施例的沉積、蝕刻、烘烤、及/或固化腔室的處理系統10的一個實施例的頂部平面視圖。圖1中描繪的工具或處理系統10可包含複數個處理腔室24a-d、移送腔室20、服務腔室26、整合計量腔室28、和一對裝載鎖腔室16a-b。處理腔室可包括任何數量的結構或元件,以及任何數量的處理腔室或處理腔室的組合。
為了在腔室之間傳輸基板,移送腔室20可包含機器人傳輸機構22。傳輸機構22可具有一對基板傳輸葉片22a,分別附接至可延伸臂22b的遠端。葉片22a可用於將單獨的基板運送到處理腔室和從處理腔室運出。在操作中,諸如傳輸機構22的葉片22a的基板傳輸葉片之一者可以從諸如腔室16a-b的裝載鎖腔室之一者取回基板W並且將基板W運送到處理的第一階段,例如,在腔室24a-d中進行如下所述的處理程序。可包括腔室以實行所述技術的單獨或組合操作。例如,儘管一或多個腔室可配置為實行沉積或蝕刻操作,但一或多個其他腔室可配置為實行所述預處理操作和/或一或多個後處理操作。本技術涵蓋任何數量的配置,其亦可實行通常在半導體處理中實行的任何數量的附加製造操作。
如果腔室被佔用,機器人可以等到處理完成,並接著以一個葉片22a從腔室中取出經處理的基板,並可以以第二個刀片插入新的基板。一旦基板被處理,其亦可被移動到處理的第二階段。對於每次移動,傳輸機構22通常可以具有一個承載基板的葉片和一個空的葉片以執行基板交換。傳輸機構22可以在每個腔室處等待直到可以完成交換。
一旦處理腔室內的處理完成,傳輸機構22可將基板W從最後的處理腔室移出並將基板W傳送至裝載鎖腔室16a-b內的盒。從裝載鎖腔室16a-b,基板可移動到工廠介面12中。工廠介面12通常可操作以在大氣壓清潔環境中的倉裝載器14a-d與裝載鎖腔室16a-b之間傳送基板。工廠介面12中的清潔環境通常可以透過空氣過濾處理提供,例如HEPA過濾。工廠介面12亦可包括基板定向器/對準器,其可用於在處理之前適當地對準基板。至少一個基板機器人,例如機器人18a-b,可以定位在工廠介面12中,以在工廠介面12內的各個定位/位置之間以及與其連通的其他位置之間傳輸基板。機器人18a-b可被配置為沿著工廠介面12內的軌道系統從工廠介面12的第一端行進到第二端。
處理系統10可進一步包括整合計量腔室28以提供控制信號,其可以提供對在處理腔室中實行的任何處理的適應性控制。整合計量腔室28可包括多種計量裝置中的任何一種以測量各種膜特性,例如厚度、粗糙度、組成,並且計量裝置進一步能夠表徵光柵參數(grating aparameters),例如臨界尺寸、側壁角度、和特徵在自動測量真空下的高度。
處理腔室24a-d中的每一者可經配置以在半導體結構的製造中實行一或多個處理步驟,且可在多腔室處理系統10上使用任意數量的處理腔室及處理腔室的組合。例如,任何處理腔室可被配置為實行許多基板處理操作,包括任何數量的沉積處理,包括循環層沉積、原子層沉積、化學氣相沉積、物理氣相沉積,以及其他操作包括蝕刻、預清潔、前處理、後處理、退火、電漿處理、脫氣、定向、和其他基板處理。可在任何腔室或腔室的任何組合中實行的一些特定處理可為金屬沉積、表面清潔及製備、熱退火例如快速熱處理、及電漿處理。任何其他處理可以類似地在結合到多腔室處理系統10中的特定腔室中實行,包括下文描述的任何處理,如本領域技術人員會容易理解的那些。
圖2示出了示例性處理腔室100的示意性橫截面圖,該示例性處理腔室100適用於圖案化設置在處理腔室100中的基板302上的材料層。示例性處理腔室100適合實行圖案化處理,但應理解本技術的態樣可在任何數量的腔室中實行,且根據本技術的基板支撐件可包含在蝕刻腔室、沉積腔室、處理腔室、或任何其他處理腔室中。電漿處理腔室100可包括腔室主體105,腔室主體105界定可在其中處理基板的腔室空間101。腔室主體105可具有與地126耦合的側壁112和底部118。側壁112可具有襯墊115以保護側壁112並延長電漿處理腔室100的維護週期之間的時間。腔室主體105和電漿處理腔室100的相關元件的尺寸不受限制,並且通常可以成比例地大於要在其中被處理的基板302的尺寸。基板尺寸的範例包括直徑為200 mm、直徑為250 mm、直徑為300 mm、和直徑為450mm等,例如顯示器或太陽能電池基板等。
腔室主體105可支撐腔室蓋組件110以封閉腔室空間101。腔室主體105可以由鋁或其他合適的材料製成。穿過腔室主體105的側壁112形成基板進入端口113,基板進入端口113促進基板302進出電漿處理腔室100的運送。如前所述,進入端口113可與基板處理系統的移送腔室和/或其他腔室耦接。泵送口145可形成穿過腔室主體105的側壁112並連接到腔室空間101。泵送裝置可透過泵送口145耦接到腔室空間101以抽空和控制處理空間內的壓力。泵送裝置可包括一或多個泵和節流閥。
氣體控制板160可透過氣體管線167耦接至腔室主體105以將處理氣體供應到腔室空間101中。氣體控制板160可包括一或多個處理氣體源161、162、163、164,並且可另外包括惰性氣體、非反應性氣體、和反應性氣體,如可用於任何數量的處理。可由氣體控制板160提供的處理氣體的範例包括但不限於,含烴氣體,包括甲烷、六氟化硫、氯化矽、四氟化碳、溴化氫、含烴氣體、氬氣、氯、氮、氦或氧氣,以及任何數量的其他材料。此外,處理氣體可包括含氮、氯、氟、氧和氫的氣體,例如 BCl 3、C 2F 4、C 4F 8、C 4F 6、CHF 3、CH 2F 2、CH 3F、NF 3、NH 3、CO 2、SO 2、CO、N 2、NO 2、N 2O、和H 2,以及任何數量的其他前驅物。
閥166可控制來自氣體控制板160的從源161、162、163、164的處理氣體的流動並且可由控制器165管理。從氣體控制板160供應到腔室主體105的氣體流可包括形成一或多個源的氣體的組合。蓋組件110可包括噴嘴114。噴嘴114可以是一或多個端口,用於將處理氣體從氣體控制板160的源161、162、164、163引入腔室空間101中。在處理氣體被引入電漿處理腔室100之後,氣體可被激發以形成電漿。可以鄰近電漿處理腔室100提供天線148,例如一或多個感應線圈。天線電源142可透過匹配電路141為天線148供電以將例如RF能量的能量感應耦合到處理氣體以維持在電漿處理腔室100的腔室空間101中由處理氣體形成的電漿。替代地,或在天線電源142之外,基板302下方和/或基板302上方的處理電極可用於將RF功率電容耦合到處理氣體以維持在腔室空間101內的電漿。電源142的操作可由控制器控制,例如控制器165,其亦控制電漿處理腔室100中的其他元件的操作。
基板支撐底座135可設置在腔室空間101中以在處理期間支撐基板302。基板支撐底座135可包括用於在處理期間保持基板302的靜電吸盤122。靜電吸盤(「ESC」)122可使用靜電引力將基板302保持到基板支撐底座135。ESC 122可由與匹配電路124整合的RF電源125供電。ESC 122可包括嵌入介電主體內的電極121。電極121可以與RF電源125耦接並且可提供偏壓,該偏壓將由腔室空間101中的處理氣體形成的電漿離子吸引到安置在底座上的ESC 122和基板302。RF電源125可在基板302的處理期間循環開和關,或脈衝。ESC 122可具有隔離器128,用於使ESC 122的側壁對電漿的吸引力降低以延長ESC 122的維護壽命週期。另外,基板支撐底座135可具有陰極襯墊136以保護基板支撐底座135的側壁免受電漿氣體的影響並且延長電漿處理腔室100的維護之間的時間。
電極121可與電源150耦接。電源150可以向電極121提供約200伏特至約2000伏特的吸附電壓。電源150亦可包括系統控制器,系統控制器用於透過將DC電流引導至電極121以吸附和去吸附基板302來控制電極121的操作。ESC 122可包括設置在底座內並連接到用於加熱基板的電源的加熱器,而支撐ESC 122的冷卻基座129可包括用於循環傳熱流體以維持設置在其上的ESC 122和基板302的溫度的導管。ESC 122可被配置成在基板302上製造的裝置的熱預算所需要的溫度範圍內運行。舉例來說,ESC 122可經配置以依據所實行的處理將基板302維持在約-150℃或更低至約500℃或更高的溫度。
可提供冷卻基座129以協助控制基板302的溫度。為了減輕處理漂移和時間,在基板302處於清潔腔室中的整個時間內,基板302的溫度可透過冷卻基座129保持基本恆定。在一些實施例中,基板302的溫度可在整個後續清潔處理中維持在約-150℃與約500℃之間的溫度,但可使用任何溫度。蓋環130可設置在ESC 122上並且沿著基板支撐底座135的周邊。蓋環130可被配置為將蝕刻氣體限制在基板302的暴露頂表面的期望部分,同時將基板支撐底座135的頂表面與電漿處理腔室100內的電漿環境屏蔽開。升舉銷可選擇性地平移穿過基板支撐底座135,以將基板302提升至基板支撐底座135上方,以利於透過如前所述的傳送機器人或其他合適的傳送機構利用基板302。
控制器165可用於控制處理順序、調節從氣體控制板160進入電漿處理腔室100的氣流和其他處理參數。當由CPU執行時,軟體程序將CPU轉換為可控制電漿處理腔室100的專用電腦例如控制器,使得根據本公開實行處理。軟體程序亦可由可與電漿處理腔室100相關聯的第二控制器儲存和/或執行。
上述的處理腔室可在根據本技術的實施例的方法期間使用。圖3說明半導體處理的方法300,其操作可在例如包含於如前所述的多腔室處理系統10上的一或多個腔室100中實行。也可以使用任何其他腔室,其可以實行所描述的任何方法或處理的一或多個操作。方法300可包括在所述方法操作開始之前的一或多個操作,包括前端處理、沉積、蝕刻、研磨、清潔、或可在所述操作之前實行的任何其他操作。方法可包括如圖所示的多個選擇性操作,其可或可不具體地與根據本技術的方法相關聯。例如,描述了許多操作以提供半導體處理的更廣泛的範疇,但對技術來說並非關鍵,或者可以透過如下文進一步討論的替代方法來實行。
方法300可包括可以以多種變體實行的多種操作,例如包括從處理的不同操作開始。方法300通常可包括蝕刻操作,蝕刻操作可發生在其中可實行沉積處理的腔室中。通常,沉積可在蝕刻之後或蝕刻期間實行。因此,儘管將以特定順序描述方法300,但應理解,可根據本技術的實施例以多種不同的變體來實行該方法。方法300可描述圖4A-圖4D中示意性示出的操作,將結合方法300的操作來描述其說明。應當理解,圖4A-圖4D中的結構400僅示出了部分示意圖,並且基板405可包含任意數量的結構部分,該等結構部分具有圖式中所示的態樣,以及仍然可受益於本技術的操作的替代結構態樣。
如圖4A所示,結構400可包括基板405。在實施例中,基板405可具有基本上平坦的表面或不平坦的表面。基板405可以是諸如晶體矽、氧化矽、應變矽、矽鍺、摻雜或未摻雜的多晶矽、摻雜或未摻雜的矽晶圓、圖案化或未圖案化晶圓、矽絕緣體(silicon on insulator)、碳摻雜的氧化矽、氮化矽、摻雜的矽、鍺、砷化鎵、或藍寶石。基板405可具有各種尺寸,例如直徑為200 mm或300 mm的晶圓,以及矩形或正方形面板。基板405可設置在半導體處理腔室的處理區域內。儘管被示為平面基板,但應理解,包括基板405僅是為了表示底層結構,其可以包括晶圓或其他基板上的任何數量的層或特徵,並且如下所述的遮罩可以在其上形成。
基板405可包括含碳遮罩410。含碳遮罩410可沿著基板405的至少一部分或全部設置。含碳遮罩410可以是或包括碳硬遮罩或任何其他含碳遮罩材料。在一些實施例中,為了促進含碳遮罩的圖案化,可以沿著含碳遮罩410設置含矽材料415、光阻劑、或任何其他遮罩材料。含矽材料415可以是或包括晶體矽、非晶矽、碳化矽、氧化矽、氮化矽、或任何其他矽材料。
如圖4B所示,可將圖案蝕刻或形成到含矽材料415中。儘管材料415將被稱為含矽,但應理解,根據本技術的實施例,如上所述的任何遮罩材料都可用於圖案化含碳遮罩410。可以使用任何蝕刻處理和任何蝕刻試劑將圖案蝕刻到含矽材料415中。含矽材料415中的圖案可以在含矽材料415中形成特徵或間隙。圖案可一直延伸穿過含矽材料415的厚度,使得含碳遮罩410至少部分地暴露。
在操作305,方法300可包括提供或流動含氧前驅物到半導體處理腔室的處理區域。可在操作305中使用的含氧前驅物可以是或包括任何數量的含氧前驅物。例如,可使用用於蝕刻碳材料以產生揮發物例如二氧化碳揮發物的任何含氧前驅物。作為非限制性範例,在本技術的實施例中,含氧前驅物可以是或包括雙原子氧、臭氧、一氧化二氮、一氧化氮、二氧化硫、或任何其他含氧前驅物。在實施例中,可連同含氧前驅物一起提供額外的氧源。例如,雙原子氧可以與一或多種其他含氧前驅物一起提供。
一旦將一或多種含氧前驅物提供至處理區域,方法300可包括在操作310處在處理區域內形成含氧前驅物的電漿。含氧前驅物的電漿可以在大於或約2,000 W的電漿功率下產生,並且可在大於或約2,250 W、大於或約2,500 W、大於或約2,750 W、大於或約3,000 W、大於或約3,250 W、大於或約3,500 W、大於或約3,750 W、大於或約4,000 W、大於或約4,250 W、大於或約4,500 W、大於或約4,750 W、大於或約5,000 W,或更大的電漿功率下產生。在實施例中,含氧前驅物的電漿可以以大於或約6,000 W的電漿功率產生,並且可以以小於或約5,750 W、小於或約5,500 W、小於或約5,250 W、小於或約5,000 W、或更低的電漿功率產生。大於或約3,000 W的電漿功率,包括源功率和偏置功率,可增加含氧前驅物的輸送的方向性。這種方向性可增加垂直蝕刻,從而對基板405或含碳遮罩410(當存在時)實行更加各向異性的蝕刻,以建立具有高深寬比的特徵420,如下文將描述的。
仍參考圖4B,在操作315,方法300可包括使基板405或含碳遮罩410(當存在時)與含氧前驅物的電漿流出物接觸。接觸可以蝕刻基板405或含碳遮罩410中的一或多個特徵420的第一部分。可以使用任何種類的蝕刻處理來蝕刻來自基板405或含碳遮罩410的材料。含氧前驅物和基板405或含碳遮罩410可以反應以形成揮發物,例如二氧化碳,隨後可以從半導體處理腔室中清除這些揮發物。含氧前驅物可以不蝕刻含矽材料415。而一些含氧前驅物可與含矽材料415反應並氧化含矽材料415。此外,離子相互作用可能導致一定量的含矽材料濺射,其可能重新沉積為矽或氧化材料,並且可能沿著被蝕刻的特徵420的開口形成。
特徵420的第一部分可以延伸到基板405或含碳遮罩410中小於膜的完整厚度的距離。在蝕刻特徵420的第一部分之後,可以在基板或含碳遮罩410上方形成鈍化層。鈍化層可用於保護下方的基板405或含碳遮罩410免受後續蝕刻操作的影響,從而可限制基板405或含碳遮罩410的側壁的持續暴露和蝕刻,這可有助於保留當形成更高深寬比的特徵時,基本上垂直的形狀。如本文所用,「基本上垂直(substantially vertical)」可以表示在垂直的10°以內。例如,本技術可促進對於高深寬比形成的改進的特徵完整性,並且可以改善以深寬比(例如高寬比)大於或約2:1為特徵的特徵的側壁輪廓,並且該特徵可以以大於或約3:1、大於或約4:1、大於或約5:1、大於或約8:1、大於或約10:1、大於或約15:1、大於或約20:1、大於或約25:1、或更高的深寬比為特徵。在實施例中,特徵420的第一部分,如圖4B所示,可延伸到基板405或含碳遮罩410中小於或約1 μm,並且可延伸小於或約0.9 μm、小於或約0.8 μm、小於或約0.7 μm、小於或約0.6 μm、小於或約0.5 μm、或更小。特徵420的第一部分可以小於或約為要形成的特徵420的總深度的40%,並且可以小於或約所欲特徵深度的35%、小於或約30%、小於或約25%、小於或約20%、小於或約10%、或更低。如果特徵420的第一部分延伸大於1 μm或大於特徵420的總深度的40%,則特徵的側壁可能繼續被含氧電漿的電漿流出物蝕刻並且可能不會保持基本垂直的輪廓。
在選擇性的操作320,方法300可包括停止含氧前驅物的流動。在實施例中,方法300可替代地包括降低含氧前驅物的流率。如果含氧前驅物的流率沒有降低或停止,它可能會在到達基板405之前開始與處理區域中的其他前驅物(例如硼或其他沉積化合物)相互作用,如後續操作中所述。在含氧前驅物之後提供硼化合物的情況下,含氧前驅物可在硼化合物到達基板405之前開始解離(dissociate)硼化合物並形成不想要的中間體或副產物。
方法300可包括在操作325提供沉積前驅物。儘管可使用任何數量的沉積前驅物,但在本技術的一些實施例中,沉積前驅物可以以與殘餘氧相互作用的能力為特徵。例如,隨著前文討論的蝕刻處理的進行,氧氣可以結合在基板405或含碳遮罩410內,並且可以使暴露的表面飽和。沉積前驅物可以以易於與殘餘氧相互作用並沿著碳遮罩的暴露表面形成材料的能力為特徵。例如,材料可以是或包括路易斯酸或其他受體材料(acceptor materials)或自由基,其可以更容易允許或形成與帶電氧物質的偶聯,並沿著正形成的特徵的側壁形成表面層。應理解,任何路易斯酸材料,例如包括含鋁材料或含硼材料,都可以用於本技術的實施例中。在一些實施例中,可以使用含硼材料,這可以限制導電形成或可以改變正形成的結構的特性的其他相互作用。
可在操作310中使用的含硼前驅物可以是或包括任何數量的含硼前驅物,包括任何含硼路易斯酸。在實施例中,含硼前驅物可以是或包括三氯化硼(BCl 3)、三溴化硼(BBr 3)、三氟化硼(BF 3)或這些的組合。含硼前驅物的流率可以大於或約50 sccm,並且可以大於或約60 sccm、大於或約70 sccm、大於或約80 sccm、大於或約90 sccm、大於或約100 sccm、大於或約110 sccm、大於或約120 sccm、大於或約130 sccm、大於或約140 sccm、大於或約150 sccm、大於或約160 sccm、大於或約170 sccm、大於或約180 sccm、大於或約190 sccm、或更高。在實施例中,含硼前驅物的流率可介於約50 sccm與約500 sccm之間。含硼前驅物的流率可以依據所想要的生長速率來調整。此外,含硼前驅物的流率可依據特徵420的深寬比來調整。對於較高深寬比的特徵420,流率可能較高,而對於具有較低深寬比的特徵420,流率可能較低。在一些實施例中,載氣可不用於輸送含硼前驅物。
在操作330,方法300可以或可以不包括在處理區域內形成含硼前驅物的電漿。含硼前驅物的電漿可以以小於或約2,500 W的電漿功率產生,並且可以以小於或約2,250 W、小於或約2,000 W、小於或約1,750 W、小於或約1,500 W、小於或約1,250 W、小於或約1,000 W、小於或約750 W、小於或約500 W、小於或約250 W、或更低的電漿功率產生。大於或2,500 W的電漿功率可能會增加離子相互作用,從而導致更多的散射和沉積材料的去除。在小於或約2,500 W的電漿功率下,可以減少或限制散射,這可以導致硼材料的共形沉積在特徵420上,如下文所述。與蝕刻操作相比,含氧前驅物的電漿可在比含硼前驅物的電漿更高的電漿功率下產生。在一些實施例中,較高的蝕刻電漿功率可以促進透過高深寬比特徵的傳送,並且可以增加與材料的物理相互作用以改進蝕刻。
如圖4C所示,方法300可包括在操作335沉積含硼材料425。含硼材料425可沿著基板405或含碳遮罩410中的一或多個特徵420的至少一部分延伸。含硼材料425可沿著特徵420的側壁和底部保形沉積。如上所述,含硼前驅物可與在操作315的蝕刻中保留的殘餘氧結合。含硼材料425可以是含硼和氧的材料。例如,當含硼前驅物為路易斯酸時,含硼前驅物的電漿流出物可更容易與殘餘氧相互作用並在基板405或含碳遮罩410上形成含硼材料425。在實施例中,設想了如果在基板405或特徵420中的含碳遮罩410上不存在足夠的殘餘氧,則可以在操作335向處理區域提供額外的氧,例如雙原子氧,用於待形成的含硼材料425。
含硼前驅物的電漿流出物可以沉積在基板405或含碳遮罩410上持續小於或約60秒的時間段。在大於60秒的時間段,含硼材料的厚度可能會增加,並且可能會導致材料性能發生變化,並且可能會阻礙後續蝕刻操作以進一步增加透過沉積材料的基底部分的特徵深度。因此,含硼前驅物的電漿流出物可沉積在基板405或含碳遮罩410上持續小於或約50秒、小於或約40秒、小於或約35秒、小於或約30秒、小於或約25秒、小於或約20秒、小於或約15秒、小於或約10秒、或更短的時間段。在方法300包括反覆沉積含硼材料425的實施例中,如下文所述,沉積材料的總時間量可小於或約60秒,或如上所述的更短時間段。
含硼前驅物可在沒有載氣的情況下流到半導體處理腔室的處理區域。在含硼前驅物能夠與來自操作315的蝕刻的殘留氧反應之前,載氣可增加含硼前驅物的解離(dissociation)。如果含硼前驅物在與基板405或含碳遮罩410上的殘留氧反應之前被過度解離,則含硼材料425可能不會形成在基板405或含碳遮罩410上,並且可能形成有害的蝕刻劑副產物。例如,如果含硼前驅物(例如BCl 3)提供有氬氣或氮氣載氣,則BCl 3可能會更充分地離解,並且所產生的氯離子可能會不想要地蝕刻基板405或含碳遮罩410和/或含矽材料415,以及存在於基板405上的任何其他層。儘管如上詳述的小於2,500 W的電漿功率可在與殘餘氧反應之前解離含硼前驅物,但解離速率可能不足以抑制含硼材料425的生長。
在實施例中,方法300可包括降低半導體處理腔室內的壓力同時在基板405上沉積含硼材料425。這種壓力降低連同降低的電漿功率可改善含硼前驅物的電漿流出物的輸送,並且因此增加特徵420中的沉積的共形性。整個處理可在小於或約100 mTorr的處理壓力下實行,並且可在小於或約80 mTorr、小於或約50 mTorr、小於或約40 mTorr、小於或約30 mTorr、小於或約20 mTorr、小於或約10 mTorr或更低的壓力下實行。此外,在一些實施例中,沉積可以在比蝕刻期間的壓力小至少約2 mTorr的壓力下實行,並且沉積可以在比蝕刻期間的壓力小至少約3 mTorr、比蝕刻期間的壓力小至少約4 mTorr、比蝕刻期間的壓力小至少約5 mTorr、比蝕刻期間的壓力小至少約6 mTorr、比蝕刻期間的壓力小至少約7 mTorr、比蝕刻期間的壓力小至少約8 mTorr、比蝕刻期間的壓力小至少約9 mTorr、比蝕刻期間的壓力小至少約10 mTorr或小更多的壓力下實行。
如圖4D所示,方法300可包括蝕刻特徵420的第二部分。蝕刻可去除在特徵420底部的含硼材料並繼續蝕刻在沉積在基板405或含碳遮罩410的側壁上的含硼材料425下方的基板405或含碳遮罩410。在操作340,方法300可包括提供或恢復含氧前驅物的流動。可以與操作305相同或相似地實行操作340。方法300可包括在操作345中形成含氧前驅物的電漿。可以與操作310相同或相似地實行操作345。方法300可包括在操作350使基板405或含碳遮罩410與含氧前驅物的電漿流出物接觸。可以與操作315相同或相似地實行操作350。在操作350,接觸可以蝕刻基板405或含碳遮罩410中的一或多個特徵420的第二部分。在基板405或含碳遮罩410中蝕刻特徵420的第二部分可以在進一步移除基板405或含碳遮罩410的第二部分之前選擇性地從含硼材料425的底部移除材料。透過實行偏移蝕刻,含硼材料425的底部可以由於含氧前驅物的電漿流出物的方向性而被移除而沒有移除含硼材料425的側壁。基於特徵基部的有限形成,沉積材料的物理相互作用或濺射可以容易地去除材料,並接著繼續各向異性蝕刻。類似於操作315,可以使用任何各種的蝕刻處理從含硼材料425和/或基板405或含碳遮罩410的底部蝕刻材料,並且可以包括定向蝕刻以去除材料橫跨含硼材料425的下表面,同時沿基板405或含碳遮罩410的側壁保持含硼材料425。此外,含硼材料425可保護基板405或含碳遮罩410的側壁免受進一步的蝕刻,因為含硼材料425可用作鈍化層。
在蝕刻處理之後,特徵420的深度可以大於或約1.0 μm、大於或約1.5 μm、大於或約2.0 μm、大於或約2.5 μm、大於或約3.0 μm、大於或約3.5 μm、大於或約4.0 μm、或更多。隨著深寬比增加,可能更難以以一致的直徑將特徵420連續蝕刻到基板405或含碳遮罩410中。即,朝向特徵420的頂部的基板405或含碳遮罩410可以比朝向特徵420的底部以更大的速率被蝕刻。這可能導致特徵420的不均勻性。然而,本技術的實施例可提供含硼材料425的鈍化層,其可導致以更均勻的方式來蝕刻的特徵420。
在選擇性操作335,方法300可包括提供或流動含氟前驅物到半導體處理腔室的處理區域。可在操作355中使用的含氟前驅物可以是或包括任何數量的含氟前驅物。例如,含氟前驅物可以是或包括有機鹵化物,例如六氟丁二烯(C 4F 6)。在實施例中,可在處理區域中形成含氟前驅物的電漿。
在選擇性的操作340,方法可包括以含氟前驅物處理沿基板405或含碳遮罩的至少一部分設置的含矽材料415。以含氟前驅物處理含矽材料可保持在基板405或含碳遮罩中界定的開口。在蝕刻操作期間,含氧前驅物可與含矽材料415反應。如前所述,含氧前驅物與含矽材料415之間的此反應可導致在特徵420內形成附加材料,例如氧化矽。這種附加材料的形成可以使由特徵420界定的開口變窄,使得下方特徵420在隨後的蝕刻和/或沉積操作期間變得難以通達。因此,選擇性操作340的閃蒸(flashing)可去除附加材料並且可保持在含矽材料415中界定的開口。
方法300可包括重複上述操作以反覆蝕刻特徵420並鈍化基板405或含碳遮罩410的側壁以增加特徵420的均勻性。例如,方法300可包括反覆蝕刻,例如操作305-315,以及沉積鈍化層,例如操作325-335。隨著操作的重複,額外的材料可以使特徵420界定的開口變窄。因此,方法300可包括間歇地重複操作355-360的閃蒸程序。例如,方法300可包括第一序列的多個循環或迭代,包括蝕刻、沉積、蝕刻、和閃蒸。在充分沉積或沉積到足夠深度之後,方法可作為特定蝕刻處理進行,並且可額外地包括蝕刻和閃蒸的多個循環或迭代,直到特徵已形成到期望的深度。方法300可包括任意數量的循環或任一序列的迭代,並且可包括兩個循環、三個循環、四個循環或更多個。每個循環可能與前一個循環的順序相同,也可能與前一個循環不同。例如,在某些循環中可能不需要閃蒸操作。
在方法300或任何操作305-360期間,半導體處理腔室100內的溫度例如基板支撐溫度或基板溫度,可保持在小於或約50℃。因此,半導體處理腔室100內的溫度可保持在小於或約40°C、小於或約30°C、小於或約25°C、小於或約10°C、小於或約5°C、小於或約0°C、小於或約-5°C、小於或約-10°C、小於或約-25°C、小於或約-30°C、小於或約-40°C、或更低。由於操作305-360可在單個腔室中執行,例如半導體處理腔室100,因此可在整個方法300中保持溫度以增加產量並減少排隊時間。隨著溫度降低,蝕刻操作期間的蝕刻率可增加。為了控制蝕刻率,溫度可保持在大於或約-50℃。此外,在較低溫度下,例如低於或約0℃,含硼材料425可用作更強的鈍化層。
在前面的描述中,出於解釋的目的,已闡述許多細節以便提供對本技術的各種實施例的理解。然而,對所屬技術領域具有通常知識者將顯而易見的是,可以在沒有這些細節中的一些或具有其他細節的情況下實施某些實施例。
已經公開了幾個實施例,所屬技術領域具有通常知識者將認識到,可以使用各種修改、替代構造、和均等而不脫離實施例的精神。此外,為了避免不必要地混淆本技術,並未描述許多習知的處理和元件。因此,以上描述不應被視為限制本技術的範疇。
在提供值的範圍的情況下,應理解到,除非上下文另外明確指出,否則在此範圍的上限和下限之間的每個中間的值,到下限的單位的最小部分,都亦明確揭露。涵蓋了在描述的範圍內的任何描述的值或未描述的中間值與該描述的範圍內的任何其他描述的或中間值之間的任何較窄的範圍。這些較小範圍的上限和下限可以獨立地包括在該範圍中或排除在該範圍之外,且在界限的一者、均沒有、或兩者被包括在該較小範圍內的每個範圍亦被涵蓋於本技術之中,針對受描述的範圍內任何明確排除的界限。在所述範圍包括界限的一者或兩者的情況下,亦包括排除那些所包括的界限中的一者或兩者的範圍。
如本文和隨附申請專利範圍中所使用的,單數形式的「一」、「一個」、和「該」包括複數參照,除非上下文有另外明確指出。因此,例如,對於「含碳前驅物」的參照包括複數個這種前驅物,並且對「含碳材料」的參照包括對所屬技術領域具有通常知識者為已知的一或多種材料及其均等,等等。
而且,當在本說明書和隨附申請專利範圍中使用時,用語「包括(comprise(s))」、「包括(comprising)」、「包含(contain(s))」、「包含(containing)」、「包括(include(s))」、和「包括(including)」是旨在於指名所描述的特徵、整體、元件、或操作的存在,但是它們並不排除一或多個其他特徵、整體、元件、操作、動作、或組的存在或增加。
10:處理系統 12:工廠介面 14a-14d:倉裝載器 16a-16b:裝載鎖腔室 18a-18b:機器人 22a:葉片 22b:可延伸臂 24a-24d:處理腔室 20:移送腔室 22:傳輸機構 26:服務腔室 28:整合計量腔室 100:處理腔室 101:腔室空間 105:腔室主體 110:腔室蓋組件 112:側壁 113:基板進入端口 114:噴嘴 115:襯墊 118:底部 121:電極 122:靜電吸盤(ESC) 124:匹配電路 125:RF電源 126:地 128:隔離器 129:冷卻基座 135:基板支撐底座 136:陰極襯墊 141:匹配電路 142:天線電源 145:泵送口 148:天線 150:電源 160:氣體控制板 161:處理氣體源 162:處理氣體源 163:處理氣體源 164:處理氣體源 165:控制器 166:閥 167:氣體管線 300:方法 302:基板 305:操作 310:操作 315:操作 320:操作 325:操作 330:操作 335:操作 340:操作 345:操作 350:操作 355:操作 360:操作 400:結構 405:基板 410:含碳遮罩 415:含矽材料 420:特徵 425:含硼材料 W:基板
透過參照說明書的其餘部分和隨附圖式,可以實現對所揭露的技術的性質和優點的進一步理解。
圖1示出了根據本技術的一些實施例的示例性處理系統的示意頂視平面圖。
圖2示出了根據本技術的一些實施例的示例性處理系統的示意性截面圖。
圖3示出了根據本技術的一些實施例的半導體處理方法中的選擇操作。
圖4A-4D示出了根據本技術的一些實施例的示例性示意性橫截面結構,其中包括並產生了材料層。
一些圖作為示意圖包含在內。應理解,圖式僅用於說明性目的,除非特別說明是按比例,否則不應視為按比例。此外,作為示意,提供了圖以幫助理解,並且與實際表示相比,圖可能不包括所有態樣或資訊,並且出於說明目的,可能包括放大的材料。
在隨附圖式中,相似的元件和/或特徵可具有相同的參照標籤。此外,相同類型的各種元件可以透過在參照標籤後加上一個在相似元件之間進行區分的字母來進行區分。如果在說明書中僅使用第一參照標籤,則該描述可應用於具有相同第一參照標籤的任何一個類似的元件,而與字母無關。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
300:方法
305:操作
310:操作
315:操作
320:操作
325:操作
330:操作
335:操作
340:操作
345:操作
350:操作
355:操作
360:操作

Claims (20)

  1. 一種半導體處理方法,包括以下步驟: 在一基板上沉積一含硼材料,其中該含硼材料沿著該基板中的一或多個特徵的側壁延伸; 形成一含氧前驅物的一電漿;和 使該基板與該含氧前驅物的電漿流出物接觸,其中該接觸蝕刻該基板中的該一或多個特徵的一部分,且其中該接觸氧化該含硼材料。
  2. 如請求項1所述之半導體處理方法,其中: 該含氧前驅物包含雙原子氧。
  3. 如請求項1所述之半導體處理方法,其中: 在使該基板與該含氧前驅物的電漿流出物接觸以及在該基板上沉積該含硼材料時,將一溫度保持在小於或約50℃。
  4. 如請求項1所述之半導體處理方法,其中: 在使該基板與該含氧前驅物的電漿流出物接觸以及在該基板上沉積該含硼材料時,將一壓力保持在約5 mTorr和約100 mTorr之間。
  5. 如請求項1所述之半導體處理方法,進一步包括以下步驟: 形成一含硼前驅物的一電漿。
  6. 如請求項5所述之半導體處理方法,其中: 該含氧前驅物的該電漿以大於或約3,000 W的一電漿功率產生。
  7. 如請求項1所述之半導體處理方法,進一步包括以下步驟: 在該基板上沉積一第二量的含硼材料,其中該第二量的含硼材料沿著該基板中的該一或多個特徵的側壁進一步延伸。
  8. 如請求項1所述之半導體處理方法,進一步包括以下步驟: 提供一含硼前驅物,其中該含硼前驅物包括三氯化硼。
  9. 如請求項1所述之半導體處理方法,其中: 一含碳遮罩沿著該基板的至少一部分設置。
  10. 如請求項1所述之半導體處理方法,進一步包括以下步驟: 提供一含氟前驅物;和 以該含氟前驅物處理沿該基板的至少一部分設置的一含矽材料,其中以該含氟前驅物處理該含矽材料保持該含矽材料中界定的開口。
  11. 如請求項10所述之半導體處理方法,其中: 該含氟前驅物包括一有機鹵化物。
  12. 一種半導體處理方法,包括以下步驟: i) 以一含氧前驅物的電漿流出物蝕刻佈置在一半導體處理腔室的一處理區域中的一基板中的一或多個特徵; ii) 在該基板上沉積一含硼材料,其中該含硼材料沿著該基板中的該一或多個特徵的至少一部分延伸;和 重複操作 i 和 ii 以反覆蝕刻該基板中的該一或多個特徵,其中在實行操作 i 和 ii 時,該半導體處理腔室內的一溫度保持在小於或約50°C。
  13. 如請求項12所述之半導體處理方法,其中: 該含硼材料包括一含硼和氧的材料。
  14. 如請求項12所述之半導體處理方法,其中: 在該蝕刻期間沿著該基板的至少一部分形成一含矽材料;和 該方法進一步包括 iii) 以一含氟前驅物處理該含矽材料,其中以該含氟前驅物處理該含矽材料保持該基板中界定的開口。
  15. 如請求項14所述之半導體處理方法,其中: 將操作 i) 至 iii) 重複至少三次,且其中沉積該含硼材料小於或約60秒的一總時間段。
  16. 一種半導體處理方法,包括以下步驟: 使一含氧前驅物流入一半導體處理腔室的一處理區域,其中該含氧前驅物包括雙原子氧,其中一基板設置在該半導體處理腔室的該處理區域內; 在該處理區域內形成該含氧前驅物的一電漿; 使該基板與該含氧前驅物的電漿流出物接觸,其中該接觸蝕刻該基板中的一或多個特徵的一第一部分; 使一含硼前驅物流入該半導體處理腔室的該處理區域; 在一基板上沉積一含硼材料,其中該含硼材料沿著該基板中的一或多個特徵的至少一部分延伸,並且其中該含硼材料包含氧; 使一含氧前驅物流入該半導體處理腔室的該處理區域; 在該處理區域內形成該含氧前驅物的一電漿;和 使該基板與該含氧前驅物的電漿流出物接觸,其中該接觸蝕刻該基板中的該一或多個特徵的一第二部分,且其中該接觸氧化該含硼材料。
  17. 如請求項16所述之半導體處理方法,其中: 該含硼前驅物的一流率介於約50 sccm和約500 sccm之間。
  18. 如請求項16所述之半導體處理方法,其中: 該含硼前驅物可在沒有載氣的情況下流到該半導體處理腔室的該處理區域。
  19. 如請求項16所述之半導體處理方法,進一步包括以下步驟: 形成該含硼前驅物的一電漿,其中該含氧前驅物的該電漿以比該含硼前驅物的該電漿更高的一電漿功率產生。
  20. 如請求項16所述之半導體處理方法,進一步包括以下步驟: 提供一含氟前驅物至該半導體處理腔室的該處理區域,其中該含氟前驅物包含碳;和 以該含氟前驅物處理沿該基板的至少一部分設置的一含矽遮罩,其中以該含氟前驅物處理該含矽遮罩保持該含矽遮罩中界定的開口。
TW112103269A 2022-02-01 2023-01-31 用於電漿蝕刻的側壁鈍化 TW202347443A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/590,084 US20230245895A1 (en) 2022-02-01 2022-02-01 Sidewall passivation for plasma etching
US17/590,084 2022-02-01

Publications (1)

Publication Number Publication Date
TW202347443A true TW202347443A (zh) 2023-12-01

Family

ID=87432565

Family Applications (1)

Application Number Title Priority Date Filing Date
TW112103269A TW202347443A (zh) 2022-02-01 2023-01-31 用於電漿蝕刻的側壁鈍化

Country Status (3)

Country Link
US (1) US20230245895A1 (zh)
TW (1) TW202347443A (zh)
WO (1) WO2023150043A1 (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030215962A1 (en) * 2002-05-14 2003-11-20 Applied Materials, Inc. Integration of multiple processes within a single chamber
KR20160127891A (ko) * 2015-04-27 2016-11-07 삼성전자주식회사 싸이클 공정을 이용한 수직 패턴의 형성방법
JP7022651B2 (ja) * 2018-05-28 2022-02-18 東京エレクトロン株式会社 膜をエッチングする方法及びプラズマ処理装置
US11232954B2 (en) * 2020-03-16 2022-01-25 Tokyo Electron Limited Sidewall protection layer formation for substrate processing
JP2021192414A (ja) * 2020-06-05 2021-12-16 東京エレクトロン株式会社 基板処理方法および基板処理装置

Also Published As

Publication number Publication date
US20230245895A1 (en) 2023-08-03
WO2023150043A1 (en) 2023-08-10

Similar Documents

Publication Publication Date Title
US10714354B2 (en) Self limiting lateral atomic layer etch
US10727073B2 (en) Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10304659B2 (en) Ale smoothness: in and outside semiconductor industry
US9991128B2 (en) Atomic layer etching in continuous plasma
US11742212B2 (en) Directional deposition in etch chamber
US11270890B2 (en) Etching carbon layer using doped carbon as a hard mask
US20230298896A1 (en) Metal-based liner protection for high aspect ratio plasma etch
EP4022670A1 (en) High density, modulus, and hardness amorphous carbon films at low pressure
TW202347443A (zh) 用於電漿蝕刻的側壁鈍化
CN117425951A (zh) 用于减少背侧颗粒的腔室工艺
US9754797B2 (en) Etching method for selectively etching silicon oxide with respect to silicon nitride
US20240014039A1 (en) Carbon hardmask opening using boron nitride mask
US20240096641A1 (en) In-situ carbon liner for high aspect ratio features
US20230110474A1 (en) Selective silicon deposition
US20230260802A1 (en) Highly selective silicon etching
TW202420413A (zh) 使用氮化硼遮罩之碳硬遮罩開口
US20220415648A1 (en) Selective carbon deposition on top and bottom surfaces of semiconductor substrates
WO2024006088A1 (en) Integrated high aspect ratio etching
CN116457919A (zh) 用于半导体图案化应用的氧化锡及碳化锡材料
CN118120043A (zh) 碳间隙填充处理