EP1518255A2 - Thermal sprayed yttria-containing coating for plasma reactor - Google Patents

Thermal sprayed yttria-containing coating for plasma reactor

Info

Publication number
EP1518255A2
EP1518255A2 EP03737030A EP03737030A EP1518255A2 EP 1518255 A2 EP1518255 A2 EP 1518255A2 EP 03737030 A EP03737030 A EP 03737030A EP 03737030 A EP03737030 A EP 03737030A EP 1518255 A2 EP1518255 A2 EP 1518255A2
Authority
EP
European Patent Office
Prior art keywords
coating
substrate
plasma
alloys
component
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
EP03737030A
Other languages
German (de)
French (fr)
Other versions
EP1518255B1 (en
Inventor
Robert J. O'donnell
John E. Daugherty
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of EP1518255A2 publication Critical patent/EP1518255A2/en
Application granted granted Critical
Publication of EP1518255B1 publication Critical patent/EP1518255B1/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting

Definitions

  • PRODUCTIVITY ENHANCING THERMAL SPRAYED YTTRIA- CONTAINING COATING FOR PLASMA REACTOR
  • the invention relates to components for semiconductor material processing apparatuses.
  • the components are formed of materials that can reduce contamination of semiconductor materials during semiconductor material processing.
  • the invention also relates to methods of making the components.
  • vacuum processing chambers are used for etching and chemical vapor deposition (CVD) of materials on substrates.
  • Process gases are flowed into the processing chamber while a radio frequency (RF) field is applied to the process gases to generate a plasma of the process gases.
  • RF radio frequency
  • the plasma performs the desired etching or deposition of selected materials on wafers.
  • parallel plate, transformer coupled plasma (TCPTM), which is also called inductively coupled plasma (ICP), and electron- cyclotron resonance (ECR) reactors and components thereof are disclosed in commonly owned U.S. Patents Nos. 4,340,462; 4,948,458; 5,200,232 and 5,820,723.
  • the substrates are typically supported within the vacuum chamber by substrate holders, as disclosed, for example, in U.S. Patent Nos. 5,262,029 and 5,838,529.
  • Process gas can be supplied to the chamber by various gas supply systems.
  • other equipment used in processing semiconductor substrates includes transport mechanisms, liners, lift mechanisms, load locks, door mechanisms, robotic arms, fasteners, and the like.
  • Plasmas are used to remove materials by etching or to deposit materials on substrates. The plasma etch conditions create significant ion bombardment of the surfaces of the processing chamber that are exposed to the plasma.
  • This ion bombardment combined with plasma chemistries and/or etch byproducts, can produce significant erosion, corrosion and corrosion-erosion of the plasma-exposed surfaces of the processing chamber.
  • the surface materials are removed by physical and/or chemical attack, including erosion, corrosion and/or corrosion- erosion. This attack causes problems including short part lifetimes, increased consumable costs, particulate contamination, on-wafer transition metal contamination and process drift.
  • plasma processing chambers have been designed to include parts, such as, disks, rings, and cylinders, that confine the plasma over the wafer being processed.
  • parts such as, disks, rings, and cylinders, that confine the plasma over the wafer being processed.
  • these parts are continuously attacked by the plasma and, consequently, ultimately erode or accumulate polymer buildup.
  • these parts suffer such wear that they are no longer usable.
  • Parts with relatively short lifetimes are commonly referred to as "consumables.” If the consumable part's lifetime is short, then the cost of ownership is high. Erosion of consumables and other parts generates contamination in plasma processing chambers.
  • the invention provides components of semiconductor processing apparatas made of materials that can provide improved wear resistance to physical and/or chemical attack in plasma processing environments.
  • the components can provide low levels of contamination with respect to metals and particulates.
  • An exemplary embodiment of a component of a semiconductor processing apparatas according to the invention comprises a substrate including a surface and a thermal-sprayed yttrium oxide (yttria)-containing coating on the surface.
  • the coating comprises an outermost surface of the component.
  • An exemplary embodiment of a process of making a component of a semiconductor processing apparatas according to the invention comprises forming a yttria-containing coating on a surface of the component by thermal spraying.
  • the coating comprises an outermost surface of the component.
  • the thermal sprayed yttria-containing coatings can be formed directly on substrate surfaces.
  • the invention provides semiconductor processing apparatuses that include at least one of above-described yttria-containing components.
  • FIG. 1 illustrates a conventional plasma spray process.
  • FIG. 2 shows a cross-sectional view of a gas ring for a plasma etching apparatas according to an exemplary embodiment of the invention.
  • FIG. 3 shows an etch chamber containing exemplary embodiments of components according to the invention.
  • FIG. 4 shows another etch chamber containing exemplary embodiments of components according to the invention.
  • FIG. 5 shows an exemplary embodiment of a protective coating according to the invention.
  • FIG. 6 shows another exemplary embodiment of a protective coating according to the invention.
  • FIG. 7 shows the level of contamination of oxide and bare silicon wafers coated with a yttria-containing coating according to the invention and also left uncoated.
  • the invention provides components suitable for use in semiconductor material processing apparatases.
  • the components can provide wear resistance with respect to physical and chemical attack by plasmas generated in semiconductor material processing apparatases during processing.
  • wear resistant includes, but is not limited to, resistance to erosion, corrosion and/or corrosion-erosion.
  • the components comprise a yttrium oxide (yttria)-containing coating.
  • the yttria-containing coating provides an outer surface resistant to chemical and/or physical attack by plasmas in apparatases for processing semiconductors.
  • the invention provides methods of making components that comprise the yttria-containing coatings to enhance the wear resistance of the components in plasma environments.
  • the wear resistant materials can be applied to different processing apparatases useful for processing different semiconductor materials.
  • the wear resistant materials can be applied to different components in the processing apparatases.
  • Such exemplary components include, but are not limited to, parts of a plasma and/or vacuum chamber, such as, for example, chamber walls, substrate supports, gas distribution systems including showerheads, baffles, rings, nozzles, etc., fasteners, heating elements, plasma screens, liners, transport module components, such as robotic arms, fasteners, inner and outer chamber walls, and the like.
  • the yttria-containing materials preferably consist essentially of yttria.
  • the yttria-containing materials be as pure as possible, e.g., include minimal amounts of potentially contaminating elements, such as transition metals, alkali metals and the like.
  • the yttria-containing coatings can be sufficiently pure to avoid on-wafer contamination of 10 10 atoms/cm 2 or higher, preferably 10 5 atoms/cm 2 or higher.
  • the yttria- containing materials have a high purity of at least about 99%, and more preferably from about 99.95% to about 100% .
  • the yttria-containing coatings can provide a high bond strength to the underlying substrate.
  • the yttria-containing coatings have a tensile bond strength of from about 2000 psi to about 7000 psi.
  • the yttria-containing coatings can provide low porosity levels, which is advantageous to minimize contact of aggressive atmospheres with the underlying substrate, and thus subsequent physical and/or chemical attack by corrosion, erosion and/or corrosion-erosion of the substrate by the aggressive atmosphere.
  • the yttria-containing coatings have a porosity of less than 15% by volume, more preferably less than about 3 % by volume, and most preferably less than about 1 % by volume.
  • the yttria-containing coatings can provide a high hardness to resist erosion.
  • the ceramic materials have a hardness (HVO 3 ) of from about 200 to about 800.
  • the yttria-containing coatings have a crystal structure that is preferably from about 10% to about 100% cubic, and more preferably more than about 95% cubic.
  • the yttria-containing coatings can have a color ranging from pure white to dark grey /black.
  • the coatings preferably are white.
  • the yttria-containing coatings can provide desirable wear resistance properties for use in semiconductor processing apparatus, such as, e.g., plasma etch chambers.
  • the yttria-containing coatings provide surfaces that can reduce ion induced erosion and associated levels of particulate contamination in plasma reactor chambers.
  • the yttria-containing coatings can protect underlying substrates against both physical attack and chemical attack by plasmas.
  • the wear resistant coatings can be used in various different plasma atmospheres for etching, deposition, as well as other applications.
  • Typical etch chemistries include, for example, chlorine containing gases including, but not limited to, Cl 2 , HC1 and BC1 3 ; bromine containing gases including, but not limited to, Br 2 and HBr; oxygen containing gases including, but not limited to, O 2 , H 2 O and SO 2 ; fluorine containing gases including, but not limited to, CF 4 , CH 2 F 2 , NF 3 , CH 3 F, C 2 F 6 , CHF 3 and SF 6 ; and inert and other gases including, but not limited to He, Ar and N 2 .
  • Typical maximum flow rates for the etch chemistries are: Cl 2 , 200 seem; HC1, 100 seem; BC1 3 200 seem; HBr, 200 seem; O 2 , 20 seem; H 2 O, 100 seem; SO 2 200 seem; CF 4 , 200 seem; CH 2 F 2 , 100 seem; CH 3 F, 100 seem; C 2 F 6 , 100 seem; CHF 3 , 100 seem; SF 6> 200 seem; He, 200 seem; Ar, 200 seem; and N 2 , 200 seem.
  • Suitable flow rates of the various process gases can be selected based on factors including, but not limited to, the type of plasma reactor, power settings, chamber pressure, plasma dissociation rates, etch chemistries, materials etched, and the particular step of the etch process in which the process gas is used.
  • Exemplary plasma etch reactor etching operating conditions for a high density plasma reactor are as follows: substrate temperature of from about 0°C to about 70 °C; chamber pressure of from about 0 mTorr to about 100 mTorr; gas flow rate of from about 10 seem to about 1000 seem; and plasma generating power of from more than 0 Watts to about 1500 Watts, and typically from about 200 Watts to about 800 Watts. The power that is most suitable is dependent on the type of wafer that is etched in the plasma reactor.
  • Components including a yttria-containing coating can be used in etch chambers of semiconductor processing equipment for etching silicon-containing and metal-containing materials in semiconductor plasma etching processes.
  • silicon-containing materials that can be etched in such etch chambers include, but are not limited to, single-crystal silicon, polycrystalline silicon, amorphous silicon, silicon nitride, silicon oxynitride, suicides, silicon dioxide, low-k materials, and high-k materials.
  • the silicon-containing materials can doped or un-doped and/or annealed or un-annealed.
  • Conductive or semiconductive metal-containing materials that can be etched include, but are not limited to, aluminum, aluminum alloys, tungsten, tungsten alloys, titanium, titanium alloys, tantalum, tantalum alloys, platinum, platinum alloys, ruthenium, ruthenium alloys, chrome, chrome alloys, iron, iron alloys, nickel, nickel alloys, cobalt, cobalt alloys, molybdenum, molybdenum alloys, suicides of titanium, tungsten, chrome, cobalt and/or molybdenum, ferroelectric materials, such as platinum suicide and ruthenium oxide, and GMR materials, such as tantalum nitride, chrome suicide and NiFeCo alloys.
  • the yttria-containing coatings are preferably formed on substrates by a thermal spraying technique.
  • thermal spraying techniques ceramic powder is melted and incorporated in a gas stream directed at the component being spray coated.
  • An advantage of thermal spraying techniques is that the component is coated only on the sides facing the thermal spray gun, and masking can be used to protect other areas.
  • Conventional thermal spraying techniques, including plasma spraying are described in ⁇ ie Science and Engineering of Thermal Spray Coating by Pawlowski (John Wiley, 1995). This description is hereby incorporated by reference in its entirety.
  • the thermal sprayed yttria-containing coatings can be formed on any substrates that are suitably shaped to enable them to be coated.
  • a particularly preferred thermal spraying technique is plasma spraying.
  • FIG. 1 illustrates a typical plasma spraying process.
  • the coating material usually in the form of a powder 112 is injected into a high temperature plasma flame 114 usually via an external powder port 132.
  • the powder is rapidly heated and accelerated to a high velocity.
  • the hot material impacts on the substrate surface 116 and rapidly cools to form a coating 118.
  • the plasma spray gun 120 comprises an anode 122 and a cathode 124, both of which are water cooled.
  • Plasma gas 126 e.g., argon, nitrogen, hydrogen, helium
  • the plasma is initiated by a high voltage discharge, which causes localized ionization and a conductive path for a DC arc to form between the cathode 124 and the anode 122. Resistance heating from the arc causes the gas to form a plasma.
  • the plasma exits the anode nozzle portion as a free or neutral plasma flame (i.e., plasma that does not carry electric current).
  • the electric arc extends down the nozzle.
  • the powder 112 is so rapidly heated and accelerated that the spray distance 136 between the nozzle tip and the substrate surface can be on the order of 125 to 150 mm.
  • Plasma sprayed coatings are produced by molten or heat-softened particles impacting on the substrate surface 116.
  • the thermal sprayed yttria-containing coatings can be formed directly on preferred substrate materials with or without having previously treated the substrate surface to promote adhesion of the coatings, and/or with or without having previously formed intermediate coatings on the substrate to enhance adhesion of the coatings on the substrates.
  • the yttria-containing coatings can be applied directly to anodized aluminum, alumina or quartz substrates without treating the substrate surface or utilizing intermediate coatings.
  • the yttria-containing coatings provide suitable adherence to the substrates without treating the substrate and/or forming intermediate layers.
  • the substrate surface to be coated is preferably cleaned to remove undesirable surface substances, such as oxides or grease.
  • surface treating techniques such as cleaning and particle blasting, can be used to provide a more chemically and physically active surface for bonding of the coating.
  • the surface of substrate can be roughened by any suitable method, such as grit blasting, prior to coating. Roughening of the substrate increases the surface area available for bonding of the coating, which increases the coating bond strength.
  • the rough substrate surface profile can also promote mechanical keying or interlocking of the coating with the substrate.
  • the anodized layer provides an additional barrier, i.e., in addition to the protection provided by the coating, against corrosive attack of the underlying aluminum material.
  • the anodized aluminum layer formed on aluminum substrates, such as 6061-T6 aluminum can have any suitable thickness.
  • the anodized aluminum layer thickness can typically be from about 2 mil to about 10 mil.
  • the surface of the anodized aluminum layer can have any suitable finish.
  • the surface finish can have a surface roughness of about 20 to about 100 micro-inch.
  • the anodized layer can be sealed by any suitable technique, such as by using boiling deionized water.
  • the thermal sprayed yttria-containing coatings can have desired surface roughness characteristics effective to promote the adhesion of contaminants to the coatings.
  • the contaminants can include polymer deposits, which result from the use of polymer forming species (usually fluorocarbons) during plasma etching processes, such as metal etching processes.
  • polymer forming species usually fluorocarbons
  • such polymer deposits can flake or peel off of chamber surfaces during etching processes and contaminate substrates within the chamber. The thermal cycling that occurs during repeated plasma processing cycles exacerbates this problem.
  • the thermal sprayed yttria-containing coatings can have surface roughness values (Ra) suitable for enhancing adhesion of polymer byproducts produced during processing of substrates in the plasma reactor.
  • the arithmetic mean surface roughness (Ra) of the thermal sprayed yttria-containing coatings can range from about 5 to about 400 micro-inches, and preferably from about 120 to about 250 micro-inches. Surface roughness values in this range promote the adhesion of polymer deposited on interior surfaces of the reaction chamber during a plasma etch process, such as a metal etch. Accordingly, the thermal sprayed yttria-containing coatings can improve the adhesion of such polymer deposits on components, and thereby reduce the occurrence of contamination by the polymer deposits.
  • the thermal sprayed yttria- containing coatings can be smooth.
  • the thermal sprayed yttria-containing coatings can have rough surfaces to promote adhesion of the deposits on the coatings.
  • smoother surfaces are relatively easy to clean.
  • the components including a yttria- containing coating are used in a high-density plasma reactor.
  • An exemplary reactor of this type is the TCP 9400TM plasma etch reactor available from Lam Research Corporation of Fremont, California.
  • FIG. 2 shows a gas ring for a TCP 9400TM etch reactor.
  • processing gases such as Cl 2 , HBr, CF 4 , CH 2 F 2 , O 2 , N 2 , Ar, SF 6 and NF 3 .
  • FIG. 2 shows a gas ring for a TCP 9400TM etch reactor.
  • the main body of the gas ring 40 surrounds a substrate support 44.
  • the bottom surface of the gas ring 40 contains a ring-shaped gas-guiding trench 60.
  • the aforementioned gas holes 50 extend into the gas-guiding trench 60.
  • the gas ring 40 is typically composed of aluminum. Upper surfaces of the gas ring are directly exposed to the plasma and thus subject to erosion, corrosion and corrosion-erosion. To protect these surfaces, the gas ring is typically covered with an aluminum oxide layer. For example, in silicon etch applications, fluorine-containing atmospheres can produce aluminum fluoride
  • anodized aluminum is relatively brittle and can crack during repeated thermal cycling of the reactor during use. Cracks that form in the anodized layer can allow the corrosive process gases to attack the underlying aluminum layer, reducing part life and contributing to metallic and particle contamination of processed substrates, such as wafers, flat panel display substrates and the like.
  • the exposed surfaces of the gas ring can be covered with a coating 42 of a yttria-containing material.
  • the coatings can be formed on a bare (with or without a native oxide surface film) aluminum substrate or on an aluminum oxide layer (e.g., aluminum having an anodized surface).
  • the coating can be allowed to partially penetrate into the gas holes to coat and protect the inside walls thereof, but without obstructing the openings.
  • the gas holes can be uncoated, e.g., the gas holes can be plugged or masked during the coating process.
  • TCP 9400TM etch reactor that can be exposed to the plasma during processing can also be coated with a yttria-containing coating.
  • these components include, for example, chamber walls, chamber liners, chucking devices and the dielectric window opposite the substrate.
  • FIG. 3 Another exemplary polysilicon etch reactor that can include the yttria- containing coatings according to the invention is the VersysTM Polysilicon Etcher or 2300TM etcher also available from Lam Research Corporation of Fremont, California, as shown in FIG. 3.
  • the reactor comprises a reactor chamber 150 that includes a substrate support 152 including an electrostatic chuck 154, which provides a clamping force to a substrate (not shown) mounted thereon.
  • a focus ring 170 is mounted on the substrate support 152 around the electrostatic chuck 154.
  • the substrate support 152 can also be used to apply an RF bias to the substrate.
  • the substrate can also be back-cooled using a heat transfer gas such as helium.
  • processing gases e.g., one or more of Cl 2 , HBr, CF 4 , CH 2 F 2 , O 2 , N 2 , Ar, SF 6 or NF 3
  • gases e.g., one or more of Cl 2 , HBr, CF 4 , CH 2 F 2 , O 2 , N 2 , Ar, SF 6 or NF 3
  • the gas injector 168 is typically made of quartz or a ceramic material such as alumina.
  • an inductive coil 158 can be powered by a suitable RF source (not shown) to provide a high density (e.g., 10 u - 10 12 ions/cm 3 ) plasma.
  • the inductive coil 158 couples RF energy through dielectric window 160 into the interior of chamber 150.
  • the dielectric window 160 is typically made of quartz or alumina.
  • the dielectric window 160 is shown mounted on an annular member 162.
  • the annular member 162 spaces dielectric window 160 from the top of chamber 150 and is referred to as a "gas distribution plate".
  • a chamber liner 164 surrounds the substrate support 152.
  • the chamber 150 can also include suitable vacuum pumping apparatus (not shown) for maintaining the interior of the chamber at a desired pressure.
  • selected internal surfaces of reactor components such as the annular member 162, dielectric window 160, substrate support 152, chamber liner 164, gas injector 168, focus ring 170 and the electrostatic chuck 154, are shown coated with a yttria-containing coating 166 according to the invention. As shown in FIG.
  • selected interior surfaces of the chamber 150 and substrate support 152 below the chamber liner 164 can also be provided with a yttria-containing coating 166. Any or all of these surfaces, as well as any other internal reactor surface, can be provided with a yttria-containing coating.
  • the components can be used in a high-density oxide etch process.
  • An exemplary oxide etch reactor is the TCP 9100TM plasma etch reactor available from Lam Research Corporation of Fremont, California.
  • the gas distribution plate is a circular plate situated directly below the TCPTM window, which is also the vacuum sealing surface at the top of the reactor in a plane above and parallel to a semiconductor wafer.
  • the gas distribution plate is sealed to a gas distribution ring located at the periphery of the gas distribution plate.
  • the gas distribution ring feeds gas from a gas source into the volume defined by the gas distribution plate, an inside surface of a window underlying an antenna in the form of a flat spiral coil supplying RF energy into the reactor, and the gas distribution ring.
  • the gas distribution plate contains holes of a specified diameter, which extend through the plate.
  • the spatial distribution of the holes through the gas distribution plate can be varied to optimize etch uniformity of the layers to be etched, e.g., a photoresist layer, a silicon dioxide layer and an underlay er material on the wafer.
  • the cross-sectional shape of the gas distribution plate can be varied to manipulate the distribution of RF power into the plasma in the reactor.
  • the gas distribution plate is a dielectric material to enable coupling of this RF power through the gas distribution plate into the reactor. Further, it is desirable for the material of the gas distribution plate to be highly resistant to chemical sputter-etching in environments, such as oxygen, halogen or hydro- fluorocarbon gas plasma, to avoid breakdown and the resultant particle generation associated therewith.
  • FIG. 4 illustrates a plasma reactor of the aforementioned type.
  • the reactor comprises a reactor chamber 10.
  • a substrate holder 12 includes an electrostatic chuck 34, which provides a clamping force and an RF bias to a substrate 13.
  • the substrate can be back-cooled using a heat transfer gas such as helium.
  • a focus ring 14 confines plasma in a region above the substrate.
  • the reactor chamber includes a vacuum pumping apparatas for maintaining the interior of the chamber at a desired pressure (e.g., below 50 mTorr, typically 1-20 mTorr).
  • a substantially planar dielectric window 20 is provided between the antenna 18 and the interior of the processing chamber 10 and forms the vacuum wall at the top of the processing chamber 10.
  • a gas distribution plate 22 is provided beneath window 20 and includes openings for delivering process gas from the gas supply 23 to the chamber 10.
  • a liner 30, such as a conical or cylindrical liner, extends from the gas distribution plate 22 and surrounds the substrate holder 12.
  • the antenna 18 can be provided with a channel 24 through which a temperature control fluid is flowed via inlet and outlet conduits 25, 26.
  • the antenna 18 and/or window 20 need not be cooled, or could be cooled by other suitable technique, such as by blowing gas over the antenna and window, passing a cooling fluid through or in heat transfer contact with the window and/or gas distribution plate, etc.
  • a substrate such as a semiconductor wafer
  • an electrostatic chuck 34 Other clamping means, however, such as a mechanical clamping mechanism can also be used. Additionally, helium back-cooling can be employed to improve heat transfer between the substrate and chuck.
  • Process gas is then supplied to the vacuum processing chamber 10 by passing the process gas through a gap between the window 20 and the gas distribution plate 22. Suitable gas distribution plate arrangements (i.e., showerhead) arrangements are disclosed in commonly owned U.S. Patent Nos. 5,824,605; 6,048,798; and 5,863,376, each of which is incorporated herein by reference in its entirety.
  • a high density plasma is ignited in the space between the substrate and the window by supplying suitable RF power to the antenna 18.
  • the exposed internal surfaces of reactor components such as the gas distribution plate 22, the chamber liner 30, the electrostatic chuck 34, and the focus ring 14 are coated with a yttria-containing coating 32.
  • a yttria-containing coating 32 is coated with a yttria-containing coating.
  • the high density polysilicon and dielectric etch chambers described above are only exemplary embodiments of plasma etch reactors that can incorporate the components.
  • Components including a yttria-containing coating can be used in any etch reactor (e.g., a metal etch reactor) or other type of semiconductor processing apparatus where the reduction of plasma induced erosion, corrosion and/or corrosion-erosion and associated contamination is desired.
  • etch reactor e.g., a metal etch reactor
  • other type of semiconductor processing apparatus where the reduction of plasma induced erosion, corrosion and/or corrosion-erosion and associated contamination is desired.
  • exemplary components that can be provided with a yttria- containing coating include, but are not limited to, chamber walls, substrate holders, fasteners, etc. These components are typically made from metal (e.g., aluminum) or ceramic (e.g., alumina), and are typically exposed to plasma and often show signs of erosion, corrosion and/or corrosion-erosion. Other parts that can be coated with a yttria-containing coating need not be directly exposed to plasma, but may instead be exposed to corrosive gases, such as gases emitted from processed wafers or the like. Therefore, other equipment used in processing semiconductor substrates can also be provided with yttria-containing coatings.
  • a yttria-containing coating is provided on a metallic component.
  • anodized or non-anodized aluminum- based materials including aluminum and aluminum alloys, e.g., 6061-T6 aluminum, can be coated with yttria-containing coatings.
  • Other exemplary metallic materials that can be coated include, but are not limited to, stainless steels and refractory metals, e.g., 304 and 316 stainless steels. Because the yttria- containing coatings form a wear resistant coating over the component, the underlying component is protected from direct exposure to the plasma.
  • the metallic component can be protected against erosion, corrosion and/or corrosion-erosion attack by the plasma.
  • metallic materials such as aluminum alloys
  • various ceramic or polymeric materials can be coated with a yttria-containing coating.
  • the reactor components can be made from ceramic materials, including, but not limited to, alumina (Al 2 O 3 ), silicon carbide (SiC), silicon nitride (Si 3 N 4 ), boron carbide (B 4 C) and/or boron nitride (BN).
  • Polymeric materials that can be coated are preferably those that can withstand elevated temperature conditions present in plasma reactors.
  • FIG. 5 shows a coated component according to an exemplary preferred embodiment.
  • a first intermediate coating 80 is optionally coated on a substrate 70 by a conventional technique.
  • the optional first intermediate coating 80 is sufficiently thick to adhere to the substrate and to further allow it to be processed prior to forming an optional second intermediate coating 90, or the yttria- containing coating 100.
  • the first intermediate coating 80 and the second intermediate coating 90 can have any suitable thickness that provides these desired properties.
  • These coatings can have a thickness of at least about 0.001 inch, preferably from about 0.001 to about 0.25 inch, more preferably from about 0.001 to about 0.15 inch, and most preferably from about 0.001 inch to about 0.05 inch.
  • the first intermediate coating can be treated, such as by roughening using any suitable technique, and then coated with the optional second intermediate coating 90, or with the yttria-containing coating 100.
  • a roughened first intermediate coating 80 provides a particularly good bond to subsequently applied coatings.
  • the second intermediate coating 90 imparts a high mechanical compression strength to the first intermediate coating 80 and reduces formation of fissures in the second intermediate coating 90.
  • the second intermediate coating 90 is sufficiently thick to adhere to the first intermediate coating 80 and to allow it to be processed prior to forming any additional intermediate coatings, or the outer yttria-containing coating 100.
  • the second intermediate coating 90 also can be treated, such as by roughening.
  • the second intermediate coating 90 can have any suitable thickness that provides these desired properties, such as a thickness of at least about 0.001 inch, preferably from about 0.001 to about 0.25 inch, more preferably from about 0.001 and about 0.15 inch, and most preferably from about 0.001 inch to about 0.05 inch.
  • the first and second intermediate coatings can be made of any metallic, ceramic and polymer materials that are suitable for use in semiconductor plasma processing chambers.
  • Particularly desirable metals that can be used include, but are not limited to, refractory metals, which can withstand high processing temperatures.
  • Preferred ceramics include, but are not limited to, Al 2 O 3 , SiC, Si 3 N 4 , B 4 C, A1N, TiO 2 and mixtures thereof.
  • Preferred polymers include, but are not limited to, fluoropolymers, such as polytetrafluoroethylene and polyimides.
  • the intermediate coatings can be applied by any suitable deposition technique such as plating (e.g., electroless plating or electroplating), sputtering, immersion coating, chemical vapor deposition, physical vapor deposition, electrophoretic deposition, hot isostatic pressing, cold isostatic pressing, compression molding, casting, compacting and sintering, and thermal spraying (e.g., plasma spraying).
  • plating e.g., electroless plating or electroplating
  • sputtering immersion coating
  • chemical vapor deposition e.g., physical vapor deposition
  • electrophoretic deposition e.g., hot isostatic pressing, cold isostatic pressing, compression molding, casting, compacting and sintering
  • thermal spraying e.g., plasma spraying
  • the optional first intermediate coating 80 and second intermediate coating 90 can have the same or different compositions from each other, depending on their desired properties. If desired, additional intermediate coatings such as a third, fourth or fifth intermediate coating of the same or different materials can also be provided between the yttria-containing coating and the substrate.
  • FIG. 6 shows another exemplary embodiment of the yttria-containing coatings. In this embodiment, the yttria-containing coating 100 is deposited directly onto a substrate (i.e., without the formation any intermediate layers), which is an outer surface of the component 70. In this and in other embodiments, the coating 100 can have any suitable thickness.
  • the yttria-containing coating 100 has at least a minimum thickness that provides sufficient coverage of the underlying surface to provide wear resistance and protect the underlying surface against physical and chemical attack, when the yttria-containing coating is exposed to plasma atmospheres.
  • the coating 100 can have a thickness in the range of about 0.001 inch to about 1 inch, preferably from about 0.001 inches to about 0.5 inch, more preferably from about 0.001 inch to about 0.1 inch, and most preferably from about 0.01 inch to about 0.1 inch. This thickness can also be used in other embodiments.
  • the thickness of the yttria-containing coating can be selected to be compatible with the plasma environment to be encountered in the reactor (e.g., etching, CVD, etc.).
  • the yttria-containing coatings can be provided on all or part of the reactor chamber and components.
  • the coatings are provided on the regions of the reactor chamber that are exposed to the plasma environment, such as those parts in direct contact with the plasma, or parts located behind chamber components, such as liners. Additionally, it is preferred that the yttria-containing coating be provided at regions of the reactor chamber that are subjected to relatively high bias voltages (i.e. relatively high sputter ion energies).
  • relatively high bias voltages i.e. relatively high sputter ion energies.
  • the coatings are advantageous for use in fluorine-containing and BC1 3 containing- atmospheres, which are highly erosive to anodized aluminum.
  • Tests were conducted to demonstrate the effectiveness of the yttria- containing coatings in providing an erosion resistant surface in a plasma environment.
  • Three anodized 6061-T6 aluminum coupons and three coupons each made by forming a thermal sprayed yttria-containing coating on a surface of an anodized 6061-T6 aluminum coupon were attached to a chamber wall in a plasma reactor chamber.
  • the yttria-containing coatings had a composition of about 99.95 wt.
  • the coupons each had a 1 inch diameter and a thickness of 0.375 inch.
  • the coupons were attached to the chamber wall.
  • a plasma was generated from a process gas comprising Cl 2 and BC1 3 at equal flow rates, and the interior of the chamber was set to a pressure of 6 mTorr.
  • Bare silicon wafers were etched in the reactor chamber.
  • the coupons were tested for a total of about 90 RF-hours. [0070] Following the testing, yellow dust permeated the reactor chamber. The dust was analyzed using EDS analysis and found to contain primarily boron, oxygen and chlorine.
  • the coupons were evaluated to determine coupon mass loss using an analytical balance, and also were evaluated to determine estimated erosion rates.
  • the anodized 6061-T6 aluminum coupons without a yttria-containing coating were determined to have lost from about 15 to 20 mg. Accordingly, the process gas was highly erosive with respect to these un-coated coupons.
  • the three coupons having a ytttia-containing coating each gained weight due to the accumulation of dust on the coatings.
  • the weight gain of the three coated coupons ranged from about 0.8 mg to about 1.2 mg.
  • the weight gain rate of the three coated coupons ranged from about 0.009 mg/RF-hr to about 0.013 mg/RF-hr.
  • the anodized 6061-T6 aluminum coupons without a yttria-containing coating significantly eroded, as evidenced by the significant weight loss. These coupons were determined to have an estimated average erosion rate of from about 20 to 27 ⁇ /RF-min. In contrast, the three coupons having a yttria-contaimng coating were not eroded.
  • the yttria-containing coatings can minimize or even prevent the formation of aluminum fluoride when coated components are used in a fluorine-containing process gas atmosphere in a plasma reactor.
  • Tests were also performed to demonstrate the reduction of contamination of wafers during etching in a plasma reactor by providing components in the plasma reactor chamber that include a thermal sprayed yttria-containing coating, which consists essentially of yttria. Tests were performed on a 9400DFM plasma reactor with a chamber liner fully encapsulated with a yttria-containing coating, a ground ring coated with a yttria-containing coating, ground ring screw caps coated with a yttria-containing coating, and a quartz window in the reactor chamber.
  • the reactor chamber was prepared by a procedure including a wet clean with 6% H 2 O + isopropyl alcohol wipe down, and a wet clean recovery procedure using six oxide wafers and the following process parameters: 15mT chamber pressure/800 Watts top coil power/0 W bottom electrode power/ 100 seem SF 6 /20 seem Cl 2 /50 seem O 2 /8 Torr He back cool /300 sec etch time.
  • Conditioning of the reactor chamber was performed using ten bare silicon wafers with the following process parameters: break through etch: 4 mTorr chamber pressure/600 Watts top coil power/65 Watts bottom electrode power/100 seem HBr/10 sec etch time; main etch: 6 mTorr chamber pressure/350 Watts top coil power/20 Watts bottom electrode power/ 180 seem HBr/65 sec etch time; and over etch: 80 mT chamber pressure/350 Watts top coil power/75 Watts bottom electrode power/150 seem HBr/150 seem He/5 seem O 2 /90 sec etch time. High pressure waferless autocleaning was used after every wafer.
  • Contamination of the wafers was measured by the following procedures.
  • a 1 kA thermal oxide wafer was etched with the following process parameters: break through etch: 4 mTorr chamber pressure/600 Watts top coil power/65 Watts bottom electrode power/ 100 seem HBr/ 10 sec etch time; main etch: 6 mTorr chamber pressure/350 Watts top coil power/20 Watts bottom electrode power/ 180 seem HBr/65 sec etch time; and over etch: 80 mTorr chamber pressure/350 top coil power/75 Watts bottom electrode power/150 seem HBr/150 seem He/5 seem O 2 /90 sec etch time.
  • the silicon wafers were etched using the following process parameters: 5 mT chamber pressure/250 Watts top coil power/ 150 Watts bottom electrode power/50 seem Cl 2 /120 sec etch time. Following etching, the thermal oxide wafers and the prime silicon wafers were analyzed for contamination using ICP-MS.
  • FIG. 7 shows the results for the contamination analysis of the wafers.
  • "Coated” means yttria-coated components were present in the reactor chamber and "uncoated” means yttria-coated components were not present. Comparing the test results for the same wafer type, i.e., thermal oxide wafers and bare silicon wafers, the test results show that on an element-by-element basis, the results for reactor chamber including yttria-coated components was significantly lower than for the reactor chamber containing plasma exposed anodized aluminum surfaces on components. For example, the aluminum concentration measured for the
  • the "uncoated" bare silicon wafer was about 87 x 10 10 atoms/cm 2 , while the aluminum concentration measured for the "coated" bare silicon wafer was about 12 x 10 10 atoms/cm 2 . Also, the chromium concentration measured for the "uncoated” bare silicon wafer was about 7 x 10 10 atoms/cm 2 , while the chromium concentration measured for the "coated” bare silicon wafer was about 10 9 atoms/cm 2 , which was the detection limit of the measuring equipment.
  • the yttria levels were insignificant for all of the wafers tested. For the bare silicon wafers, no yttria was detected above the detection limits of the measuring equipment. The results demonstrate the robust nature of the yttria-containing coatings in the plasma environment. Consequently, the yttria-containing coatings can achieve very low levels of on-wafer yttria contamination.
  • the above-described test results demonstrate that a significant reduction in the erosion rate of components exposed to plasma environments can be achieved by the yttria-containing coatings.
  • the yttria-containing coatings can minimize contamination of semiconductor substrates contained in plasma etch reactors by yttria, as well as other elements from which the yttria-coated parts are formed.
  • the yttria-containing coatings can provide an extremely hard, wear resistant surface. Such coatings are desirably free of materials that react with processing chamber gases, and are chemically inert such that there is low or no particle contamination, minimal or no corrosion, minimal or no metal contamination and/or minimal or no volatile etch products.
  • the yttria- containing coatings can decrease levels of metal and particulate contamination, lower costs by increasing the lifetime of consumables, decrease process drifts and reduce the levels of corrosion of chamber parts and substrates.

Abstract

Components of semiconductor processing apparatus comprise thermal sprayed yttria-containing coatings that provide erosion, corrosion and/or corrosion-erosion resistance in plasma atmospheres. The coatings can protect substrates from physical and/or chemical attack.

Description

PRODUCTIVITY ENHANCING THERMAL SPRAYED YTTRIA- CONTAINING COATING FOR PLASMA REACTOR
BACKGROUND OF THE INVENTION Field of the Invention [0001] The invention relates to components for semiconductor material processing apparatuses. The components are formed of materials that can reduce contamination of semiconductor materials during semiconductor material processing. The invention also relates to methods of making the components.
Description of the Related Art [0002] In the field of semiconductor material processing, vacuum processing chambers are used for etching and chemical vapor deposition (CVD) of materials on substrates. Process gases are flowed into the processing chamber while a radio frequency (RF) field is applied to the process gases to generate a plasma of the process gases. The plasma performs the desired etching or deposition of selected materials on wafers. Examples of parallel plate, transformer coupled plasma (TCP™), which is also called inductively coupled plasma (ICP), and electron- cyclotron resonance (ECR) reactors and components thereof are disclosed in commonly owned U.S. Patents Nos. 4,340,462; 4,948,458; 5,200,232 and 5,820,723. [0003] During processing of semiconductor substrates, the substrates are typically supported within the vacuum chamber by substrate holders, as disclosed, for example, in U.S. Patent Nos. 5,262,029 and 5,838,529. Process gas can be supplied to the chamber by various gas supply systems. [0004] In addition to the plasma chamber equipment, other equipment used in processing semiconductor substrates includes transport mechanisms, liners, lift mechanisms, load locks, door mechanisms, robotic arms, fasteners, and the like. [0005] Plasmas are used to remove materials by etching or to deposit materials on substrates. The plasma etch conditions create significant ion bombardment of the surfaces of the processing chamber that are exposed to the plasma. This ion bombardment, combined with plasma chemistries and/or etch byproducts, can produce significant erosion, corrosion and corrosion-erosion of the plasma-exposed surfaces of the processing chamber. As a result, the surface materials are removed by physical and/or chemical attack, including erosion, corrosion and/or corrosion- erosion. This attack causes problems including short part lifetimes, increased consumable costs, particulate contamination, on-wafer transition metal contamination and process drift.
[0006] In light of these problems, plasma processing chambers have been designed to include parts, such as, disks, rings, and cylinders, that confine the plasma over the wafer being processed. However, these parts are continuously attacked by the plasma and, consequently, ultimately erode or accumulate polymer buildup. Eventually, these parts suffer such wear that they are no longer usable. Parts with relatively short lifetimes are commonly referred to as "consumables." If the consumable part's lifetime is short, then the cost of ownership is high. Erosion of consumables and other parts generates contamination in plasma processing chambers. [0007] Because of the erosive and corrosive natare of the plasma environment in such reactors, and the need to minimize particle and/or metal contamination, it is desirable for components of such equipment, including consumables and other parts, to have suitably high erosion and corrosion resistance. Parts have been formed from materials that provide resistance to corrosion and erosion in plasma environments. See, for example, U.S. Patents Nos. 5,798,016; 5,911,852; 6,123,791 and 6,352,611. SUMMARY OF THE INVENTION
[0008] The invention provides components of semiconductor processing apparatas made of materials that can provide improved wear resistance to physical and/or chemical attack in plasma processing environments. The components can provide low levels of contamination with respect to metals and particulates. [0009] An exemplary embodiment of a component of a semiconductor processing apparatas according to the invention comprises a substrate including a surface and a thermal-sprayed yttrium oxide (yttria)-containing coating on the surface. The coating comprises an outermost surface of the component. [0010] An exemplary embodiment of a process of making a component of a semiconductor processing apparatas according to the invention comprises forming a yttria-containing coating on a surface of the component by thermal spraying. The coating comprises an outermost surface of the component. [0011] In a preferred embodiment, the thermal sprayed yttria-containing coatings can be formed directly on substrate surfaces.
[0012] In addition, the invention provides semiconductor processing apparatuses that include at least one of above-described yttria-containing components.
BRIEF DESCRIPTION OF THE DRAWINGS
[0013] The invention will be readily understood by the following detailed description in conjunction with the accompanying drawings.
[0014] FIG. 1 illustrates a conventional plasma spray process.
[0015] FIG. 2 shows a cross-sectional view of a gas ring for a plasma etching apparatas according to an exemplary embodiment of the invention.
[0016] FIG. 3 shows an etch chamber containing exemplary embodiments of components according to the invention.
[0017] FIG. 4 shows another etch chamber containing exemplary embodiments of components according to the invention. [0018] FIG. 5 shows an exemplary embodiment of a protective coating according to the invention.
[0019] FIG. 6 shows another exemplary embodiment of a protective coating according to the invention. [0020] FIG. 7 shows the level of contamination of oxide and bare silicon wafers coated with a yttria-containing coating according to the invention and also left uncoated.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
[0021] The invention provides components suitable for use in semiconductor material processing apparatases. The components can provide wear resistance with respect to physical and chemical attack by plasmas generated in semiconductor material processing apparatases during processing. As used herein, the term "wear resistant" includes, but is not limited to, resistance to erosion, corrosion and/or corrosion-erosion. [0022] The components comprise a yttrium oxide (yttria)-containing coating.
The yttria-containing coating provides an outer surface resistant to chemical and/or physical attack by plasmas in apparatases for processing semiconductors. [0023] In addition, the invention provides methods of making components that comprise the yttria-containing coatings to enhance the wear resistance of the components in plasma environments.
[0024] Those skilled in the art will appreciate that the wear resistant materials can be applied to different processing apparatases useful for processing different semiconductor materials. In addition, the wear resistant materials can be applied to different components in the processing apparatases. Such exemplary components include, but are not limited to, parts of a plasma and/or vacuum chamber, such as, for example, chamber walls, substrate supports, gas distribution systems including showerheads, baffles, rings, nozzles, etc., fasteners, heating elements, plasma screens, liners, transport module components, such as robotic arms, fasteners, inner and outer chamber walls, and the like. [0025] The yttria-containing materials preferably consist essentially of yttria. In order to try to minimize the contamination of semiconductor materials processed in apparatuses incorporating one or more components comprising yttria-containing materials, it is desirable that the yttria-containing materials be as pure as possible, e.g., include minimal amounts of potentially contaminating elements, such as transition metals, alkali metals and the like. For example, the yttria-containing coatings can be sufficiently pure to avoid on-wafer contamination of 1010 atoms/cm2 or higher, preferably 105 atoms/cm2 or higher. Preferably, the yttria- containing materials have a high purity of at least about 99%, and more preferably from about 99.95% to about 100% .
[0026] The yttria-containing coatings can provide a high bond strength to the underlying substrate. Preferably, the yttria-containing coatings have a tensile bond strength of from about 2000 psi to about 7000 psi.
[0027] The yttria-containing coatings can provide low porosity levels, which is advantageous to minimize contact of aggressive atmospheres with the underlying substrate, and thus subsequent physical and/or chemical attack by corrosion, erosion and/or corrosion-erosion of the substrate by the aggressive atmosphere. Preferably, the yttria-containing coatings have a porosity of less than 15% by volume, more preferably less than about 3 % by volume, and most preferably less than about 1 % by volume.
[0028] In addition, the yttria-containing coatings can provide a high hardness to resist erosion. Preferably, the ceramic materials have a hardness (HVO3) of from about 200 to about 800.
[0029] The yttria-containing coatings have a crystal structure that is preferably from about 10% to about 100% cubic, and more preferably more than about 95% cubic. [0030] The yttria-containing coatings can have a color ranging from pure white to dark grey /black. The coatings preferably are white. [0031] The yttria-containing coatings can provide desirable wear resistance properties for use in semiconductor processing apparatus, such as, e.g., plasma etch chambers. In particular, the yttria-containing coatings provide surfaces that can reduce ion induced erosion and associated levels of particulate contamination in plasma reactor chambers. The yttria-containing coatings can protect underlying substrates against both physical attack and chemical attack by plasmas. [0032] The wear resistant coatings can be used in various different plasma atmospheres for etching, deposition, as well as other applications. Typical etch chemistries include, for example, chlorine containing gases including, but not limited to, Cl2, HC1 and BC13; bromine containing gases including, but not limited to, Br2 and HBr; oxygen containing gases including, but not limited to, O2, H2O and SO2; fluorine containing gases including, but not limited to, CF4, CH2F2, NF3, CH3F, C2F6, CHF3 and SF6; and inert and other gases including, but not limited to He, Ar and N2. These and other gases may be used in any suitable combination, depending on the desired plasma. Typical maximum flow rates for the etch chemistries are: Cl2, 200 seem; HC1, 100 seem; BC13 200 seem; HBr, 200 seem; O2, 20 seem; H2O, 100 seem; SO2 200 seem; CF4, 200 seem; CH2F2, 100 seem; CH3F, 100 seem; C2F6, 100 seem; CHF3, 100 seem; SF6> 200 seem; He, 200 seem; Ar, 200 seem; and N2, 200 seem. Suitable flow rates of the various process gases can be selected based on factors including, but not limited to, the type of plasma reactor, power settings, chamber pressure, plasma dissociation rates, etch chemistries, materials etched, and the particular step of the etch process in which the process gas is used.
[0033] Exemplary plasma etch reactor etching operating conditions for a high density plasma reactor are as follows: substrate temperature of from about 0°C to about 70 °C; chamber pressure of from about 0 mTorr to about 100 mTorr; gas flow rate of from about 10 seem to about 1000 seem; and plasma generating power of from more than 0 Watts to about 1500 Watts, and typically from about 200 Watts to about 800 Watts. The power that is most suitable is dependent on the type of wafer that is etched in the plasma reactor.
[0034] Components including a yttria-containing coating can be used in etch chambers of semiconductor processing equipment for etching silicon-containing and metal-containing materials in semiconductor plasma etching processes. For example, silicon-containing materials that can be etched in such etch chambers include, but are not limited to, single-crystal silicon, polycrystalline silicon, amorphous silicon, silicon nitride, silicon oxynitride, suicides, silicon dioxide, low-k materials, and high-k materials. The silicon-containing materials can doped or un-doped and/or annealed or un-annealed.
[0035] Conductive or semiconductive metal-containing materials that can be etched include, but are not limited to, aluminum, aluminum alloys, tungsten, tungsten alloys, titanium, titanium alloys, tantalum, tantalum alloys, platinum, platinum alloys, ruthenium, ruthenium alloys, chrome, chrome alloys, iron, iron alloys, nickel, nickel alloys, cobalt, cobalt alloys, molybdenum, molybdenum alloys, suicides of titanium, tungsten, chrome, cobalt and/or molybdenum, ferroelectric materials, such as platinum suicide and ruthenium oxide, and GMR materials, such as tantalum nitride, chrome suicide and NiFeCo alloys. [0036] The yttria-containing coatings are preferably formed on substrates by a thermal spraying technique. In thermal spraying techniques, ceramic powder is melted and incorporated in a gas stream directed at the component being spray coated. An advantage of thermal spraying techniques is that the component is coated only on the sides facing the thermal spray gun, and masking can be used to protect other areas. Conventional thermal spraying techniques, including plasma spraying, are described in Ηie Science and Engineering of Thermal Spray Coating by Pawlowski (John Wiley, 1995). This description is hereby incorporated by reference in its entirety. The thermal sprayed yttria-containing coatings can be formed on any substrates that are suitably shaped to enable them to be coated. [0037] A particularly preferred thermal spraying technique is plasma spraying. Plasma spraying can coat even intricate interior surfaces of chambers and other chamber components. FIG. 1 illustrates a typical plasma spraying process. The coating material, usually in the form of a powder 112, is injected into a high temperature plasma flame 114 usually via an external powder port 132. The powder is rapidly heated and accelerated to a high velocity. The hot material impacts on the substrate surface 116 and rapidly cools to form a coating 118. [0038] The plasma spray gun 120 comprises an anode 122 and a cathode 124, both of which are water cooled. Plasma gas 126 (e.g., argon, nitrogen, hydrogen, helium) flows around the cathode in the direction generally indicated by arrow 128 and through a constricting nozzle of the anode. The plasma is initiated by a high voltage discharge, which causes localized ionization and a conductive path for a DC arc to form between the cathode 124 and the anode 122. Resistance heating from the arc causes the gas to form a plasma. The plasma exits the anode nozzle portion as a free or neutral plasma flame (i.e., plasma that does not carry electric current). When the plasma is stabilized and ready for spraying, the electric arc extends down the nozzle. The powder 112 is so rapidly heated and accelerated that the spray distance 136 between the nozzle tip and the substrate surface can be on the order of 125 to 150 mm. Plasma sprayed coatings are produced by molten or heat-softened particles impacting on the substrate surface 116.
[0039] The thermal sprayed yttria-containing coatings can be formed directly on preferred substrate materials with or without having previously treated the substrate surface to promote adhesion of the coatings, and/or with or without having previously formed intermediate coatings on the substrate to enhance adhesion of the coatings on the substrates. For example, the yttria-containing coatings can be applied directly to anodized aluminum, alumina or quartz substrates without treating the substrate surface or utilizing intermediate coatings. In a preferred embodiment, the yttria-containing coatings provide suitable adherence to the substrates without treating the substrate and/or forming intermediate layers. Accordingly, because the yttria-containing coatings can be applied to substrates without performing such additional prior process steps, the increased cost, complexity and/or completion time of the coating process contributed to such additional process steps can be avoided. [0040] Prior to forming the yttria-containing coatings on substrates, the substrate surface to be coated is preferably cleaned to remove undesirable surface substances, such as oxides or grease. In some embodiments, surface treating techniques, such as cleaning and particle blasting, can be used to provide a more chemically and physically active surface for bonding of the coating. While less preferred, the surface of substrate can be roughened by any suitable method, such as grit blasting, prior to coating. Roughening of the substrate increases the surface area available for bonding of the coating, which increases the coating bond strength. The rough substrate surface profile can also promote mechanical keying or interlocking of the coating with the substrate. [0041] For aluminum reactor components, it is preferable to anodize the surface of the component that is to be coated prior to coating, but to not roughen the anodized surface. The anodized layer provides an additional barrier, i.e., in addition to the protection provided by the coating, against corrosive attack of the underlying aluminum material. The anodized aluminum layer formed on aluminum substrates, such as 6061-T6 aluminum, can have any suitable thickness. For example, the anodized aluminum layer thickness can typically be from about 2 mil to about 10 mil. The surface of the anodized aluminum layer can have any suitable finish. For example, the surface finish can have a surface roughness of about 20 to about 100 micro-inch. The anodized layer can be sealed by any suitable technique, such as by using boiling deionized water.
[0042] The thermal sprayed yttria-containing coatings can have desired surface roughness characteristics effective to promote the adhesion of contaminants to the coatings. The contaminants can include polymer deposits, which result from the use of polymer forming species (usually fluorocarbons) during plasma etching processes, such as metal etching processes. As described in co-pending U.S. Patent Application No. 09/749,917, which is incorporated herein by reference in its entirety, such polymer deposits can flake or peel off of chamber surfaces during etching processes and contaminate substrates within the chamber. The thermal cycling that occurs during repeated plasma processing cycles exacerbates this problem.
[0043] The thermal sprayed yttria-containing coatings can have surface roughness values (Ra) suitable for enhancing adhesion of polymer byproducts produced during processing of substrates in the plasma reactor. For example, the arithmetic mean surface roughness (Ra) of the thermal sprayed yttria-containing coatings can range from about 5 to about 400 micro-inches, and preferably from about 120 to about 250 micro-inches. Surface roughness values in this range promote the adhesion of polymer deposited on interior surfaces of the reaction chamber during a plasma etch process, such as a metal etch. Accordingly, the thermal sprayed yttria-containing coatings can improve the adhesion of such polymer deposits on components, and thereby reduce the occurrence of contamination by the polymer deposits.
[0044] However, in some preferred embodiments, the thermal sprayed yttria- containing coatings can be smooth. For example, in silicon etching processes, significant deposits tend to form on chamber surfaces. For such processes, it is not as desirable for the thermal sprayed yttria-containing coatings to have rough surfaces to promote adhesion of the deposits on the coatings. In addition, smoother surfaces are relatively easy to clean. [0045] In some preferred embodiments, the components including a yttria- containing coating are used in a high-density plasma reactor. An exemplary reactor of this type is the TCP 9400™ plasma etch reactor available from Lam Research Corporation of Fremont, California. In the TCP 9400™ reactor, processing gases (such as Cl2, HBr, CF4, CH2F2, O2, N2, Ar, SF6 and NF3) are conducted into a gas ring located at the bottom of the etch chamber and are then guided through gas holes into the reactor chamber. FIG. 2 shows a gas ring for a TCP 9400™ etch reactor. As shown in FIG. 2, the main body of the gas ring 40 surrounds a substrate support 44. The bottom surface of the gas ring 40 contains a ring-shaped gas-guiding trench 60. The aforementioned gas holes 50 extend into the gas-guiding trench 60.
[0046] The gas ring 40 is typically composed of aluminum. Upper surfaces of the gas ring are directly exposed to the plasma and thus subject to erosion, corrosion and corrosion-erosion. To protect these surfaces, the gas ring is typically covered with an aluminum oxide layer. For example, in silicon etch applications, fluorine-containing atmospheres can produce aluminum fluoride
"brown dust" by attacking anodized aluminum. In metal etch applications, boron trichloride (BC13) can etch away the anodized aluminum surface, resulting in corrosion of components. In addition, anodized aluminum is relatively brittle and can crack during repeated thermal cycling of the reactor during use. Cracks that form in the anodized layer can allow the corrosive process gases to attack the underlying aluminum layer, reducing part life and contributing to metallic and particle contamination of processed substrates, such as wafers, flat panel display substrates and the like. [0047] In exemplary embodiments, the exposed surfaces of the gas ring can be covered with a coating 42 of a yttria-containing material. The coatings can be formed on a bare (with or without a native oxide surface film) aluminum substrate or on an aluminum oxide layer (e.g., aluminum having an anodized surface). When coating the gas ring, the coating can be allowed to partially penetrate into the gas holes to coat and protect the inside walls thereof, but without obstructing the openings. Alternatively, the gas holes can be uncoated, e.g., the gas holes can be plugged or masked during the coating process.
[0048] Other components of the TCP 9400™ etch reactor that can be exposed to the plasma during processing can also be coated with a yttria-containing coating. These components include, for example, chamber walls, chamber liners, chucking devices and the dielectric window opposite the substrate. Providing a yttria- containing coating on the upper surface of a chucking device, such as an electrostatic chuck, provides additional protection to the chuck during cleaning cycles in which a wafer is not present and the upper surface of the chuck is thus directly exposed to the plasma.
[0049] Another exemplary polysilicon etch reactor that can include the yttria- containing coatings according to the invention is the Versys™ Polysilicon Etcher or 2300™ etcher also available from Lam Research Corporation of Fremont, California, as shown in FIG. 3. The reactor comprises a reactor chamber 150 that includes a substrate support 152 including an electrostatic chuck 154, which provides a clamping force to a substrate (not shown) mounted thereon. A focus ring 170 is mounted on the substrate support 152 around the electrostatic chuck 154. The substrate support 152 can also be used to apply an RF bias to the substrate. The substrate can also be back-cooled using a heat transfer gas such as helium. In the 2300™ etcher, processing gases (e.g., one or more of Cl2, HBr, CF4, CH2F2, O2, N2, Ar, SF6 or NF3) are introduced into the chamber 150 via a gas injector 168 located on the top of chamber 150 and connected to a gas feed 156. The gas injector 168 is typically made of quartz or a ceramic material such as alumina. As shown, an inductive coil 158 can be powered by a suitable RF source (not shown) to provide a high density (e.g., 10u - 1012 ions/cm3) plasma. The inductive coil 158 couples RF energy through dielectric window 160 into the interior of chamber 150. The dielectric window 160 is typically made of quartz or alumina. The dielectric window 160 is shown mounted on an annular member 162. The annular member 162 spaces dielectric window 160 from the top of chamber 150 and is referred to as a "gas distribution plate". A chamber liner 164 surrounds the substrate support 152. The chamber 150 can also include suitable vacuum pumping apparatus (not shown) for maintaining the interior of the chamber at a desired pressure. [0050] In FIG. 3, selected internal surfaces of reactor components, such as the annular member 162, dielectric window 160, substrate support 152, chamber liner 164, gas injector 168, focus ring 170 and the electrostatic chuck 154, are shown coated with a yttria-containing coating 166 according to the invention. As shown in FIG. 3, selected interior surfaces of the chamber 150 and substrate support 152 below the chamber liner 164 can also be provided with a yttria-containing coating 166. Any or all of these surfaces, as well as any other internal reactor surface, can be provided with a yttria-containing coating. [0051] The components can be used in a high-density oxide etch process. An exemplary oxide etch reactor is the TCP 9100™ plasma etch reactor available from Lam Research Corporation of Fremont, California. In the TCP 9100™ reactor, the gas distribution plate is a circular plate situated directly below the TCP™ window, which is also the vacuum sealing surface at the top of the reactor in a plane above and parallel to a semiconductor wafer. The gas distribution plate is sealed to a gas distribution ring located at the periphery of the gas distribution plate. The gas distribution ring feeds gas from a gas source into the volume defined by the gas distribution plate, an inside surface of a window underlying an antenna in the form of a flat spiral coil supplying RF energy into the reactor, and the gas distribution ring. The gas distribution plate contains holes of a specified diameter, which extend through the plate. The spatial distribution of the holes through the gas distribution plate can be varied to optimize etch uniformity of the layers to be etched, e.g., a photoresist layer, a silicon dioxide layer and an underlay er material on the wafer. The cross-sectional shape of the gas distribution plate can be varied to manipulate the distribution of RF power into the plasma in the reactor. The gas distribution plate is a dielectric material to enable coupling of this RF power through the gas distribution plate into the reactor. Further, it is desirable for the material of the gas distribution plate to be highly resistant to chemical sputter-etching in environments, such as oxygen, halogen or hydro- fluorocarbon gas plasma, to avoid breakdown and the resultant particle generation associated therewith.
[0052] FIG. 4 illustrates a plasma reactor of the aforementioned type. The reactor comprises a reactor chamber 10. A substrate holder 12 includes an electrostatic chuck 34, which provides a clamping force and an RF bias to a substrate 13. The substrate can be back-cooled using a heat transfer gas such as helium. A focus ring 14 confines plasma in a region above the substrate. A source of energy for maintaining a high density (e.g., 1010-1012 ions/cm3) plasma in the chamber, such as an antenna 18 powered by a suitable RF source to provide a high density plasma, is disposed at the top of the reactor chamber 10. The reactor chamber includes a vacuum pumping apparatas for maintaining the interior of the chamber at a desired pressure (e.g., below 50 mTorr, typically 1-20 mTorr).
[0053] A substantially planar dielectric window 20 is provided between the antenna 18 and the interior of the processing chamber 10 and forms the vacuum wall at the top of the processing chamber 10. A gas distribution plate 22 is provided beneath window 20 and includes openings for delivering process gas from the gas supply 23 to the chamber 10. A liner 30, such as a conical or cylindrical liner, extends from the gas distribution plate 22 and surrounds the substrate holder 12. The antenna 18 can be provided with a channel 24 through which a temperature control fluid is flowed via inlet and outlet conduits 25, 26. However, the antenna 18 and/or window 20 need not be cooled, or could be cooled by other suitable technique, such as by blowing gas over the antenna and window, passing a cooling fluid through or in heat transfer contact with the window and/or gas distribution plate, etc.
[0054] In operation, a substrate, such as a semiconductor wafer, is positioned on the substrate holder 12 and held in place by an electrostatic chuck 34. Other clamping means, however, such as a mechanical clamping mechanism can also be used. Additionally, helium back-cooling can be employed to improve heat transfer between the substrate and chuck. Process gas is then supplied to the vacuum processing chamber 10 by passing the process gas through a gap between the window 20 and the gas distribution plate 22. Suitable gas distribution plate arrangements (i.e., showerhead) arrangements are disclosed in commonly owned U.S. Patent Nos. 5,824,605; 6,048,798; and 5,863,376, each of which is incorporated herein by reference in its entirety. A high density plasma is ignited in the space between the substrate and the window by supplying suitable RF power to the antenna 18. [0055] In FIG. 4, the exposed internal surfaces of reactor components, such as the gas distribution plate 22, the chamber liner 30, the electrostatic chuck 34, and the focus ring 14 are coated with a yttria-containing coating 32. However, only selected ones of these surfaces, and/or other surfaces, can be coated with a yttria- containing coating. [0056] Those skilled in the art will appreciate that the high density polysilicon and dielectric etch chambers described above are only exemplary embodiments of plasma etch reactors that can incorporate the components. Components including a yttria-containing coating can be used in any etch reactor (e.g., a metal etch reactor) or other type of semiconductor processing apparatus where the reduction of plasma induced erosion, corrosion and/or corrosion-erosion and associated contamination is desired.
[0057] Other exemplary components that can be provided with a yttria- containing coating include, but are not limited to, chamber walls, substrate holders, fasteners, etc. These components are typically made from metal (e.g., aluminum) or ceramic (e.g., alumina), and are typically exposed to plasma and often show signs of erosion, corrosion and/or corrosion-erosion. Other parts that can be coated with a yttria-containing coating need not be directly exposed to plasma, but may instead be exposed to corrosive gases, such as gases emitted from processed wafers or the like. Therefore, other equipment used in processing semiconductor substrates can also be provided with yttria-containing coatings. Such equipment can include transport mechanisms, gas supply systems, liners, lift mechanisms, load locks, door mechanisms, robotic arms, fasteners, and the like. [0058] In a preferred embodiment, a yttria-containing coating is provided on a metallic component. As described above, anodized or non-anodized aluminum- based materials, including aluminum and aluminum alloys, e.g., 6061-T6 aluminum, can be coated with yttria-containing coatings. Other exemplary metallic materials that can be coated include, but are not limited to, stainless steels and refractory metals, e.g., 304 and 316 stainless steels. Because the yttria- containing coatings form a wear resistant coating over the component, the underlying component is protected from direct exposure to the plasma.
Accordingly, the metallic component can be protected against erosion, corrosion and/or corrosion-erosion attack by the plasma. As a result, metallic materials, such as aluminum alloys, can be used without regard to alloying additions, grain structure or surface conditions. [0059] In addition, various ceramic or polymeric materials can be coated with a yttria-containing coating. In particular, the reactor components can be made from ceramic materials, including, but not limited to, alumina (Al2O3), silicon carbide (SiC), silicon nitride (Si3N4), boron carbide (B4C) and/or boron nitride (BN). Polymeric materials that can be coated are preferably those that can withstand elevated temperature conditions present in plasma reactors.
[0060] If desired, one or more intermediate layers of material can be provided between the surface of the component that is coated and the yttria-containing coating. FIG. 5 shows a coated component according to an exemplary preferred embodiment. A first intermediate coating 80 is optionally coated on a substrate 70 by a conventional technique. The optional first intermediate coating 80 is sufficiently thick to adhere to the substrate and to further allow it to be processed prior to forming an optional second intermediate coating 90, or the yttria- containing coating 100. The first intermediate coating 80 and the second intermediate coating 90 can have any suitable thickness that provides these desired properties. These coatings can have a thickness of at least about 0.001 inch, preferably from about 0.001 to about 0.25 inch, more preferably from about 0.001 to about 0.15 inch, and most preferably from about 0.001 inch to about 0.05 inch. [0061] After depositing the optional first intermediate coating 80 onto the reactor component 70, the first intermediate coating can be treated, such as by roughening using any suitable technique, and then coated with the optional second intermediate coating 90, or with the yttria-containing coating 100. A roughened first intermediate coating 80 provides a particularly good bond to subsequently applied coatings. Desirably, the second intermediate coating 90 imparts a high mechanical compression strength to the first intermediate coating 80 and reduces formation of fissures in the second intermediate coating 90. [0062] The second intermediate coating 90 is sufficiently thick to adhere to the first intermediate coating 80 and to allow it to be processed prior to forming any additional intermediate coatings, or the outer yttria-containing coating 100. The second intermediate coating 90 also can be treated, such as by roughening. The second intermediate coating 90 can have any suitable thickness that provides these desired properties, such as a thickness of at least about 0.001 inch, preferably from about 0.001 to about 0.25 inch, more preferably from about 0.001 and about 0.15 inch, and most preferably from about 0.001 inch to about 0.05 inch. [0063] The first and second intermediate coatings can be made of any metallic, ceramic and polymer materials that are suitable for use in semiconductor plasma processing chambers. Particularly desirable metals that can be used include, but are not limited to, refractory metals, which can withstand high processing temperatures. Preferred ceramics include, but are not limited to, Al2O3, SiC, Si3N4, B4C, A1N, TiO2 and mixtures thereof. Preferred polymers include, but are not limited to, fluoropolymers, such as polytetrafluoroethylene and polyimides. [0064] The intermediate coatings can be applied by any suitable deposition technique such as plating (e.g., electroless plating or electroplating), sputtering, immersion coating, chemical vapor deposition, physical vapor deposition, electrophoretic deposition, hot isostatic pressing, cold isostatic pressing, compression molding, casting, compacting and sintering, and thermal spraying (e.g., plasma spraying).
[0065] The optional first intermediate coating 80 and second intermediate coating 90 can have the same or different compositions from each other, depending on their desired properties. If desired, additional intermediate coatings such as a third, fourth or fifth intermediate coating of the same or different materials can also be provided between the yttria-containing coating and the substrate. [0066] FIG. 6 shows another exemplary embodiment of the yttria-containing coatings. In this embodiment, the yttria-containing coating 100 is deposited directly onto a substrate (i.e., without the formation any intermediate layers), which is an outer surface of the component 70. In this and in other embodiments, the coating 100 can have any suitable thickness. The yttria-containing coating 100 has at least a minimum thickness that provides sufficient coverage of the underlying surface to provide wear resistance and protect the underlying surface against physical and chemical attack, when the yttria-containing coating is exposed to plasma atmospheres. Particularly, the coating 100 can have a thickness in the range of about 0.001 inch to about 1 inch, preferably from about 0.001 inches to about 0.5 inch, more preferably from about 0.001 inch to about 0.1 inch, and most preferably from about 0.01 inch to about 0.1 inch. This thickness can also be used in other embodiments. The thickness of the yttria-containing coating can be selected to be compatible with the plasma environment to be encountered in the reactor (e.g., etching, CVD, etc.). [0067] The yttria-containing coatings can be provided on all or part of the reactor chamber and components. In a preferred embodiment, the coatings are provided on the regions of the reactor chamber that are exposed to the plasma environment, such as those parts in direct contact with the plasma, or parts located behind chamber components, such as liners. Additionally, it is preferred that the yttria-containing coating be provided at regions of the reactor chamber that are subjected to relatively high bias voltages (i.e. relatively high sputter ion energies). [0068] By applying a yttria-containing coating, advantages are realized. Namely, the yttria-containing coatings can be used for all plasma chemistries. The coatings are advantageous for use in fluorine-containing and BC13 containing- atmospheres, which are highly erosive to anodized aluminum. By using the yttria- containing coatings in such atmospheres, significantly reduced erosion rates are achievable in plasma reactors. [0069] Tests were conducted to demonstrate the effectiveness of the yttria- containing coatings in providing an erosion resistant surface in a plasma environment. Three anodized 6061-T6 aluminum coupons and three coupons each made by forming a thermal sprayed yttria-containing coating on a surface of an anodized 6061-T6 aluminum coupon were attached to a chamber wall in a plasma reactor chamber. The yttria-containing coatings had a composition of about 99.95 wt. % yttria. The coupons each had a 1 inch diameter and a thickness of 0.375 inch. The coupons were attached to the chamber wall. A plasma was generated from a process gas comprising Cl2 and BC13 at equal flow rates, and the interior of the chamber was set to a pressure of 6 mTorr. Bare silicon wafers were etched in the reactor chamber. The coupons were tested for a total of about 90 RF-hours. [0070] Following the testing, yellow dust permeated the reactor chamber. The dust was analyzed using EDS analysis and found to contain primarily boron, oxygen and chlorine.
[0071] The coupons were evaluated to determine coupon mass loss using an analytical balance, and also were evaluated to determine estimated erosion rates. The anodized 6061-T6 aluminum coupons without a yttria-containing coating were determined to have lost from about 15 to 20 mg. Accordingly, the process gas was highly erosive with respect to these un-coated coupons. [0072] In contrast, the three coupons having a ytttia-containing coating each gained weight due to the accumulation of dust on the coatings. The weight gain of the three coated coupons ranged from about 0.8 mg to about 1.2 mg. The weight gain rate of the three coated coupons ranged from about 0.009 mg/RF-hr to about 0.013 mg/RF-hr.
[0073] Regarding the estimated erosion rate, the anodized 6061-T6 aluminum coupons without a yttria-containing coating significantly eroded, as evidenced by the significant weight loss. These coupons were determined to have an estimated average erosion rate of from about 20 to 27 Λ/RF-min. In contrast, the three coupons having a yttria-contaimng coating were not eroded. [0074] In addition, because aluminum components are protected from attack by fluorine, the yttria-containing coatings can minimize or even prevent the formation of aluminum fluoride when coated components are used in a fluorine-containing process gas atmosphere in a plasma reactor.
[0075] Tests were also performed to demonstrate the reduction of contamination of wafers during etching in a plasma reactor by providing components in the plasma reactor chamber that include a thermal sprayed yttria-containing coating, which consists essentially of yttria. Tests were performed on a 9400DFM plasma reactor with a chamber liner fully encapsulated with a yttria-containing coating, a ground ring coated with a yttria-containing coating, ground ring screw caps coated with a yttria-containing coating, and a quartz window in the reactor chamber. [0076] The reactor chamber was prepared by a procedure including a wet clean with 6% H2O + isopropyl alcohol wipe down, and a wet clean recovery procedure using six oxide wafers and the following process parameters: 15mT chamber pressure/800 Watts top coil power/0 W bottom electrode power/ 100 seem SF6/20 seem Cl2/50 seem O2/8 Torr He back cool /300 sec etch time. Conditioning of the reactor chamber was performed using ten bare silicon wafers with the following process parameters: break through etch: 4 mTorr chamber pressure/600 Watts top coil power/65 Watts bottom electrode power/100 seem HBr/10 sec etch time; main etch: 6 mTorr chamber pressure/350 Watts top coil power/20 Watts bottom electrode power/ 180 seem HBr/65 sec etch time; and over etch: 80 mT chamber pressure/350 Watts top coil power/75 Watts bottom electrode power/150 seem HBr/150 seem He/5 seem O2/90 sec etch time. High pressure waferless autocleaning was used after every wafer.
[0077] Contamination of the wafers was measured by the following procedures. A 1 kA thermal oxide wafer was etched with the following process parameters: break through etch: 4 mTorr chamber pressure/600 Watts top coil power/65 Watts bottom electrode power/ 100 seem HBr/ 10 sec etch time; main etch: 6 mTorr chamber pressure/350 Watts top coil power/20 Watts bottom electrode power/ 180 seem HBr/65 sec etch time; and over etch: 80 mTorr chamber pressure/350 top coil power/75 Watts bottom electrode power/150 seem HBr/150 seem He/5 seem O2/90 sec etch time. The silicon wafers were etched using the following process parameters: 5 mT chamber pressure/250 Watts top coil power/ 150 Watts bottom electrode power/50 seem Cl2/120 sec etch time. Following etching, the thermal oxide wafers and the prime silicon wafers were analyzed for contamination using ICP-MS.
[0078] Thermal oxide wafers and bare silicon wafers were placed in the reactor chamber and etched using the above-described process parameters with and without components including a yttria-containing coating being present in the reactor chamber. During the testing with components not including a yttria- containing coating in the reactor chamber, a substantial amount of anodized aluminum of components in the reactor chamber was exposed to the plasma during testing. Following etching, the surface concentration of Al, Cr, Cu, Fe, Ni, Na and Y was measured in units of 1010 atoms/cm2 for the wafers. A control oxide wafer, which had not been put into the reactor chamber, was also analyzed to confirm that the contamination levels measured for the etched wafers originated from the reactor chamber.
[0079] FIG. 7 shows the results for the contamination analysis of the wafers. "Coated" means yttria-coated components were present in the reactor chamber and "uncoated" means yttria-coated components were not present. Comparing the test results for the same wafer type, i.e., thermal oxide wafers and bare silicon wafers, the test results show that on an element-by-element basis, the results for reactor chamber including yttria-coated components was significantly lower than for the reactor chamber containing plasma exposed anodized aluminum surfaces on components. For example, the aluminum concentration measured for the
"uncoated" bare silicon wafer was about 87 x 1010 atoms/cm2, while the aluminum concentration measured for the "coated" bare silicon wafer was about 12 x 1010 atoms/cm2. Also, the chromium concentration measured for the "uncoated" bare silicon wafer was about 7 x 1010 atoms/cm2, while the chromium concentration measured for the "coated" bare silicon wafer was about 109 atoms/cm2, which was the detection limit of the measuring equipment. For the thermal oxide wafers, the following results were obtained in units of 1010 atoms/cm2: Al: "uncoated", 2000, "coated", 480; Cu: "uncoated", 15, "coated", 4; Fe: "uncoated", 72, "coated", 280; and Ni: "uncoated", 10, "coated", 2. Following testing, it was determined that an Fe contamination source had been present during testing, which increased the Fe levels in the wafers.
[0080] As also shown in FIG. 7, the yttria levels were insignificant for all of the wafers tested. For the bare silicon wafers, no yttria was detected above the detection limits of the measuring equipment. The results demonstrate the robust nature of the yttria-containing coatings in the plasma environment. Consequently, the yttria-containing coatings can achieve very low levels of on-wafer yttria contamination.
[0081] Accordingly, the above-described test results demonstrate that a significant reduction in the erosion rate of components exposed to plasma environments can be achieved by the yttria-containing coatings. As a result, the yttria-containing coatings can minimize contamination of semiconductor substrates contained in plasma etch reactors by yttria, as well as other elements from which the yttria-coated parts are formed. [0082] The yttria-containing coatings can provide an extremely hard, wear resistant surface. Such coatings are desirably free of materials that react with processing chamber gases, and are chemically inert such that there is low or no particle contamination, minimal or no corrosion, minimal or no metal contamination and/or minimal or no volatile etch products. Thus, the yttria- containing coatings can decrease levels of metal and particulate contamination, lower costs by increasing the lifetime of consumables, decrease process drifts and reduce the levels of corrosion of chamber parts and substrates. [0083] While the invention has been described in detail with reference to specific embodiments thereof, it will be apparent to those skilled in the art that various changes and modifications can be made, and equivalents employed, without departing from the scope of the appended claims.

Claims

WHAT IS CLAIMED IS:
1. A component of a semiconductor processing apparatas, comprising: a substrate including a surface; and a thermal sprayed coating consisting essentially of yttria disposed over the surface, the coating including an outermost surface of the component.
2. The component of Claim 1, wherein the coating is directly on the surface of the substrate without an intermediate layer of another material disposed between the coating and the surface of the substrate.
3. The component of Claim 1, wherein the surface of the substrate is made of a material selected from the group consisting of anodized aluminum, alumina and quartz.
4. The component of Claim 1, which is a chamber wall.
5. The component of Claim 1, which is at least one component selected from the group consisting of a chamber wall, a chamber liner, a gas distribution plate, a gas ring, a pedestal, a dielectric window, an electrostatic chuck and a plasma focus ring.
6. The component of Claim 1, wherein the coating has a thickness of from about 0.001 inch to about 0.1 inch.
7. The component of Claim 1, further comprising at least one intermediate layer between the surface of the substrate and the coating.
8. The component of Claim 1, wherein the coating has an arithmetic mean surface roughness (Ra) effective to promote adhesion of polymer deposits on the coating.
9. The component of Claim 8, wherein the coating has an arithmetic mean surface roughness (Ra) from about 120 to about 250 micro-inch.
10. A chamber wall of a semiconductor processing apparatas, comprising: an anodized aluminum substrate including a surface; and a thermal sprayed coating consisting essentially of yttria disposed directly on the surface, the coating including an outermost surface of the component.
11. The chamber wall of Claim 10, wherein the coating has an arithmetic mean surface roughness (Ra) that promotes adhesion of polymer deposits.
12. A plasma etch reactor, comprising: at least one component including: a substrate including a surface; and a thermal sprayed coating consisting essentially of yttria disposed over the surface, the coating including an outermost surface of the component.
13. The plasma etch reactor of Claim 12, wherein the surface of the substrate is anodized aluminum, and the coating is directly on the surface of the substrate without an intermediate layer of another material disposed between the coating and the surface of the substrate.
14. The plasma etch reactor of Claim 13, wherein the component is a chamber wall and the plasma etch reactor includes a plasma generating source which inductively couples radio frequency energy into the reactor.
1
15. The plasma etch reactor of Claim 12, wherein the coating has an arithmetic mean surface roughness (Ra) effective to promote adhesion of polymer deposits on the coating.
16. A process of manufacturing a component of a semiconductor processing apparatas, comprising applying a coating consisting essentially of yttria over a surface of a substrate by thermal spraying, the coating comprising an outermost surface of the component.
17. The process of Claim 16, wherein the coating is applied directly on the surface of the substrate.
18. The process of Claim 16, wherein the surface of the substrate is made of a material selected from the group consisting of anodized aluminum, alumina and quartz.
19. The process of Claim 16, wherein the coating is formed to have an arithmetic mean surface roughness (Ra) effective to promote adhesion of polymer deposits.
20. A process of etching a semiconductor substrate, comprising: placing a semiconductor substrate in a chamber of a plasma etch reactor, the plasma etch reactor comprising at least one component including a substrate having a surface and a thermal sprayed coating consisting essentially of yttria disposed over the surface, the coating including an outermost surface of the component; introducing a process gas into the chamber; generating a plasma from the process gas; and etching the semiconductor substrate with the plasma, wherein the coating is exposed to the plasma during the etching.
21. The process of Claim 20, wherein the plasma is generated by inductively coupling radio frequency energy into the chamber.
22. The process of Claim 20, wherein the semiconductor substrate comprises at least one silicon-containing material selected from the group consisting of single-crystal silicon, polycrystalline silicon, amorphous silicon, silicon nitride, silicon oxynitride, suicides, silicon dioxide, low-k materials and high-k materials.
23. The process of Claim 20, wherein the semiconductor substrate comprises at least one metal-containing material selected from the group consisting of aluminum, aluminum alloys, tungsten, tungsten alloys, titanium, titanium alloys, tantalum, tantalum alloys, platinum, platinum alloys, ruthenium, ruthenium alloys, chrome, chrome alloys, iron, iron alloys, nickel, nickel alloys, cobalt, cobalt alloys, molybdenum, molybdenum alloys, suicides of titanium, tungsten, chrome, cobalt and/or molybdenum, ferroelectric materials and GMR materials.
24. The process of Claim 20, wherein the coating has an arithmetic mean surface roughness (Ra) that promotes adhesion of polymer deposits on the coating during the etching.
25. A process of reducing contamination of a semiconductor wafer by erosion of a component in a chamber of a plasma etch reactor during etching of the semiconductor wafer in the plasma etch reactor, comprising: placing a semiconductor wafer in a chamber of a plasma etch reactor, the plasma etch reactor comprising at least one component including a substrate comprised of a material and having a surface and a thermal sprayed coating consisting essentially of yttria disposed over the surface, the coating including an outermost surface of the component; introducing a process gas into the chamber, the process gas being erosive with respect to the substrate material; generating a plasma from the process gas; and etching the semiconductor wafer with the plasma while exposing the coating to the plasma, wherein the coating minimizes contamination of the semiconductor wafer by the substrate material and yttria during the etching.
26. The process of Claim 25, wherein the substrate comprises aluminum or alloy thereof.
27. The process of Claim 25, wherein the level of contamination of the semiconductor wafer by yttria is less than 1010 atoms/cm2.
28. The process of Claim 25, wherein the surface of the component is anodized aluminum, and the coating is directly on the anodized aluminum.
29. The process of Claim 25, wherein the semiconductor wafer comprises at least one silicon-containing material and the process gas comprises fluorine.
30. The process of Claim 29, wherein the silicon-containing material is selected from the group consisting of single-crystal silicon, polycrystalline silicon, amorphous silicon, silicon nitride, silicon oxynitride, suicides, silicon dioxide, low-k materials and high-k materials.
31. The process of Claim 25, wherein the semiconductor wafer comprises at least one metal-containing material and the process gas comprises BC13.
32. The process of Claim 31, wherein the metal-containing material is selected from the group consisting of aluminum, aluminum alloys, tungsten, tungsten alloys, titanium, titanium alloys, tantalum, tantalum alloys, platinum, platinum alloys, ruthenium, ruthenium alloys, chrome, chrome alloys, iron, iron alloys, nickel, nickel alloys, cobalt, cobalt alloys, molybdenum, molybdenum alloys, suicides of titanium, tungsten, chrome, cobalt and/or molybdenum, ferroelectric materials and GMR materials.
33. The process of Claim 25, wherein the semiconductor wafer comprises silicon and the process gas comprises bromine.
34. The process of Claim 25, wherein the process gas comprises BC13 and the coating is not eroded by the plasma during etching.
EP03737030A 2002-06-27 2003-06-12 Thermal sprayed yttria-containing coating for plasma reactor Expired - Lifetime EP1518255B1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/180,504 US7311797B2 (en) 2002-06-27 2002-06-27 Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US180504 2002-06-27
PCT/US2003/018502 WO2004003962A2 (en) 2002-06-27 2003-06-12 Thermal sprayed yttria-containing coating for plasma reactor

Publications (2)

Publication Number Publication Date
EP1518255A2 true EP1518255A2 (en) 2005-03-30
EP1518255B1 EP1518255B1 (en) 2012-02-08

Family

ID=29778939

Family Applications (1)

Application Number Title Priority Date Filing Date
EP03737030A Expired - Lifetime EP1518255B1 (en) 2002-06-27 2003-06-12 Thermal sprayed yttria-containing coating for plasma reactor

Country Status (9)

Country Link
US (2) US7311797B2 (en)
EP (1) EP1518255B1 (en)
JP (2) JP2005531157A (en)
KR (2) KR101030935B1 (en)
CN (1) CN1663017A (en)
AT (1) ATE545148T1 (en)
AU (1) AU2003238006A1 (en)
TW (1) TWI328411B (en)
WO (1) WO2004003962A2 (en)

Families Citing this family (216)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010062209A (en) 1999-12-10 2001-07-07 히가시 데쓰로 Processing apparatus with a chamber having therein a high-etching resistant sprayed film
US6805952B2 (en) * 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
EP1375118A4 (en) * 2001-03-29 2004-09-01 Bridgestone Corp Rubber strip, and method and device for manufacturing tire and tire component using the rubber strip
US7371467B2 (en) * 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US8067067B2 (en) * 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7204912B2 (en) 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US6798519B2 (en) * 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
CN1249789C (en) 2002-11-28 2006-04-05 东京毅力科创株式会社 Plasma processing container internal parts
US20060226003A1 (en) * 2003-01-22 2006-10-12 John Mize Apparatus and methods for ionized deposition of a film or thin layer
CN100418187C (en) * 2003-02-07 2008-09-10 东京毅力科创株式会社 Plasma processing device, annular element and plasma processing method
EP1465468B1 (en) * 2003-03-31 2007-11-14 SANYO ELECTRIC Co., Ltd. Metal mask and method of printing lead-free solder paste using same
CN100495413C (en) * 2003-03-31 2009-06-03 东京毅力科创株式会社 A method for adjoining adjacent coatings on a processing element
US7297247B2 (en) * 2003-05-06 2007-11-20 Applied Materials, Inc. Electroformed sputtering target
US6843870B1 (en) * 2003-07-22 2005-01-18 Epic Biosonics Inc. Implantable electrical cable and method of making
US7220497B2 (en) * 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US8213467B2 (en) 2004-04-08 2012-07-03 Sonosite, Inc. Systems and methods providing ASICs for use in multiple applications
TWI291713B (en) * 2004-04-13 2007-12-21 Applied Materials Inc Process chamber component having electroplated yttrium containing coating
US7119032B2 (en) 2004-08-23 2006-10-10 Air Products And Chemicals, Inc. Method to protect internal components of semiconductor processing equipment using layered superlattice materials
CN101018885B (en) * 2004-08-24 2010-07-14 圣戈本陶瓷及塑料股份有限公司 Semiconductor processing components and semiconductor processing utilizing same
JP2006186306A (en) * 2004-09-30 2006-07-13 Toshiba Ceramics Co Ltd Gas diffusion plate and manufacturing method thereof
US7226869B2 (en) * 2004-10-29 2007-06-05 Lam Research Corporation Methods for protecting silicon or silicon carbide electrode surfaces from morphological modification during plasma etch processing
WO2006064898A1 (en) * 2004-12-17 2006-06-22 Tokyo Electron Limited Plasma processing apparatus
US9659758B2 (en) 2005-03-22 2017-05-23 Honeywell International Inc. Coils utilized in vapor deposition applications and methods of production
JP2006319043A (en) * 2005-05-11 2006-11-24 Hitachi High-Technologies Corp Plasma processor
US8124240B2 (en) * 2005-06-17 2012-02-28 Tohoku University Protective film structure of metal member, metal component employing protective film structure, and equipment for producing semiconductor or flat-plate display employing protective film structure
US20090194233A1 (en) * 2005-06-23 2009-08-06 Tokyo Electron Limited Component for semicondutor processing apparatus and manufacturing method thereof
JP4813115B2 (en) * 2005-07-14 2011-11-09 国立大学法人東北大学 Semiconductor manufacturing apparatus member and cleaning method thereof
US7976641B1 (en) 2005-09-30 2011-07-12 Lam Research Corporation Extending storage time of removed plasma chamber components prior to cleaning thereof
WO2007055185A1 (en) * 2005-11-08 2007-05-18 Tohoku University Shower plate and plasma treatment apparatus using shower plate
US20070108161A1 (en) * 2005-11-17 2007-05-17 Applied Materials, Inc. Chamber components with polymer coatings and methods of manufacture
JP4856978B2 (en) * 2006-02-21 2012-01-18 株式会社日立ハイテクノロジーズ Plasma etching apparatus and method for forming inner wall of processing chamber
US20070215278A1 (en) * 2006-03-06 2007-09-20 Muneo Furuse Plasma etching apparatus and method for forming inner wall of plasma processing chamber
JP5014656B2 (en) * 2006-03-27 2012-08-29 国立大学法人東北大学 Plasma processing apparatus member and manufacturing method thereof
US7906032B2 (en) * 2006-03-31 2011-03-15 Tokyo Electron Limited Method for conditioning a process chamber
US20070246795A1 (en) * 2006-04-20 2007-10-25 Micron Technology, Inc. Dual depth shallow trench isolation and methods to form same
US7655328B2 (en) * 2006-04-20 2010-02-02 Shin-Etsu Chemical Co., Ltd. Conductive, plasma-resistant member
US7884026B2 (en) * 2006-07-20 2011-02-08 United Microelectronics Corp. Method of fabricating dual damascene structure
US20080029032A1 (en) * 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
CN101123214B (en) * 2006-08-07 2011-03-16 联华电子股份有限公司 Making method for dual enchasing structure
JPWO2008032627A1 (en) * 2006-09-11 2010-01-21 株式会社アルバック Dry etching method
US7723648B2 (en) * 2006-09-25 2010-05-25 Tokyo Electron Limited Temperature controlled substrate holder with non-uniform insulation layer for a substrate processing system
US7838800B2 (en) * 2006-09-25 2010-11-23 Tokyo Electron Limited Temperature controlled substrate holder having erosion resistant insulating layer for a substrate processing system
JP2008103403A (en) * 2006-10-17 2008-05-01 Tokyo Electron Ltd Substrate mount table and plasma treatment apparatus
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US8097105B2 (en) * 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
US20080196661A1 (en) * 2007-02-20 2008-08-21 Brian West Plasma sprayed deposition ring isolator
US7718559B2 (en) * 2007-04-20 2010-05-18 Applied Materials, Inc. Erosion resistance enhanced quartz used in plasma etch chamber
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
JPWO2008140012A1 (en) * 2007-05-11 2010-08-05 株式会社アルバック Dry etching apparatus and dry etching method
US20080289766A1 (en) * 2007-05-22 2008-11-27 Samsung Austin Semiconductor Lp Hot edge ring apparatus and method for increased etch rate uniformity and reduced polymer buildup
US8622021B2 (en) * 2007-10-31 2014-01-07 Lam Research Corporation High lifetime consumable silicon nitride-silicon dioxide plasma processing components
US8129029B2 (en) * 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
US20090214825A1 (en) * 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
JP2009212293A (en) * 2008-03-04 2009-09-17 Tokyo Electron Ltd Component for substrate treatment apparatus, and substrate treatment apparatus
US20090261065A1 (en) * 2008-04-18 2009-10-22 Lam Research Corporation Components for use in a plasma chamber having reduced particle generation and method of making
US8120137B2 (en) * 2008-05-08 2012-02-21 Micron Technology, Inc. Isolation trench structure
CN101577211B (en) * 2008-05-09 2011-07-20 中微半导体设备(上海)有限公司 Reaction chamber component resisting plasma corrosion, preparation method thereof and plasma reaction chamber comprising same
JP5390166B2 (en) * 2008-10-30 2014-01-15 株式会社日本セラテック Corrosion resistant material
JP5390167B2 (en) * 2008-10-30 2014-01-15 株式会社日本セラテック Corrosion resistant material
US8206829B2 (en) * 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
TWI456679B (en) * 2009-03-27 2014-10-11 Advanced Micro Fab Equip Inc Reaction chamber component resistant to plasma corrosion, method of manufacturing the same, and plasma reaction chamber containing the same
JP5837733B2 (en) * 2009-04-24 2015-12-24 国立大学法人東北大学 Water generation reactor
CN102414801A (en) * 2009-08-27 2012-04-11 应用材料公司 Method of decontamination of process chamber after in-situ chamber clean
US20110206833A1 (en) * 2010-02-22 2011-08-25 Lam Research Corporation Extension electrode of plasma bevel etching apparatus and method of manufacture thereof
CN102296263B (en) * 2010-06-25 2013-04-24 中国科学院微电子研究所 Modification treatment method for inner surface of plasma etching process chamber
KR101487342B1 (en) 2010-07-30 2015-01-30 주식회사 잉크테크 Method for manufacturing transparent conductive layer and transparent conductive layer manufactured by the method
JP5606821B2 (en) * 2010-08-04 2014-10-15 東京エレクトロン株式会社 Plasma processing equipment
JP5389282B2 (en) * 2010-08-12 2014-01-15 株式会社東芝 Gas supply member, plasma processing apparatus, and method for forming yttria-containing film
JP5198611B2 (en) * 2010-08-12 2013-05-15 株式会社東芝 Gas supply member, plasma processing apparatus, and method for forming yttria-containing film
US20120052216A1 (en) * 2010-08-27 2012-03-01 Applied Materials, Inc. Gas distribution showerhead with high emissivity surface
KR101108692B1 (en) * 2010-09-06 2012-01-25 한국기계연구원 Dense rare earth metal oxides coating to seal the porous ceramic surface, and the method of rare earth metal oxides coating layer
CN102456564A (en) * 2010-10-29 2012-05-16 中芯国际集成电路制造(上海)有限公司 Transformer-coupled plasma (TCP) window for etching cavity and etching cavity comprising same
DE202011002844U1 (en) 2011-02-17 2011-06-09 LAM RESEARCH CORPORATION (Delaware Corporation), California Extension electrode of a plasma impact edge etching apparatus
JP2012221979A (en) * 2011-04-04 2012-11-12 Toshiba Corp Plasma processing apparatus
JP2014522916A (en) 2011-08-10 2014-09-08 インテグリス・インコーポレーテッド AlON coated substrate with optional yttria coating layer
US20130102156A1 (en) * 2011-10-21 2013-04-25 Lam Research Corporation Components of plasma processing chambers having textured plasma resistant coatings
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9090046B2 (en) * 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
JP2015521102A (en) * 2012-05-10 2015-07-27 ザ ユニバーシティ オブ コネチカット Method and apparatus for producing a catalyst membrane
KR101637801B1 (en) * 2012-05-22 2016-07-07 가부시끼가이샤 도시바 Component for plasma processing apparatus, and method for manufacturing component for plasma processing apparatus
KR101466967B1 (en) 2012-06-13 2014-12-15 한국과학기술연구원 Multi-component ceramic coating material for thermal spray and fabrication method and coating method thereof
US20140007901A1 (en) * 2012-07-06 2014-01-09 Jack Chen Methods and apparatus for bevel edge cleaning in a plasma processing system
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
CN103794460B (en) * 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 The coating improved for performance of semiconductor devices
CN103794458B (en) * 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 For the parts within plasma process chamber and manufacture method
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US9385018B2 (en) 2013-01-07 2016-07-05 Samsung Austin Semiconductor, L.P. Semiconductor manufacturing equipment with trace elements for improved defect tracing and methods of manufacture
KR102094304B1 (en) * 2013-02-05 2020-03-30 (주) 코미코 Method of treating a surface and ceramic structure useof
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9123651B2 (en) 2013-03-27 2015-09-01 Lam Research Corporation Dense oxide coated component of a plasma processing chamber and method of manufacture thereof
JP6076838B2 (en) * 2013-05-31 2017-02-08 住友重機械イオンテクノロジー株式会社 Insulation structure and insulation method
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9637415B2 (en) 2013-10-24 2017-05-02 Surmet Corporation Method of making high purity polycrystalline aluminum oxynitride bodies useful in semiconductor process chambers
US20150126036A1 (en) * 2013-11-05 2015-05-07 Tokyo Electron Limited Controlling etch rate drift and particles during plasma processing
TWI751098B (en) * 2013-11-21 2022-01-01 美商恩特葛瑞斯股份有限公司 Component of plasma-wetted system applied with coating and use of coating
CN104701125A (en) * 2013-12-05 2015-06-10 中微半导体设备(上海)有限公司 Gas distributing plate
US9873940B2 (en) * 2013-12-31 2018-01-23 Lam Research Corporation Coating system and method for coating interior fluid wetted surfaces of a component of a semiconductor substrate processing apparatus
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9869013B2 (en) * 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10730798B2 (en) * 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
JP6714978B2 (en) 2014-07-10 2020-07-01 東京エレクトロン株式会社 Parts for plasma processing apparatus, plasma processing apparatus, and method for manufacturing parts for plasma processing apparatus
KR101465640B1 (en) * 2014-08-08 2014-11-28 주식회사 펨빅스 CVD Process Chamber Components with Anti-AlF3 Coating Layer
JP6868553B2 (en) * 2014-08-15 2021-05-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Methods and equipment for processing wafers with compressive or tensile stress at high temperatures in a plasma chemical vapor deposition system
JP6544902B2 (en) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 Plasma processing system
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
JP6396819B2 (en) * 2015-02-03 2018-09-26 東京エレクトロン株式会社 Plasma processing method and plasma processing apparatus
WO2016148739A1 (en) 2015-03-18 2016-09-22 Entegris, Inc. Articles coated with fluoro-annealed films
KR102376982B1 (en) * 2015-04-14 2022-03-21 삼성전자주식회사 Remote plasma generator for reducing particles by using ceramic
KR20160124992A (en) * 2015-04-20 2016-10-31 삼성전자주식회사 apparatus for manufacturing a substrate and ceramic film coating method of the same
KR102447682B1 (en) * 2015-05-29 2022-09-27 삼성전자주식회사 Methods of forming coating layer, plasma treatment apparatus and methods of forming patterns
US20160358749A1 (en) * 2015-06-04 2016-12-08 Lam Research Corporation Plasma etching device with plasma etch resistant coating
KR20170006807A (en) 2015-07-09 2017-01-18 (주)티티에스 Component parts of process chamber and yttria deposition method on componet parts using chemical vapor deposition
KR20170015615A (en) * 2015-07-29 2017-02-09 삼성전자주식회사 apparatus for processing plasma
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10854492B2 (en) * 2015-08-18 2020-12-01 Lam Research Corporation Edge ring assembly for improving feature profile tilting at extreme edge of wafer
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6573820B2 (en) 2015-11-09 2019-09-11 東京エレクトロン株式会社 Plasma processing apparatus member and plasma processing apparatus
KR20180083912A (en) 2015-11-16 2018-07-23 쿠어스 테크, 인코포레이티드 Corrosion-resistant parts and manufacturing methods
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
KR102504290B1 (en) * 2015-12-04 2023-02-28 삼성전자 주식회사 Preparing method for hydrogen plasma annealing treatment, method for hydrogen plasma annealing treatment, and apparatus therefor
US10488397B2 (en) 2016-04-05 2019-11-26 University Of Connecticut Metal oxide based sensors for sensing low concentration of specific gases prepared by a flame based process
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP6908973B2 (en) * 2016-06-08 2021-07-28 三菱重工業株式会社 Manufacturing methods for thermal barrier coatings, turbine components, gas turbines, and thermal barrier coatings
KR101885570B1 (en) * 2016-07-05 2018-08-07 세메스 주식회사 Window member, method for manufacturing the same, and substrate treatment apparatus comprising the same
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
CN109963825B (en) * 2016-11-16 2022-08-09 阔斯泰公司 Corrosion resistant assembly and method of manufacture
US9773665B1 (en) * 2016-12-06 2017-09-26 Applied Materials, Inc. Particle reduction in a physical vapor deposition chamber
KR101877017B1 (en) * 2017-01-09 2018-07-12 한국과학기술연구원 Semiconductor reactor and method of forming coating layer on metallic substrate for semiconductor reactor
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
CN110546733B (en) * 2017-03-31 2022-10-11 玛特森技术公司 Preventing material deposition on a workpiece in a processing chamber
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
WO2019026818A1 (en) * 2017-07-31 2019-02-07 株式会社 東芝 Component and semiconductor manufacturing device
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP7239935B2 (en) * 2017-09-01 2023-03-15 学校法人 芝浦工業大学 Components and semiconductor manufacturing equipment
US11183373B2 (en) 2017-10-11 2021-11-23 Honeywell International Inc. Multi-patterned sputter traps and methods of making
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
EP3738136A4 (en) * 2018-01-08 2021-10-06 LAM Research Corporation Components and processes for managing plasma process byproduct materials
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
TWI766433B (en) 2018-02-28 2022-06-01 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11087961B2 (en) * 2018-03-02 2021-08-10 Lam Research Corporation Quartz component with protective coating
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11139151B1 (en) * 2018-03-15 2021-10-05 Intel Corporation Micro-surface morphological matching for reactor components
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
KR102621279B1 (en) * 2018-12-05 2024-01-05 교세라 가부시키가이샤 Members for plasma processing devices and plasma processing devices comprising the same
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP6801773B2 (en) * 2019-02-27 2020-12-16 Toto株式会社 Semiconductor manufacturing equipment and display manufacturing equipment including semiconductor manufacturing equipment members and semiconductor manufacturing equipment members
JP2020141123A (en) 2019-02-27 2020-09-03 Toto株式会社 Member for semiconductor manufacturing device, semiconductor manufacturing device having the same, and display manufacturing device
WO2020180502A1 (en) * 2019-03-01 2020-09-10 Lam Research Corporation Surface coating for aluminum plasma processing chamber components
US20220115214A1 (en) * 2019-03-05 2022-04-14 Lam Research Corporation Laminated aerosol deposition coating for aluminum components for plasma processing chambers
CN112017932B (en) * 2019-05-31 2022-11-29 中微半导体设备(上海)股份有限公司 Corrosion-resistant structure of gas delivery system in plasma processing device
KR20210012178A (en) * 2019-07-24 2021-02-03 삼성전자주식회사 Substrate treating apparatus and substrate treating system having the same
US20210032750A1 (en) * 2019-07-31 2021-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Deposition apparatus and method of forming metal oxide layer using the same
JP7366234B2 (en) * 2019-08-09 2023-10-20 アプライド マテリアルズ インコーポレイテッド Protective multilayer coating for processing chamber parts
CN112713072B (en) * 2019-10-24 2024-03-12 中微半导体设备(上海)股份有限公司 Internal parts of plasma processing chamber and method for manufacturing the same
KR102225604B1 (en) * 2019-12-18 2021-03-10 피에스케이 주식회사 A substrate processing apparatus
JP2023511102A (en) * 2020-01-23 2023-03-16 ラム リサーチ コーポレーション Yttrium aluminum coating for plasma processing chamber components
US11661650B2 (en) 2020-04-10 2023-05-30 Applied Materials, Inc. Yttrium oxide based coating composition
US11881385B2 (en) * 2020-04-24 2024-01-23 Applied Materials, Inc. Methods and apparatus for reducing defects in preclean chambers
CN113802094B (en) * 2020-06-16 2024-04-05 中微半导体设备(上海)股份有限公司 Coating method of corrosion-resistant coating, plasma etched part and reaction device
US20220013336A1 (en) * 2020-07-10 2022-01-13 Applied Materials, Inc. Process kit with protective ceramic coatings for hydrogen and nh3 plasma application
US20220037126A1 (en) * 2020-08-03 2022-02-03 Applied Materials, Inc. Fluoride coating to improve chamber performance
KR102496309B1 (en) 2020-09-25 2023-02-07 한국과학기술연구원 Method for forming plasma resistant coating layer using laser
KR102497053B1 (en) 2020-09-25 2023-02-08 한국과학기술연구원 Densification method of plasma resistant coating layer using laser sintering
US20230366074A1 (en) * 2022-05-16 2023-11-16 Andrei V. Ivanov Oxygen Interception for Air Plasma Spray Processes

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3961111A (en) * 1975-03-18 1976-06-01 Pennwalt Corporation Method of increasing corrosion resistance of anodized aluminum
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US5262029A (en) 1988-05-23 1993-11-16 Lam Research Method and system for clamping semiconductor wafers
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
JPH03287797A (en) * 1990-04-03 1991-12-18 Sumitomo Electric Ind Ltd Corrosion resistant member
US5200232A (en) 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
JP3308091B2 (en) * 1994-02-03 2002-07-29 東京エレクトロン株式会社 Surface treatment method and plasma treatment device
US5798016A (en) 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
DE9421671U1 (en) 1994-08-26 1996-07-11 Siemens Ag Discharge chamber for a plasma etching system in semiconductor production
JP3164200B2 (en) 1995-06-15 2001-05-08 住友金属工業株式会社 Microwave plasma processing equipment
US5824605A (en) 1995-07-31 1998-10-20 Lam Research Corporation Gas dispersion window for plasma apparatus and method of use thereof
US5838529A (en) 1995-12-22 1998-11-17 Lam Research Corporation Low voltage electrostatic clamp for substrates such as dielectric substrates
US6048798A (en) 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5863376A (en) 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US5788799A (en) 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
US5916454A (en) * 1996-08-30 1999-06-29 Lam Research Corporation Methods and apparatus for reducing byproduct particle generation in a plasma processing chamber
KR100296692B1 (en) 1996-09-10 2001-10-24 사토 도리 Plasma CVD
US6152070A (en) 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US6388226B1 (en) 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
KR100311487B1 (en) 1997-12-16 2001-11-15 김영환 Method for etching of oxidation film
US6123791A (en) 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6227140B1 (en) 1999-09-23 2001-05-08 Lam Research Corporation Semiconductor processing equipment having radiant heated ceramic liner
JP3510993B2 (en) 1999-12-10 2004-03-29 トーカロ株式会社 Plasma processing container inner member and method for manufacturing the same
KR20010062209A (en) 1999-12-10 2001-07-07 히가시 데쓰로 Processing apparatus with a chamber having therein a high-etching resistant sprayed film
JP2001250814A (en) * 2000-03-06 2001-09-14 Hitachi Ltd Plasma treatment device
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
US6645585B2 (en) 2000-05-30 2003-11-11 Kyocera Corporation Container for treating with corrosive-gas and plasma and method for manufacturing the same
JP4651166B2 (en) * 2000-06-30 2011-03-16 京セラ株式会社 Corrosion resistant material
JP2002033309A (en) * 2000-07-18 2002-01-31 Hitachi Ltd Plasma treatment equipment and manufacturing method of part for the equipment
TWI290589B (en) * 2000-10-02 2007-12-01 Tokyo Electron Ltd Vacuum processing device
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6776873B1 (en) * 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See references of WO2004003962A3 *

Also Published As

Publication number Publication date
EP1518255B1 (en) 2012-02-08
CN1663017A (en) 2005-08-31
TW200412827A (en) 2004-07-16
KR20050008855A (en) 2005-01-21
KR101030935B1 (en) 2011-04-28
US20050150866A1 (en) 2005-07-14
KR101107542B1 (en) 2012-02-08
ATE545148T1 (en) 2012-02-15
WO2004003962A3 (en) 2004-04-01
US20040002221A1 (en) 2004-01-01
TWI328411B (en) 2010-08-01
JP2010283361A (en) 2010-12-16
AU2003238006A1 (en) 2004-01-19
KR20110015676A (en) 2011-02-16
WO2004003962A2 (en) 2004-01-08
JP2005531157A (en) 2005-10-13
US7300537B2 (en) 2007-11-27
US7311797B2 (en) 2007-12-25
AU2003238006A8 (en) 2004-01-19

Similar Documents

Publication Publication Date Title
US7311797B2 (en) Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US6780787B2 (en) Low contamination components for semiconductor processing apparatus and methods for making components
KR100882758B1 (en) Cerium oxide containing ceramic components and coatings in semiconductor processing equipment
KR100898531B1 (en) Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof
US7128804B2 (en) Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 20050121

AK Designated contracting states

Kind code of ref document: A2

Designated state(s): AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LI LU MC NL PT RO SE SI SK TR

AX Request for extension of the european patent

Extension state: AL LT LV MK

DAX Request for extension of the european patent (deleted)
RIN1 Information on inventor provided before grant (corrected)

Inventor name: DAUGHERTY, JOHN, E.

Inventor name: O'DONNELL, ROBERT, J.

17Q First examination report despatched

Effective date: 20090323

GRAP Despatch of communication of intention to grant a patent

Free format text: ORIGINAL CODE: EPIDOSNIGR1

GRAS Grant fee paid

Free format text: ORIGINAL CODE: EPIDOSNIGR3

GRAA (expected) grant

Free format text: ORIGINAL CODE: 0009210

AK Designated contracting states

Kind code of ref document: B1

Designated state(s): AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LI LU MC NL PT RO SE SI SK TR

REG Reference to a national code

Ref country code: GB

Ref legal event code: FG4D

REG Reference to a national code

Ref country code: CH

Ref legal event code: EP

Ref country code: AT

Ref legal event code: REF

Ref document number: 545148

Country of ref document: AT

Kind code of ref document: T

Effective date: 20120215

REG Reference to a national code

Ref country code: DE

Ref legal event code: R096

Ref document number: 60339949

Country of ref document: DE

Effective date: 20120405

REG Reference to a national code

Ref country code: NL

Ref legal event code: VDEP

Effective date: 20120208

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: NL

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20120208

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: FI

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20120208

Ref country code: PT

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20120608

Ref country code: BE

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20120208

Ref country code: GR

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20120509

REG Reference to a national code

Ref country code: AT

Ref legal event code: MK05

Ref document number: 545148

Country of ref document: AT

Kind code of ref document: T

Effective date: 20120208

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: CY

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20120208

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: RO

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20120208

Ref country code: SE

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20120208

Ref country code: EE

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20120208

Ref country code: CZ

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20120208

Ref country code: DK

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20120208

Ref country code: SI

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20120208

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: SK

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20120208

Ref country code: IT

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20120208

PLBE No opposition filed within time limit

Free format text: ORIGINAL CODE: 0009261

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: NO OPPOSITION FILED WITHIN TIME LIMIT

26N No opposition filed

Effective date: 20121109

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: AT

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20120208

Ref country code: MC

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20120630

REG Reference to a national code

Ref country code: CH

Ref legal event code: PL

REG Reference to a national code

Ref country code: CH

Ref legal event code: PL

GBPC Gb: european patent ceased through non-payment of renewal fee

Effective date: 20120612

REG Reference to a national code

Ref country code: DE

Ref legal event code: R097

Ref document number: 60339949

Country of ref document: DE

Effective date: 20121109

REG Reference to a national code

Ref country code: IE

Ref legal event code: MM4A

REG Reference to a national code

Ref country code: FR

Ref legal event code: ST

Effective date: 20130228

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: GB

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20120612

Ref country code: LI

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20120630

Ref country code: ES

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20120519

Ref country code: IE

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20120612

Ref country code: CH

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20120630

Ref country code: FR

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20120702

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: BG

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20120508

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: TR

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20120208

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: LU

Free format text: LAPSE BECAUSE OF NON-PAYMENT OF DUE FEES

Effective date: 20120612

PG25 Lapsed in a contracting state [announced via postgrant information from national office to epo]

Ref country code: HU

Free format text: LAPSE BECAUSE OF FAILURE TO SUBMIT A TRANSLATION OF THE DESCRIPTION OR TO PAY THE FEE WITHIN THE PRESCRIBED TIME-LIMIT

Effective date: 20030612

PGFP Annual fee paid to national office [announced via postgrant information from national office to epo]

Ref country code: DE

Payment date: 20220629

Year of fee payment: 20

REG Reference to a national code

Ref country code: DE

Ref legal event code: R071

Ref document number: 60339949

Country of ref document: DE

P01 Opt-out of the competence of the unified patent court (upc) registered

Effective date: 20231021