CN1663017A - 等离子体反应器的产量增进热喷涂含氧化钇涂层 - Google Patents

等离子体反应器的产量增进热喷涂含氧化钇涂层 Download PDF

Info

Publication number
CN1663017A
CN1663017A CN038150239A CN03815023A CN1663017A CN 1663017 A CN1663017 A CN 1663017A CN 038150239 A CN038150239 A CN 038150239A CN 03815023 A CN03815023 A CN 03815023A CN 1663017 A CN1663017 A CN 1663017A
Authority
CN
China
Prior art keywords
coating
assembly
plasma
substrate
alloy
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN038150239A
Other languages
English (en)
Inventor
罗伯特·J·欧唐奈
约翰·E·多尔蒂
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN1663017A publication Critical patent/CN1663017A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Mechanical Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Metallurgy (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Coating By Spraying Or Casting (AREA)
  • Plasma Technology (AREA)

Abstract

本发明涉及一种包含热喷涂含氧化钇涂层的半导体处理设备的组件,所述涂层在等离子体大气中提供抗侵蚀、腐蚀和/或腐蚀-侵蚀性。所述涂层可以保护基板以免受到物理和/或化学破坏。

Description

等离子体反应器的产量增进热喷涂含氧化钇涂层
技术领域
本发明涉及一种半导体材料处理设备的组件,所述组件由在半导体材料处理过程中可降低半导体材料污染的材料形成。本发明还涉及制造所述组件的方法。
背景技术
在半导体材料处理领域中,将真空处理室用于材料在基板上的蚀刻和化学气相沉积(CVD)。生产气体流进处理室中,同时将一射频(RF)场应用到所述生产气体以产生生产气体等离子体。所述等离子体执行经选择的材料在晶圆上所需的蚀刻或沉积。平行板、也称为感应耦合等离子体体(ICP)的变压耦合等离子体体(TCPTM)及电子-回旋加速器谐振源(ECR)反应器及其组件的实例揭示于共同拥有的美国专利第4,340,462号、第4,948,458号、第5,200,232号及第5,820,723号中。
如(例如)美国专利第5,262,029号和第5,838,529号中所揭示,在半导体基板处理过程中,所述基板一般由基板固定器支撑于真空室中。可利用各种气体供应系统将生产气体提供给该室。
除等离子体室装备外,用于处理半导体基板的其它装备包括:传送机制、衬垫、提升机制、装载锁定(load lock)、门机制、机械手臂、紧固件等等。
等离子体由在基板上的蚀刻或沉积而用于去除材料。等离子体蚀刻的条件造成暴露在等离子体中的处理室的表面的明显离子轰击。这个离子轰击,与等离子体化学物和/或蚀刻副产物结合,可造成该处理室的等离子体暴露表面的明显侵蚀、腐蚀和腐蚀-侵蚀。结果,由物理和/或化学破坏,包括侵蚀、腐蚀及/腐蚀-侵蚀来去除所述表面材料。此破坏造成以下问题:包括短的零件寿命、增加的消耗成本、微粒污染、晶圆上过渡金属污染及方法偏移(process drift)。
根据这些问题,等离子体处理室已被设计成包括例如盘、环及圆柱的零件,其将等离子体限制在欲处理的晶圆上。然而,这些零件不断地被等离子体侵蚀,且因此最后侵蚀或累积聚合物构造。最终,这些零件遭受这种损耗而使其不再可用。具有相对短寿命的这些零件一般称为“消耗品”。如果所述消耗零件的寿命很短,那么物主的成本就很高。消耗品和其它零件的侵蚀产生等离子体处理室的污染。
因为所述反应器中等离子体环境的侵蚀和腐蚀性能,以及最小化粒子和/或金属污染的需求,所以希望所述装备的组件(包括消耗品和其它零件)具有适当高的抗侵蚀性和抗腐蚀性。零件已由在等离子体环境中提供抗腐蚀性和抗侵蚀性的材料形成。参看(例如)美国专利第5,798,016号、第5,911,852号、第6,123,791号和第6,352,611号。
发明内容
本发明提供半导体处理设备的组件,其由可对在等离子体处理环境中的物理和/或化学侵蚀提供经改良的抗损耗性的材料制成。该等组件相对于金属和微粒可提供低程度的污染。
根据本发明,半导体处理设备组件的一示范性实施例包含一个基板,其包括一表面和所述表面上的一热喷涂含氧化钇涂层。该涂层包含该组件的最外层表面。
制造根据本发明的半导体处理设备组件的方法的一示范性实施例包含由热喷涂在所述组件的一个表面上形成一含氧化钇涂层。该涂层包含所述组件的最外层表面。
在一优选实施例中,所述热喷涂含氧化钇涂层可直接形成于基板表面上。
此外,本发明提供包括至少一个上述含氧化钇的组件的半导体处理设备。
附图说明
通过以下详细描述,结合附图,不难了解本发明。
图1说明一常规等离子体喷涂方法。
图2展示根据本发明的一示范性实施例用于一个等离子体蚀刻设备的汽环的横截面图。
图3展示一个含有根据本发明的组件的示范性实施例的蚀刻室。
图4展示另一含有根据本发明的组件的示范性实施例的蚀刻室。
图5展示一个根据本发明的保护涂层的示范性实施例。
图6展示另一根据本发明的保护涂层的示范性实施例。
图7展示根据本发明以一含氧化钇涂层涂覆以及未经涂覆的氧化物晶圆和裸硅晶圆的污染程度。
具体实施方式
本发明提供适用于半导体材料处理设备的组件。该等组件可相对于在处理过程中于半导体材料处理设备中所产生的等离子体的物理和化学破坏而提供抗损耗性。如本文所使用,术语“抗损耗”包括(但不限于)抗侵蚀、抗腐蚀和/或抗腐蚀-侵蚀。
所述组件包含一个含氧化钇涂层。该含氧化钇涂层提供一个对半导体处理设备中的等离子体的物理和化学破坏具有抵抗力的外部表面。
此外,本发明提供制造组件的方法,所述组件包含含氧化钇的涂层以增进在等离子体环境中组件的抗损耗性。
所属领域的技术人员应了解抗损耗材料可应用至用于处理不同半导体材料的不同处理设备。此外,所述抗损耗材料可以应用于该等处理设备的不同组件上。所述示范性组件包括(但不限于)一个等离子体和/或真空室的零件,诸如(例如):室壁、基板支撑件、气体分布系统(包括莲蓬头(showerhead)、挡板、环、喷嘴等等)、紧固件、加热单元、等离子体屏、衬垫、传送模块组件(诸如机械手臂、紧固件、内室壁和外室壁等等)。
含氧化钇材料优选基本上由氧化钇组成。为了试着将在并入一或多个包含含氧化钇材料的组件的设备中处理的半导体材料的污染最小化,希望含氧化钇的材料尽可能的纯,例如,包括最小量的潜在污染单元,诸如过渡金属、碱金属等等。例如,含氧化钇涂层可以足够纯而避免1010个原子/cm2或更高、优选105个原子/cm2或更高的晶圆上的污染。优选地,所述含氧化钇材料具有至少约为99%的高纯度,并且更优选地为从约99.95%到约100%。
该含氧化钇涂层可以为下方的基板提供高粘接强度。优选地,该含氧化钇涂层具有从约2000psi到约7000psi的粘接抗张强度。
该含氧化钇涂层可以提供一低的孔隙度程度,其有利于最小化侵蚀性大气与下方的基板的接触,且因此随后最小化由侵蚀性大气而导致的基板的腐蚀、侵蚀和/或腐蚀-侵蚀所造成的物理和/或化学破坏。优选地,所述含氧化钇涂层具有一个以体积计小于15%、更优选的是以体积计少于小约3%、并且最优选的是以体积计小于1%的孔隙度。
此外,所述含氧化钇涂层可以提供一高硬度以抵抗侵蚀。优选地,陶瓷材料具有从约200到约800的硬度(HVO3)。
所述含氧化钇涂层具有一个晶体结构,其优选从约10%到约100%立方,并且更优选大于约95%立方。
所述含氧化钇涂层可以具有从纯白到深灰/黑色的范围的颜色。该等涂层优选为白色。
所述含氧化钇涂层可以提供用于例如等离子体蚀刻室的半导体处理设备中的所需的抗损耗特性。特别是,所述含氧化钇涂层提供可以降低等离子体反应器室中的离子诱导侵蚀和相关程度的微粒污染的表面。该含氧化钇涂层可以保护下方的基板免受等离子体的物理破坏和化学破坏。
所述抗损耗涂层在各种不同等离子体大气中可以用于蚀刻、沉积以及其它应用。一般蚀刻化学物包括:例如,含氯气体,包括(但不限于)Cl2、HCl和BCl3;含溴气体,包括(但不限于)Br2和HBr;含氧气体,包括(但不限于)O2、H2O和SO2;含氟气体,包括(但不限于)CF4、CH2F2、NF3、CH3F、C2F6、CHF3和SF6;以及惰性气体和其它气体,包括(但不限于)He、Ar和N2。根据所需的等离子体,可以任何适当组合使用这些和其它气体。蚀刻化学物的一般最大流速为:Cl2,200sccm;HCl,100sccm;BCl3,200sccm;HBr,200sccm;O2,20sccm;H2O,100 sccm;SO2,200sccm;CF4,200sccm;CH2F2,100sccm;CH3F,100sccm;C2F6,100sccm;CHF3,100sccm;SF6,200sccm;He,200sccm;Ar,200sccm和N2,200sccm。不同生产气体的适当流速可基于以下因素而选择:包括(但不限于)等离子体反应器的类型、电源设定、室压、等离子体解离速率、蚀刻化学物、被蚀刻的材料和在使用生产气体的蚀刻处理的特别步骤。
一个高密度等离子体反应器的示范性等离子体蚀刻反应器的蚀刻操作条件如下:基板温度从约0℃到约70℃;室压从约0毫托到约100毫托;气体流速从约10sccm到约1000sccm;以及等离子体产生电源从大于0瓦到约1500瓦,且一般从约200瓦到约800瓦。最适当的电源取决于在等离子体反应器中蚀刻的晶圆类型。
包括含氧化钇涂层的组件可以用于半导体处理设备的蚀刻室中,以供在半导体等离子体蚀刻处理中蚀刻含硅和含金属的材料。例如,可以在所述蚀刻室中蚀刻的含硅材料包括(但不限于):单晶硅、多晶硅、非晶硅、氮化硅、氮氧化硅、硅化物、二氧化硅、低k材料和高k材料。该等含硅材料可以经掺杂或未经掺杂和/或经退火或未经退火。
可以蚀刻的含导电或半导电金属的材料包括(但不限于):铝、铝合金、钨、钨合金、钛、钛合金、钽、钽合金、铂、铂合金、钌、钌合金、铬、铬合金、铁、铁合金、镍、镍合金、钴、钴合金、钼、钼合金,钛、钨、铬、钴和/或钼的硅化物,例如硅化铂和氧化钌的铁电材料,和例如氮化钽、硅化铬和NiFeCo合金的GMR材料。
优选用热喷涂技术将所述含氧化钇的涂层形成于一个基板上。在热喷涂技术中,将陶瓷粉末熔化并且并入导向被喷涂的组件的气流中。热喷涂技术的一个优点为所述组件仅在面对热喷枪的侧面被涂布,并且遮蔽可以用于保护其它区域。Pawlowski的The Science and Engineering of Thermal SprayCoating(John Wiley,1995)中描述了常规热喷涂技术,包括等离子体喷涂。此描述以引用的方式全部并入本文中。所述热喷涂含氧化钇涂层可以形成于任一个适当成形以使其能被涂覆的基板上。
特别优选的热喷涂技术是等离子体喷涂。等离子体喷涂可以涂覆室的复杂内表面和其它室组件。图1说明一种一般的等离子体喷涂方法。通常经由外部粉末口132将通常为粉末112形式的涂层材料注入到高温等离子体火焰114中。将所述粉末快速加热并且加速到一高速度。所述热材料冲击基板表面116并且快速冷却形成涂层118。
所述等离子体喷枪120包含阳极122和阴极124,两者均为水冷式的。等离子体气体126(例如,氩、氮、氢、氦)一般以箭头128所指示的方向流过阴极周围并且通过一阳极收缩嘴。该等离子体由一高压放电启动,其可导致局部离子化及使DC电弧形成于阴极124和阳极122之间的导电通路。自电弧的电阻加热使得气体形成等离子体。所述等离子体以一自由或中性等离子体火焰(即,不带电流的等离子体)的方式离开阳极喷嘴部分。在等离子体稳定且准备喷涂时,所述电弧延伸到喷嘴下方。所述粉末112快速加热且加速使得在喷嘴端与基板表面之间的喷涂距离136可约为125到150mm。经等离子体喷涂的涂层是使用熔化或加热软化的粒子冲击基板表面116而产生的。
可将所述热喷涂含氧化钇涂层直接形成于优选基板材料上,而有或无先处理该基板表面以提高涂层的粘附力,和/或有或没有先在基板上形成一个中间涂层以增强涂层在基板上的粘附力。例如,在未处理基板表面或使用中间涂层的情况下,可将所述含氧化钇涂层直接应用到阳极处理的铝、氧化铝或石英基板。在一优选实施例中,所述含氧化钇涂层提供至基板的适当的粘附力而未处理基板和/或形成中间层。因此,因为在未执行所述额外现有处理步骤的情况下,可将所述含氧化钇涂层涂覆到基板,所以可避免由所述额外处理步骤所造成的涂覆方法的增加的成本、复杂性和/或完成时间。
在基板上形成含氧化钇涂层之前,优选清洗待涂覆的基板表面以去除不需要的表面物质,诸如氧化物或油。在一些实施例中,可将诸如清洗和粒子爆破的表面处理技术用于为涂层接合提供更具化学和物理性的活性表面。而较不优选的是,在涂覆之前任一适当的方法(诸如喷砂法)可将基板表面变得粗糙。基板粗糙化增加涂层接合的表面积,其增加涂层接合强度。所述粗糙基板表面外形也可以促进涂层和基板的机械键控或联锁。
对铝反应器组件而言,在涂覆之前,优选阳极处理待涂布的组件表面,但不粗糙化所述经阳极处理的表面。所述经阳极处理的层提供一额外的屏障(即除了由涂层提供的保护外)以防止下方的铝材料的腐蚀破坏。形成于铝基板(诸如,6061-T6铝)上的经阳极处理的铝层可以具有任何适当的厚度。例如,所述经阳极处理的铝层厚度通常是从约2密耳到约10密耳。该经阳极处理的铝层表面可进行任何适当的抛光。例如,该表面抛光可以具有约20微英寸到约100微英寸的表面粗糙度。可以使用任一适当的技术(诸如,通过使用沸腾的去离子水)密封所述经阳极处理的层。
所述热喷涂含氧化钇的涂层可以具有所需要的表面粗糙度特征,其可有效的促进污染物对涂层的粘附力。该等污染沕可以包括聚合物沉积物,其由使用在等离子体蚀刻处理(诸如,金属蚀刻处理)过程中形成物种(通常为氟碳化合物)的聚合物而产生。如在以引用方式全部并入本文中的共同待决的美国专利申请案第09/749,917号中所描述,所述聚合物沉积物可以在蚀刻处理过程剥落或去皮室表面且污染室内的基板。在重复等离子体处理循环过程中发生的热循环恶化此问题。
所述热喷涂的含氧化钇涂层可具有表面粗糙度系数(Ra),其适合于增强在等离子体反应器中的基板处理过程中产生的聚合物副产物的粘附力。例如,该经热喷涂含氧化钇涂层的算术平均表面粗糙度(Ra)的范围可以为从约5微英寸到约400微英寸,并且优选从约120微英寸到约250微英寸。在此范围中的表面粗糙度系数促进了在等离子体蚀刻处理(例如,金属蚀刻)过程中沉积在反应室内表面上的聚合物的粘附力。因此,所述经热喷涂含氧化钇的涂层可以改良组件上的该等聚合物沉积物的粘附力,并且因此降低由于聚合物沉积物而引起的污染的发生。
然而,在一些优选实施例中,所述经热喷涂含氧化钇的涂层可以是光滑的。例如,在硅蚀刻处理中,显著沉积物倾向于形成于室表面。对于此等方法而言,并不希望经热喷涂的含氧化钇涂层具有粗糙表面以促进该等涂层上的沉积物的粘附力。此外,更光滑的表面相对容易清洗。
在一些优选实施例中,将包括含氧化钇涂层的该等组件用于高密度等离子体反应器中。这种类型的一种示范性反应器为TCP 9400TM等离子体蚀刻反应器,其可从加利福尼亚弗里蒙特的Lam Research公司购得。在TCP9400TM反应器中,将处理气体(例如,Cl2、HBr、CF4、CH2F2、O2、N2、Ar、SF6和NF3)导入位于蚀刻室底部的汽环中,并且接着通过气孔将其引入反应器室中。图2展示一个用于TCP 9400TM蚀刻反应器的汽环。如图2中所示,所述汽环40的主体围绕着基板支撑件44。汽环40的底部表面含有一个环形导气沟60。前述气孔50延伸到导气沟60。
所述汽环40一般由铝组成。该汽环的上表面直接暴露于等离子体中并且因此经受侵蚀、腐蚀和腐蚀-侵蚀。要保护这些表面,通常以一氧化铝层来覆盖该汽环。例如,在硅蚀刻应用中,含氟大气可通过侵蚀经阳极处理的铝而产生氟化铝“棕尘”。在金属蚀刻应用中,三氯化硼(BCl3)可以侵蚀掉所述经阳极处理的表面从而导致组件的腐蚀。此外在使用过程中,经阳极处理的铝是相对易脆的,并且在重复的反应器热循环过程种是可破裂的。形成于经阳极处理层中的破裂可以允许腐蚀性生产气体侵蚀下方的铝层,从而降低零件寿命并造成诸如晶圆、平板显示基板等等经处理基板的金属和粒子污染。
在示范性实施例中,可以含氧化钇材料的涂层42覆盖经暴露的汽环表面。所述涂层可形成于裸(有或无天然氧化物表面薄膜)铝基板上或氧化铝层(例如,具有经阳极处理的表面的铝)上。当涂覆所述汽环时,可允许将该涂层部分渗入气孔中以涂覆并保护其内壁,但不会阻塞开口。另外,所述气孔可以是未经涂覆的,例如,在涂覆处理过程中该等气孔可被堵塞或遮盖。
在处理过程种可以暴露于等离子体中的TCP 9400TM蚀刻反应器的其它组件也可以含氧化钇涂层来涂覆。这些组件包括(例如):室壁、室衬垫、夹紧装置和相对于基板的介电窗。在诸如静电夹盘的一个夹紧装置的上表面上提供含氧化钇的涂层,这样在不存在晶圆的清洗循环过程中给夹盘提供了额外的保护,且因此所述夹盘的上表面直接暴露于等离子体中。
可包括根据本发明的含氧化钇涂层的另一示范性多晶硅蚀刻反应器为VersysTM多晶硅蚀刻器或2300TM蚀刻器,其也可以从加利福尼亚弗里蒙特的Lam Research公司购得,如图3所示。该反应器包括一个反应器室150,该室包括一个包括静电夹盘154的基板支撑件152,该夹盘为安装于其上的基板(未图示)提供夹紧力。将聚焦环170安装于静电夹盘154周围的基板支撑件152上。所述基板支架152也可以用于将RF偏压施加到基板上。该基板也可以使用诸如氦的传热气体而进行背冷(back-cool)。在2300TM蚀刻器中,经由位于室150顶端且连接到气体进料156的气体注入器168将处理气体(例如,Cl2、HBr、CF4、CH2F2、O2、N2、Ar、SF6或NF3的一或多种)引入室150中。所述气体注入器168通常是由石英或诸如氧化铝的陶瓷材料制成。如所示,可通过适当的RF源(未图示)来为有感线圈158提供动力,从而提供高密度(例如,1011-1012离子/cm3)的等离子体。该有感线圈158经由介电窗160将RF能量耦合到室150内。所述介电窗160通常是由石英或氧化铝制成。该介电窗160展示为安装在环状构件162上。所述环状构件162将介电窗160与室150的顶端隔开,并且被称为“气体分布板”。室衬垫164围绕基板支撑件152。室150也可以包括适当的真空泵设备(未图示)以维持室内处于所需的压力。
在图3中,如下反应器组件的经选择的内表面根据本发明展示为被含氧化钇涂层166所涂覆:诸如环状构件162、介电窗160、基板支撑件152、室衬垫164、气体注入器168、聚焦环170和静电夹盘154。如图3中所展示,室150和室衬垫164下方的基板支撑件152的经选择的内表面也可以具有含氧化钇涂层166。这些表面的任一个或全部和任一其他内部反应器表面可具有含氧化钇涂层166。
该等组件可以用于高密度氧化物蚀刻处理中。一个示范性氧化物蚀刻反应器为TCP 9100TM等离子体蚀刻反应器,其可从加利福尼亚弗里蒙特的LamResearch公司购得。在TCP 9100TM反应器中,所述气体分布板为一直接位于TCPTM窗下方的圆形板,其也是一个位于高于并平行于半导体晶圆的平面中的反应器顶端的真空密封表面。将所述气体分布板密封至位于气体分布板周围的气体分布环。所述气体分布环将气体从气体源进料至由下列组件所定义的体积中:气体分布板、位于提供RF能量至反应器的游丝形线圈形式的天线下方的窗的内表面及气体分布环。所述气体分布板含有延伸穿过该板的具有标称直径的孔。可变化穿过所述气体分布板的孔的空间分布以优化待蚀刻的层的蚀刻均质性,该等层例如:光阻层、二氧化硅层和晶圆上的底层材料。可改变气体分布板的横截面形状以将RF动力分布用于反应器中的等离子体。所述气体分布板为一绝缘材料使得此RF动力穿过气体分布板耦合至反应器中。此外,希望气体分布板的材料在诸如氧、卤素或氢氟碳化物气体等离子体环境中是高度抗化学溅射-蚀刻的,以避免随之而产生的断路和合成粒子。
图4说明了一个前述类型的等离子体反应器。所述反应器包含反应室10。基板支撑件12包括静电夹盘34,其提供夹紧力和至一基板13的RF偏压。该基板也可以使用诸如氦的传热气体而进行背冷。聚焦环14将等离子体限于基板上方的一区域中。将用于维持室中高密度(例如1011-1012离子/cm3)的等离子体的能量源置于反应室10的顶端,所述能量源诸如以适当的RF源作为动力以提供高密度等离子体的天线18。所述反应室包括一个用于将室内部维持在所需压力(例如,低于50毫托,通常为1-20毫托)的真空泵设备。
一在天线18和处理室10的内部提供一个大体平坦的介电窗20,且其在处理室10的顶端形成真空壁。在窗20下方提供气体分布板22,且其包括用于将自气体供应23的生产气体传递至室10的开口。衬垫30(诸如锥形或圆柱形衬垫)从气体分布板22延伸且围绕基板固定器12。所述天线18可具有一个沟24,温度控制流体经由入口和出口导管25、26流过该沟。然而,所述天线18和/或窗20不需被冷却,或可由如下的其它适当技术冷却:例如经过天线和窗的吹入气体,传递冷却流体或与所述窗和/或气体分布板传热接触等等。
在操作中,将一个诸如半导体晶圆的基板定位于基板固定器12上并且用静电夹盘34固定。然而,也可以使用诸如机械夹紧构件的其它夹紧构件。此外,可采用氦背冷来改良基板和夹盘之间的传热。接着通过经由窗20和气体分布板22间的间隙传递生产气体而将生产气体提供给真空处理室10。适当的气体分布板配置(即,莲蓬头)揭示于共同拥有的美国专利第5,824,605号、第6,048,798号及第5,863,376号中,其均以引用的方式全部并入本文中。通过将适当的RF动力提供给天线18而在基板和窗之间的空间中点然高密度等离子体。
在图4中,以含氧化钇涂层32涂覆反应器组件的暴露内表面,诸如气体分布板22、室衬垫30、静电夹盘34和聚焦环14。然而,只有这些表面中的经选择部分和/或其它表面可以含氧化钇涂层来涂覆。
所属领域的技术人员将了解上述高密度多晶硅和绝缘蚀刻室只是可并入所述组件的等离子体蚀刻反应器的示范性实施例。包括含氧化钇涂层的组件可用于任一蚀刻反应器(例如,金属蚀刻反应器)或其它类型的半导体处理设备中,其中希望降低等离子体所引导的侵蚀、腐蚀和/或腐蚀-侵蚀及相关污染。
可具有含氧化钇涂层的其它示范性组件包括(但不限于)室壁、基板固定器、紧固件等等。这些组件通常由金属(例如,铝)或陶瓷(例如,氧化铝)制成,且通常暴露于等离子体中,并常展示侵蚀、腐蚀和/或腐蚀-侵蚀的标记。可以涂覆含氧化钇涂层的其它零件不需要直接暴露于等离子体中,但却可以暴露在腐蚀性气体中,诸如从经过处理的晶圆或其类似物发射出的气体。因此,用于处理半导体基板的其它装备也可以具有含氧化钇涂层。该种装备可包括传送机制、气体供应系统、衬垫、提升机制、装载锁定、门机制、机械手臂、紧固件等等。
在一优选实施例中,在一个金属组件上提供含氧化钇涂层。如上所述,可以含氧化钇涂层涂覆经阳极处理和未经阳极处理的铝基材料,其包括铝和铝合金,例如6061-T6铝。可以涂覆的其它示范性金属材料包括(但不限于)不锈钢和难熔金属,例如,304和316号不锈钢。因为所述含氧化钇涂层在该组件上形成抗损耗涂层,所以下方的组件被保护以防止直接暴露在等离子体中。因此,所述金属组件可被保护以防止等离子体的侵蚀、腐蚀和/或腐蚀-侵蚀破坏。结果,可使用诸如铝合金的金属材料,而无需考虑添加合金、颗粒的结构或表面条件。
另外,可以含氧化钇涂层来涂覆各种陶瓷或聚合材料。特别是,反应器组件可以由以下陶瓷材料制成:包括(但不限于)氧化铝(Al2O3)、碳化硅(SiC)、氮化硅(Si3N4)、碳化硼(B4C)和/或氮化硼(BN)。可涂覆的聚合材料优选为那些能经受住等离子体反应器中的高温条件的材料。
如果需要,可在被涂覆的组件表面和含氧化钇涂层之间提供一或多种中间层材料。图5展示了一个根据示范性优选实施例的经涂覆的组件。用一常规技术视需要将第一中间涂层80涂覆于基板70上。所述可选第一中间涂层80足够厚以粘付到该基板上,并且允许在形成一个视需要的第二中间涂层90或含氧化钇涂层100之前对其进行处理。该第一中间涂层80和第二中间涂层90可具有任何可提供这些所需特性的适当厚度。这些涂层可以具有如下厚度:至少约0.001英寸,优选从约0.001到约0.25英寸,更优选从约0.001到约0.15英寸,并且最优选从约0.001英寸到约0.05英寸。
在将所述可选第一中间涂层80沉积于反应器组件70上之后,可诸如通过使用任一适当技术的粗加工来处理所述第一中间涂层,并接着以可选第二中间层90或含氧化钇涂层100进行涂覆。经粗糙化的第一中间涂层80提供与随后应用涂层的特别好的接合作用。希望第二中间涂层90赋予第一中间涂层80高机械压缩强度并且减少第二中间涂层90中裂缝的形成。
第二中间涂层90足够厚以粘付到第一中间涂层80,并且允许在形成任何额外中间涂层或外部含氧化钇涂层100之前对其进行处理。又可以通过诸如粗加工来处理第二中间涂层90。所述第二中间涂层90可具有任何提供这些所需特性的适当厚度,诸如至少约0.001英寸、优选从约0.001到约0.25英寸、更优选从约0.001到约0.15英寸、并且最优选从约0.001英寸到约0.05英寸的厚度。
所述第一和第二中间涂层可以由适用于半导体等离子体处理室中的任何金属、陶瓷和聚合材料制成。可使用的特别所需金属包括(但不限于)可经受住高处理温度的难熔金属。优选的陶瓷包括(但不限于)Al2O3、SiC、Si3N4、B4C、AIN、TiO2及其混合物。优选的聚合物包括(但不限于)诸如聚四氟乙烯和聚酰亚胺的含氟聚合物。
可通过任一适当沉积技术来应用所述中间涂层,该等技术诸如:电镀(例如,无电镀或电镀)、溅射、浸渍涂布、化学气相沉积、物理气相沉积、电泳沉积、热等静法、冷等静法、压模、铸造、压塑和烧结和热喷涂(例如,等离子体喷涂)。
根据其所需的特性,所述可选第一中间涂层80和第二中间涂层90可以具有彼此相同或不同的成分。如果需要,也可以在含氧化钇涂层和基板之间提供诸如具有相同或不同材料的第三、第四或第五中间涂层的额外中间涂层。
图6展示含氧化钇涂层的另一示范性实施例。在这个实施例中,含氧化钇涂层100直接置于一基板上(即,未形成任何中间层),其为组件70的外表面。在这个和其它实施例中,涂层100可以具有任何适当的厚度。当所述含氧化钇涂层暴露在等离子体大气中时,所述含氧化钇涂层100至少具有最小的厚度,该厚度为下方表面提供足够的敷层从而提供抗损耗性并且保护下方的表面防止受到物理和化学破坏。特别地,涂层100可具有如下范围内的厚度:约0.001英寸到约1英寸;优选地从约0.001英寸到约0.5英寸;更优选地从约0.001英寸到约0.1英寸;并且最优选地从约0.01英寸到约0.1英寸。这个厚度也可以用于其它实施例中。可将含氧化钇涂层的厚度选择为与在反应器(例如,蚀刻、CVD等等)中将遇到的等离子体环境相容。
可将所述含氧化钇涂层提供于反应室和组件的全部或部分上。在一优选实施例中,将所述涂层提供于暴露在等离子体环境中的反应器室区域上,诸如那些直接与等离子体接触的零件或位于室组件之后的零件(诸如衬垫)。另外,优选将含氧化钇涂层提供于经受相对高偏压(即,相对高的溅射离子能量)的反应器室区域。
通过应用含氧化钇涂层实现了优点。即,所述含氧化钇涂层可以用于所有等离子体化学。该涂层有利于用在含氟和含BCl3大气中,这些大气对经阳极处理的铝具有高度的侵蚀性。通过在这些大气中使用含氧化钇涂层,在等离子体反应器中可达到显著降低的侵蚀速率。
进行了证明含氧化钇涂层在等离子体环境中提供抗侵蚀性表面的效力的测试。将三个经阳极处理6061-T6铝试料和每一个均是由在经阳极处理的6061-T6铝试样表面上形成热喷涂含氧化钇涂层而制成的三个试样附着在等离子体反应器中的室壁上。所述含氧化钇涂层具有约99.95重量%氧化钇的成分。该等试样每个都具有1英寸的直径和0.375英寸的厚度。将所述试样附着在室壁上。等离子体由包含相同流动速率的Cl2和BCl3的生产气体产生,并且室内部的压力设为6毫托。在反应器室中蚀刻裸硅晶圆。将所述试样总共测试约90RF-小时。
测试之后,黄色粉尘渗入反应器室。使用EDS分析法分析所述粉尘,并且发现其主要含有硼、氧和氯。
使用分析天平计算所述试样以确定质量亏损,并且计算试样以确定预计侵蚀速率。没有含氧化钇涂层的经阳极处理的6061-T61铝试样被确定为亏损了约15到20mg。因此,生产气体相对于这些未经涂涂覆的试样具有高度侵蚀性。
相反,具有含氧化钇涂层的三个试样由于涂层上粉尘的积聚而增加了每个的重量。该等三个经涂覆的试样的重量增加范围为从约0.8mg到约1.2mg。该等三个经涂覆试样的重量增加速率范围为从约0.009mg/RF-hr到约0.013mg/RF-hr。
至于所预计的侵蚀速率,如明显的重量减轻所证明,没有含氧化钇涂层的经阳极处理的6061-T6铝试样明显被腐蚀。这些试样被测定为具有从约20到27/RF-min的预测平均侵蚀速率。相反,具有含氧化钇涂层的三个试样未经腐蚀。
此外,因为铝组件被保护以防止受到氟的侵蚀,所以当将经涂覆组件用于等离子体反应器中的含氟生产气体大气中时,含氧化钇涂层可以将氟化铝的形成降至最低或甚至防止其形成。
也进行测试来说明通过提供包括经热喷涂含氧化钇涂层的等离子体反应器室中的组件而降低了在等离子体反应器中蚀刻过程中晶圆的污染,该涂层基本上上由氧化钇组成。测试是在一个9400DFM等离子体反应器上进行的,该反应器具有以含氧化钇涂层完全密封的室衬垫、以含氧化钇涂层涂覆的底环、以含氧化钇涂层涂覆的基环螺帽和反应器室中的石英窗。
所述反应器室由包括以6%H2O+异丙醇擦拭干净的湿式清洗程序和使用六个氧化物晶圆以及下列过程参数的湿式清洗恢复程序而制备:15mT室压/800瓦顶端线圈功率/0W底部电极功率/100sccm SF6/20sccm Cl2/50sccmO2/8托He背冷/300秒蚀刻时间。使用十个裸硅晶圆以下列过程参数进行反应器室的调节:穿透蚀刻(break through etch):4毫托室压/600瓦顶端线圈功率/65瓦底部电极功率/100sccm HBr/10sec蚀刻时间;主蚀刻:6毫托室压/350瓦顶端线圈功率/20瓦底部电极功率/180sccm HBr/65sec蚀刻时间;和过度蚀刻:80mT室压/350瓦顶端线圈功率/75瓦底部电极功率/150sccmHBr/150sccm He/5sccm O2/90sec蚀刻时间。在每片晶圆之后使用高压无晶圆自动清洗。
以下列程序测量晶圆的污染。以下列过程参数蚀刻一个1k热氧化物晶圆:穿透蚀刻:4毫托室压/600瓦顶端线圈功率/65瓦底部电极功率/100sccm HBr/10sec蚀刻时间;主蚀刻:6毫托室压/350瓦顶端线圈功率/20瓦底部电极功率/180sccm HBr/65sec蚀刻时间;和过蚀刻:80毫托室压/350瓦顶端线圈功率/75瓦底部电极功率/150sccm HBr/150sccm He/5sccm O2/90sec蚀刻时间。用下列过程参数蚀刻该硅晶圆:5mT室压/250瓦顶端线圈功率/150瓦底部电极功率/50sccm Cl2/120sec蚀刻时间。蚀刻之后,使用ICP-MS分析所述热氧化物晶圆和主要硅晶圆的污染。
将热氧化物晶圆和裸硅晶圆放置于反应器室中并使用上述过程参数对其进行蚀刻,其中反应器室中可存在或不存在包括含氧化钇涂层的组件。在以反应器室中不包括含氧化钇涂层的组件进行测试过程中,在测试过程中将反应器室中的经阳极处理的铝组件的实际量暴露在等离子体中。蚀刻之后,以1010个原子/cm2为单位为晶圆测量Al、Cr、Cu、Fe、Ni、Na和Y的表面浓度。亦分析未被放入反应器室中的控制氧化物晶圆以确定为源自所述反应器室的经蚀刻晶圆而测量的污染程度。
图7展示了晶圆的污染分析结果。“经涂覆”指存在于反应器室中的经氧化钇涂覆的组件,且“未经涂覆”指未存在的经氧化钇涂覆的组件。比较相同晶圆类型的测试结果,即,热氧化物晶圆和裸硅晶圆,测试结果展示在单元对单元的基础上,包括经氧化钇涂覆组件的反应器室的结果明显低于组件上含有经等离子体暴露且经阳极处理的铝表面的反应器室的结果。例如,所测量“未经涂覆”裸硅晶圆的铝浓度为约87×1010个原子/cm2,而所测量“经涂覆”裸硅晶圆的铝浓度为约12×1010个原子/cm2。而且,所测量“未经涂覆”裸硅晶圆的铬浓度为约7×1010原子/cm2,而所测量“经涂覆”裸硅晶圆的铝浓度为约109个原子/cm2,其为测量装备的检测极限。对热氧化物晶圆而言,下列结果是以1010个原子/cm2为单位而获得:Al:“未经涂覆”,2000,“经涂覆”,480;Cu:“未经涂覆”,15,“经涂覆”,4;Fe:“未经涂覆”,72,“经涂覆”,280;和Ni:“未经涂覆”,10,“经涂覆”,2。测试之后,确定在测试过程中存在Fe污染源,其增加了晶圆中的Fe含量。
又如图7中所展示,氧化钇含量对所有被测试晶圆并无意义。对于裸硅晶圆而言,未检测到任何氧化钇高于测量装备的检测极限。此结果证明含氧化钇涂层在等离子体环境中的坚固性质。因此,含氧化钇涂层可以达到很低程度的晶圆上氧化钇污染。
因此,上述测试结果证明:含氧化钇涂层可达到暴露在等离子体环境中的组件的侵蚀速率的明显降低。结果,所述含氧化钇涂层可以用氧化钇和其它形成经氧化钇涂覆的零件的单元而将等离子体蚀刻反应器中的半导体基板污染最小化。
所述含氧化钇涂层可以提供一个非常坚固且抗损耗的表面。所述涂层希望不是与处理室气体反应的材料,且是化学性惰性的材料从而产生低或无粒子污染、极小或无腐蚀、极小或无金属污染和/或极少或无挥发性蚀刻产物。因此,含氧化钇涂层可以减少金属和微粒污染的程度、通过增加消耗品的寿命而降低成本、减少方法偏移及降低室零件和基板腐蚀的程度。
虽然已参照其特定实施例详细叙述本发明,但是所属领域的技术人员应了解在不背离随附权利要求书的范围情况下可以做出各种改变和修正,并且可以使用对等物。

Claims (34)

1.一种半导体处理设备的组件,其包含:
一个包括一个表面的基板;和
一个基本上由布置在所述表面上的氧化钇所组成的热喷涂涂层,所述涂层包括所述组件的一个最外层表面。
2.根据权利要求1所述的组件,其中所述涂层是直接位于所述基板的表面上而没有在所述涂层与所述基板表面之间布置另一材料中间层。
3.根据权利要求1所述的组件,其中所述基板表面是由选自由经过阳极处理的铝、氧化铝和石英组成的群组的材料制成。
4.根据权利要求1所述的组件,其是一个室壁。
5.根据权利要求1所述的组件,其是选自由下列各物组成的群组中的至少一个组件:室壁、室衬垫、气体分布板、汽环、基座、介电窗、静电夹盘和等离子体聚焦环。
6.根据权利要求1所述的组件,其中所述涂层具有约0.001英寸到约0.1英寸的厚度。
7.根据权利要求1所述的组件,其进一步包含所述基板表面与所述涂层之间的至少一个中间层。
8.根据权利要求1所述的组件,其中所述涂层具有一个有效促进聚合物沉积物在所述涂层上的粘附力的算术平均表面粗糙度(Ra)。
9.根据权利要求8所述的组件,其中所述涂层具有约120到约250微英寸的算术平均表面粗糙度(Ra)。
10.一种半导体处理设备的室壁,其包括:
一个包括一个表面的经阳极处理的铝基板;和
一个基本上由直接布置在所述表面上的氧化钇所组成的热喷涂涂层,所述涂层包括所述组件的一个最外层表面。
11.根据权利要求10所述的组件,其中所述涂层具有一个促进聚合物沉积物的粘附力的算术平均表面粗糙度(Ra)。
12.一种等离子体蚀刻反应器,其包含:
包括以下组件的至少一个组件:
一个包含一个表面的基板;和
一个基本上由布置在所述表面上的氧化钇所组成的热喷涂涂层,该涂层包括该组件的一个最外层表面。
13.根据权利要求12所述的等离子体蚀刻反应器,其中所述基板表面是经阳极处理的铝,并且该涂层是直接位于所述基板的所述表面上而没有在所述涂层与所述基板表面之间布置另一材料中间层。
14.根据权利要求13所述的等离子体蚀刻反应器,其中所述组件为一个室壁,并且该等离子体蚀刻反应器包括一个诱导性地将射频能量耦合到所述反应器中的等离子体产生源。
15.根据权利要求12所述的等离子体蚀刻反应器,其中所述涂层具有一个有效促进聚合物沉积物在所述涂层上的粘附力的算术平均表面粗糙度(Ra)。
16.一种制造半导体处理设备中的组件的方法,其包含用热喷涂将一个基本上上由氧化钇组成的涂层涂覆在一个基板表面上,所述涂层包含所述组件的一个最外层表面。
17.根据权利要求16所述的方法,其中将所述涂层直接应用到所述基板表面上。
18.根据权利要求16所述的方法,其中所述基板表面是由选自由经阳极处理的铝、氧化铝和石英组成的群组的材料制成。
19.根据权利要求16所述的方法,其中所述涂层是形成为具有一个有效促进聚合物沉积物的粘附力的算术平均表面粗糙度(Ra)。
20.一种蚀刻半导体基板的方法,其包含:
将一个半导体基板放在一个等离子体蚀刻反应器室中,所述等离子体蚀刻反应器包含至少一个包括一个具有一表面的基板和一个基本上由布置在所述表面上的氧化钇组成的热喷涂涂层的组件,所述涂层包括所述组件的一个最外层表面;
将生产气体引入所述室中;
从所述生产气体产生等离子体;和
以所述等离子体蚀刻所述半导体基板,
其中所述涂层在蚀刻过程中暴露在所述等离子体中。
21.根据权利要求20所述的方法,其中通过诱导性的将射频能量耦合到所述室中而产生所述等离子体。
22.根据权利要求20所述的方法,其中所述半导体基板包含至少一种选自由下列各物组成的群组的含硅材料:单晶硅、多晶硅、非晶硅、氮化硅、氮氧化硅、硅化物、二氧化硅、低k材料和高k材料。
23.根据权利要求20所述的方法,其中所述半导体基板包含至少一种选自由下列各物组成的群组的含金属材料:铝、铝合金、钨、钨合金、钛、钛合金、钽、钽合金、铂、铂合金、钌、钌合金、铬、铬合金、铁、铁合金、镍、镍合金、钴、钴合金、钼、钼合金,钛、钨、铬、钴和/或钼的硅化物,铁电材料和GMR材料。
24.根据权利要求20所述的方法,其中所述涂层具有一个在蚀刻过程中促进聚合物沉积物在所述涂层上的粘附力的算术平均表面粗糙度(Ra)。
25.一种减小半导体晶圆污染的方法,其是通过侵蚀在等离子体蚀刻反应器中在半导体晶圆蚀刻过程中等离子体蚀刻反应器的一个室中的一个组件而实现的,所述方法包含:
将一个半导体晶圆放在一个等离子体蚀刻反应器的一个室中,所述等离子体蚀刻反应器包含至少一个组件,所述至少一个组件包括一个由一材料组成的基板且具有一个表面和一个基本上由布置在所述表面上的氧化钇所组成的热喷涂涂层,所述涂层包括所述组件的一个最外层表面;
将一生产气体引入所述室中,所述生产气体相对于所述基板材料具有侵蚀性;
从所述生产气体产生一等离子体;和
当将所述涂层暴露于所述等离子体时,以所述等离子体蚀刻所述半导体基板,
其中所述涂层在所述蚀刻过程中通过所述基板材料和氧化钇而将所述半导体晶圆的污染最小化。
26.根据权利要求25所述的方法,其中所述基板包含铝或其合金。
27.根据权利要求25所述的方法,其中氧化钇对所述半导体晶圆的污染程度小于1010个原子/cm2
28.根据权利要求25所述的方法,其中所述组件的表面是经阳极处理的铝,并且所述涂层直接位于所述经阳极处理的铝上。
29.根据权利要求25所述的方法,其中所述半导体晶圆包含至少一种含硅材料,并且所述生产气体包含氟。
30.根据权利要求29所述的方法,其中所述含硅材料是选自由下列各物组成的群组:单晶硅、多晶硅、非晶硅、氮化硅、氮氧化硅、硅化物、二氧化硅、低k材料和高k材料。
31.根据权利要求25所述的方法,其中所述半导体晶圆包含至少一种含金属材料,并且所述生产气体包含BCl3
32.根据权利要求31所述的方法,其中所述含金属材料是选自由下列各物组成的群组:铝、铝合金、钨、钨合金、钛、钛合金、钽、钽合金、铂、铂合金、钌、钌合金、铬、铬合金、铁、铁合金、镍、镍合金、钴、钴合金钼、钼合金,钛、钨、铬、钴和/或钼的硅化物、铁电材料和GMR材料。
33.根据权利要求25所述的方法,其中所述半导体晶圆包含硅,并且所述生产气体包含溴。
34.根据权利要求25所述的方法,其中所述生产气体包含BCl3,并且所述涂层在蚀刻过程中未被等离子体侵蚀。
CN038150239A 2002-06-27 2003-06-12 等离子体反应器的产量增进热喷涂含氧化钇涂层 Pending CN1663017A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/180,504 2002-06-27
US10/180,504 US7311797B2 (en) 2002-06-27 2002-06-27 Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor

Publications (1)

Publication Number Publication Date
CN1663017A true CN1663017A (zh) 2005-08-31

Family

ID=29778939

Family Applications (1)

Application Number Title Priority Date Filing Date
CN038150239A Pending CN1663017A (zh) 2002-06-27 2003-06-12 等离子体反应器的产量增进热喷涂含氧化钇涂层

Country Status (9)

Country Link
US (2) US7311797B2 (zh)
EP (1) EP1518255B1 (zh)
JP (2) JP2005531157A (zh)
KR (2) KR101107542B1 (zh)
CN (1) CN1663017A (zh)
AT (1) ATE545148T1 (zh)
AU (1) AU2003238006A1 (zh)
TW (1) TWI328411B (zh)
WO (1) WO2004003962A2 (zh)

Cited By (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7884026B2 (en) 2006-07-20 2011-02-08 United Microelectronics Corp. Method of fabricating dual damascene structure
CN101123214B (zh) * 2006-08-07 2011-03-16 联华电子股份有限公司 双镶嵌结构的制作方法
CN101577211B (zh) * 2008-05-09 2011-07-20 中微半导体设备(上海)有限公司 抗等离子体腐蚀的反应室部件、其制造方法以及包含该部件的等离子体反应室
CN101135033B (zh) * 2006-04-20 2011-09-21 信越化学工业株式会社 导电、耐等离子体的构件
CN102296263A (zh) * 2010-06-25 2011-12-28 中国科学院微电子研究所 等离子体刻蚀工艺腔室内表面的改性处理方法
CN102421698A (zh) * 2009-04-24 2012-04-18 国立大学法人东北大学 水分发生用反应炉
CN101589455B (zh) * 2007-01-11 2012-06-20 朗姆研究公司 延长作为等离子室材料的氧化钇的寿命
CN101681799B (zh) * 2007-04-20 2012-11-28 应用材料公司 用于等离子体蚀刻腔室的增强耐腐蚀性的石英
CN103794460A (zh) * 2012-10-29 2014-05-14 中微半导体设备(上海)有限公司 用于半导体装置性能改善的涂层
CN102084020B (zh) * 2008-02-26 2014-07-09 应用材料公司 可抵抗还原等离子体的含钇陶瓷涂层
CN104364887A (zh) * 2012-05-22 2015-02-18 株式会社东芝 等离子体处理装置用部件和等离子体处理装置用部件的制造方法
CN104701125A (zh) * 2013-12-05 2015-06-10 中微半导体设备(上海)有限公司 气体分布板
CN105474363A (zh) * 2014-05-07 2016-04-06 应用材料公司 抗等离子体的陶瓷涂层的浆料等离子体喷涂
CN106414789A (zh) * 2013-11-21 2017-02-15 恩特格里斯公司 用于在等离子体系统中使用的室组件的表面涂层
CN106687620A (zh) * 2014-08-08 2017-05-17 株式公司品維斯 形成有氟化铝生成防止膜的化学气相沉积工艺腔部件
CN107004560A (zh) * 2014-11-26 2017-08-01 应用材料公司 增进工艺均匀性的方法及系统
CN109963825A (zh) * 2016-11-16 2019-07-02 阔斯泰公司 耐腐蚀组件和制造方法
CN110223945A (zh) * 2018-03-02 2019-09-10 朗姆研究公司 具有保护涂层的石英组件
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
CN110997972A (zh) * 2017-07-31 2020-04-10 株式会社东芝 部件及半导体制造装置
CN111627790A (zh) * 2019-02-27 2020-09-04 Toto株式会社 半导体制造装置构件、半导体制造装置、显示器制造装置
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
CN112216590A (zh) * 2015-08-18 2021-01-12 朗姆研究公司 用于改善在晶片极端边缘的特征轮廓倾斜的边缘环组件
CN112713072A (zh) * 2019-10-24 2021-04-27 中微半导体设备(上海)股份有限公司 等离子体处理腔室内部部件及其制造方法
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
TWI737379B (zh) * 2019-08-09 2021-08-21 美商應用材料股份有限公司 用於處理腔室部件之保護性多層塗層
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US11376822B2 (en) 2015-11-16 2022-07-05 Coorstek, Inc. Corrosion-resistant components and methods of making
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection

Families Citing this family (189)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US6805952B2 (en) * 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
CN100421914C (zh) * 2001-03-29 2008-10-01 株式会社普利司通 制造轮胎与轮胎构件的方法及用于此方法的装置
US7371467B2 (en) * 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US8067067B2 (en) * 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US6798519B2 (en) * 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7204912B2 (en) 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US7166166B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
KR100772740B1 (ko) 2002-11-28 2007-11-01 동경 엘렉트론 주식회사 플라즈마 처리 용기 내부재
US20060226003A1 (en) * 2003-01-22 2006-10-12 John Mize Apparatus and methods for ionized deposition of a film or thin layer
CN100418187C (zh) * 2003-02-07 2008-09-10 东京毅力科创株式会社 等离子体处理装置、环形部件和等离子体处理方法
CN100495413C (zh) * 2003-03-31 2009-06-03 东京毅力科创株式会社 用于邻接在处理元件上的相邻覆层的方法
DE602004009982T2 (de) * 2003-03-31 2008-09-18 Sanyo Electric Co., Ltd., Moriguchi Metallschablone und Verfahren zum Drucken von bleifreier Lötpaste mit derselben
US7297247B2 (en) * 2003-05-06 2007-11-20 Applied Materials, Inc. Electroformed sputtering target
US6843870B1 (en) * 2003-07-22 2005-01-18 Epic Biosonics Inc. Implantable electrical cable and method of making
US7220497B2 (en) * 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US8213467B2 (en) 2004-04-08 2012-07-03 Sonosite, Inc. Systems and methods providing ASICs for use in multiple applications
CN1690254B (zh) * 2004-04-13 2013-03-13 应用材料有限公司 具有含电镀钇涂层的制程腔室构件
US7119032B2 (en) 2004-08-23 2006-10-10 Air Products And Chemicals, Inc. Method to protect internal components of semiconductor processing equipment using layered superlattice materials
WO2006023894A2 (en) * 2004-08-24 2006-03-02 Saint-Gobain Ceramics & Plastics, Inc. Semiconductor processing components and semiconductor processing utilizing same
JP2006186306A (ja) * 2004-09-30 2006-07-13 Toshiba Ceramics Co Ltd ガス拡散プレートおよびその製造方法
US7226869B2 (en) * 2004-10-29 2007-06-05 Lam Research Corporation Methods for protecting silicon or silicon carbide electrode surfaces from morphological modification during plasma etch processing
JP4979389B2 (ja) * 2004-12-17 2012-07-18 東京エレクトロン株式会社 プラズマ処理装置
US9659758B2 (en) 2005-03-22 2017-05-23 Honeywell International Inc. Coils utilized in vapor deposition applications and methods of production
JP2006319043A (ja) * 2005-05-11 2006-11-24 Hitachi High-Technologies Corp プラズマ処理装置
JP5382677B2 (ja) * 2005-06-17 2014-01-08 国立大学法人東北大学 金属部材の保護膜構造及び保護膜構造を用いた金属部品並びに保護膜構造を用いた半導体又は平板ディスプレイ製造装置
CN101010448B (zh) * 2005-06-23 2010-09-29 东京毅力科创株式会社 半导体处理装置用的构成部件及其制造方法
JP4813115B2 (ja) * 2005-07-14 2011-11-09 国立大学法人東北大学 半導体製造装置用部材及びその洗浄方法
US7976641B1 (en) 2005-09-30 2011-07-12 Lam Research Corporation Extending storage time of removed plasma chamber components prior to cleaning thereof
KR101046902B1 (ko) * 2005-11-08 2011-07-06 도쿄엘렉트론가부시키가이샤 샤워 플레이트 및 샤워 플레이트를 사용한 플라즈마 처리장치
US20070108161A1 (en) * 2005-11-17 2007-05-17 Applied Materials, Inc. Chamber components with polymer coatings and methods of manufacture
JP4856978B2 (ja) * 2006-02-21 2012-01-18 株式会社日立ハイテクノロジーズ プラズマエッチング装置及び処理室の内壁の形成方法
US20070215278A1 (en) * 2006-03-06 2007-09-20 Muneo Furuse Plasma etching apparatus and method for forming inner wall of plasma processing chamber
JP5014656B2 (ja) * 2006-03-27 2012-08-29 国立大学法人東北大学 プラズマ処理装置用部材およびその製造方法
US7906032B2 (en) * 2006-03-31 2011-03-15 Tokyo Electron Limited Method for conditioning a process chamber
US20070246795A1 (en) * 2006-04-20 2007-10-25 Micron Technology, Inc. Dual depth shallow trench isolation and methods to form same
US20080029032A1 (en) * 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
CN101512736A (zh) * 2006-09-11 2009-08-19 株式会社爱发科 干式蚀刻方法
US7723648B2 (en) * 2006-09-25 2010-05-25 Tokyo Electron Limited Temperature controlled substrate holder with non-uniform insulation layer for a substrate processing system
US7838800B2 (en) * 2006-09-25 2010-11-23 Tokyo Electron Limited Temperature controlled substrate holder having erosion resistant insulating layer for a substrate processing system
JP2008103403A (ja) * 2006-10-17 2008-05-01 Tokyo Electron Ltd 基板載置台及びプラズマ処理装置
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US20080196661A1 (en) * 2007-02-20 2008-08-21 Brian West Plasma sprayed deposition ring isolator
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
WO2008140012A1 (ja) * 2007-05-11 2008-11-20 Ulvac, Inc. ドライエッチング装置及びドライエッチング方法
US20080289766A1 (en) * 2007-05-22 2008-11-27 Samsung Austin Semiconductor Lp Hot edge ring apparatus and method for increased etch rate uniformity and reduced polymer buildup
JP5567486B2 (ja) * 2007-10-31 2014-08-06 ラム リサーチ コーポレーション 窒化シリコン−二酸化シリコン高寿命消耗プラズマ処理構成部品
US8129029B2 (en) * 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
JP2009212293A (ja) * 2008-03-04 2009-09-17 Tokyo Electron Ltd 基板処理装置用の部品及び基板処理装置
US20090261065A1 (en) * 2008-04-18 2009-10-22 Lam Research Corporation Components for use in a plasma chamber having reduced particle generation and method of making
US8120137B2 (en) * 2008-05-08 2012-02-21 Micron Technology, Inc. Isolation trench structure
JP5390166B2 (ja) * 2008-10-30 2014-01-15 株式会社日本セラテック 耐食性部材
JP5390167B2 (ja) * 2008-10-30 2014-01-15 株式会社日本セラテック 耐食性部材
US8206829B2 (en) * 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
TWI456679B (zh) * 2009-03-27 2014-10-11 Advanced Micro Fab Equip Inc 抗電漿腐蝕之反應室部件、其製造方法以及包含該部件之電漿反應室
KR20120090996A (ko) * 2009-08-27 2012-08-17 어플라이드 머티어리얼스, 인코포레이티드 인-시튜 챔버 세정 후 프로세스 챔버의 제염 방법
US20110206833A1 (en) * 2010-02-22 2011-08-25 Lam Research Corporation Extension electrode of plasma bevel etching apparatus and method of manufacture thereof
KR101487342B1 (ko) 2010-07-30 2015-01-30 주식회사 잉크테크 투명 도전막의 제조방법 및 이에 의해 제조된 투명 도전막
JP5606821B2 (ja) * 2010-08-04 2014-10-15 東京エレクトロン株式会社 プラズマ処理装置
JP5198611B2 (ja) * 2010-08-12 2013-05-15 株式会社東芝 ガス供給部材、プラズマ処理装置およびイットリア含有膜の形成方法
JP5389282B2 (ja) * 2010-08-12 2014-01-15 株式会社東芝 ガス供給部材、プラズマ処理装置およびイットリア含有膜の形成方法
US20120052216A1 (en) * 2010-08-27 2012-03-01 Applied Materials, Inc. Gas distribution showerhead with high emissivity surface
KR101108692B1 (ko) * 2010-09-06 2012-01-25 한국기계연구원 다공성 세라믹 표면을 밀봉하는 치밀한 희토류 금속 산화물 코팅막 및 이의 제조방법
CN102456564A (zh) * 2010-10-29 2012-05-16 中芯国际集成电路制造(上海)有限公司 用于刻蚀腔的变压耦合式等离子体窗及包括其的刻蚀腔
DE202011002844U1 (de) 2011-02-17 2011-06-09 LAM RESEARCH CORPORATION (Delaware Corporation), California Erweiterungselektrode einer Plasmaschrägkantenätzvorrichtung
JP2012221979A (ja) * 2011-04-04 2012-11-12 Toshiba Corp プラズマ処理装置
WO2013023029A2 (en) 2011-08-10 2013-02-14 Entegris, Inc. Aion coated substrate with optional yttria overlayer
US20130102156A1 (en) * 2011-10-21 2013-04-25 Lam Research Corporation Components of plasma processing chambers having textured plasma resistant coatings
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9090046B2 (en) * 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
JP2015521102A (ja) * 2012-05-10 2015-07-27 ザ ユニバーシティ オブ コネチカット 触媒膜を作成する方法及び装置
KR101466967B1 (ko) 2012-06-13 2014-12-15 한국과학기술연구원 내식성이 향상된 다성분계 열용사용 코팅물질, 그 제조방법 및 코팅방법
US20140007901A1 (en) * 2012-07-06 2014-01-09 Jack Chen Methods and apparatus for bevel edge cleaning in a plasma processing system
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
CN103794458B (zh) * 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于等离子体处理腔室内部的部件及制造方法
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US9385018B2 (en) 2013-01-07 2016-07-05 Samsung Austin Semiconductor, L.P. Semiconductor manufacturing equipment with trace elements for improved defect tracing and methods of manufacture
KR102094304B1 (ko) * 2013-02-05 2020-03-30 (주) 코미코 표면 처리 방법 및 이를 이용한 세라믹 구조물
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9123651B2 (en) 2013-03-27 2015-09-01 Lam Research Corporation Dense oxide coated component of a plasma processing chamber and method of manufacture thereof
JP6076838B2 (ja) * 2013-05-31 2017-02-08 住友重機械イオンテクノロジー株式会社 絶縁構造及び絶縁方法
US9865434B2 (en) * 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
WO2015061616A1 (en) 2013-10-24 2015-04-30 Surmet Corporation High purity polycrystalline aluminum oxynitride bodies
US20150126036A1 (en) * 2013-11-05 2015-05-07 Tokyo Electron Limited Controlling etch rate drift and particles during plasma processing
US9873940B2 (en) * 2013-12-31 2018-01-23 Lam Research Corporation Coating system and method for coating interior fluid wetted surfaces of a component of a semiconductor substrate processing apparatus
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9869013B2 (en) * 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
JP6714978B2 (ja) * 2014-07-10 2020-07-01 東京エレクトロン株式会社 プラズマ処理装置用の部品、プラズマ処理装置、及びプラズマ処理装置用の部品の製造方法
WO2016025573A1 (en) * 2014-08-15 2016-02-18 Applied Materials, Inc. Method and apparatus of processing wafers with compressive or tensile stress at elevated temperatures in a plasma enhanced chemical vapor deposition system
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
JP6396819B2 (ja) * 2015-02-03 2018-09-26 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
WO2016148739A1 (en) 2015-03-18 2016-09-22 Entegris, Inc. Articles coated with fluoro-annealed films
KR102376982B1 (ko) * 2015-04-14 2022-03-21 삼성전자주식회사 세라믹을 이용하여 파티클 저감 효과를 가지는 원격 플라즈마 발생장치
KR20160124992A (ko) * 2015-04-20 2016-10-31 삼성전자주식회사 기판 제조 장치, 및 그의 세라믹 박막 코팅 방법
KR102447682B1 (ko) * 2015-05-29 2022-09-27 삼성전자주식회사 코팅층 형성 방법, 플라즈마 처리 장치 및 패턴 형성 방법
US20160358749A1 (en) * 2015-06-04 2016-12-08 Lam Research Corporation Plasma etching device with plasma etch resistant coating
KR20170006807A (ko) 2015-07-09 2017-01-18 (주)티티에스 공정 챔버의 부품파트 및 화학기상증착에 의해 이트리아를 부품파트에 증착하는 방법
KR20170015615A (ko) * 2015-07-29 2017-02-09 삼성전자주식회사 플라즈마 처리 장치
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
JP6573820B2 (ja) 2015-11-09 2019-09-11 東京エレクトロン株式会社 プラズマ処理装置用部材及びプラズマ処理装置
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
KR102504290B1 (ko) * 2015-12-04 2023-02-28 삼성전자 주식회사 수소 플라스마 어닐링 처리 준비 방법, 수소 플라스마 어닐링 처리 방법, 및 수소 플라스마 어닐링 장치
US10488397B2 (en) 2016-04-05 2019-11-26 University Of Connecticut Metal oxide based sensors for sensing low concentration of specific gases prepared by a flame based process
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP6908973B2 (ja) * 2016-06-08 2021-07-28 三菱重工業株式会社 遮熱コーティング、タービン部材、ガスタービン、ならびに遮熱コーティングの製造方法
KR101885570B1 (ko) * 2016-07-05 2018-08-07 세메스 주식회사 윈도우 부재, 그 제조 방법, 및 그를 포함하는 기판 처리 장치
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US9773665B1 (en) * 2016-12-06 2017-09-26 Applied Materials, Inc. Particle reduction in a physical vapor deposition chamber
KR101877017B1 (ko) * 2017-01-09 2018-07-12 한국과학기술연구원 반도체 반응기 및 반도체 반응기용 금속모재의 코팅층 형성방법
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
JP6926225B2 (ja) * 2017-03-31 2021-08-25 マトソン テクノロジー インコーポレイテッドMattson Technology, Inc. 処理チャンバにおける工作物における材料堆積防止
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP7239935B2 (ja) * 2017-09-01 2023-03-15 学校法人 芝浦工業大学 部品および半導体製造装置
US11183373B2 (en) 2017-10-11 2021-11-23 Honeywell International Inc. Multi-patterned sputter traps and methods of making
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
JP7333780B2 (ja) * 2018-01-08 2023-08-25 ラム リサーチ コーポレーション プラズマ処理副生成物を管理するための構成要素および処理
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11139151B1 (en) * 2018-03-15 2021-10-05 Intel Corporation Micro-surface morphological matching for reactor components
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN113260732A (zh) * 2018-12-05 2021-08-13 京瓷株式会社 等离子体处理装置用构件和具备它的等离子体处理装置
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP6801773B2 (ja) * 2019-02-27 2020-12-16 Toto株式会社 半導体製造装置用部材および半導体製造装置用部材を備えた半導体製造装置並びにディスプレイ製造装置
JP2020141123A (ja) 2019-02-27 2020-09-03 Toto株式会社 半導体製造装置用部材および半導体製造装置用部材を備えた半導体製造装置並びにディスプレイ製造装置
WO2020180502A1 (en) * 2019-03-01 2020-09-10 Lam Research Corporation Surface coating for aluminum plasma processing chamber components
KR20210125103A (ko) * 2019-03-05 2021-10-15 램 리써치 코포레이션 플라즈마 프로세싱 챔버들을 위한 알루미늄 컴포넌트들을 위한 라미네이트된 에어로졸 증착 코팅
CN112017932B (zh) * 2019-05-31 2022-11-29 中微半导体设备(上海)股份有限公司 等离子体处理装置中气体输送系统的耐腐蚀结构
KR20210012178A (ko) * 2019-07-24 2021-02-03 삼성전자주식회사 기판 처리장치 및 이를 구비하는 기판 처리 시스템
US20210032750A1 (en) * 2019-07-31 2021-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Deposition apparatus and method of forming metal oxide layer using the same
KR102225604B1 (ko) * 2019-12-18 2021-03-10 피에스케이 주식회사 기판 처리 장치
CN115003857A (zh) * 2020-01-23 2022-09-02 朗姆研究公司 用于等离子体处理室部件的钇铝涂层
US11661650B2 (en) 2020-04-10 2023-05-30 Applied Materials, Inc. Yttrium oxide based coating composition
US11881385B2 (en) * 2020-04-24 2024-01-23 Applied Materials, Inc. Methods and apparatus for reducing defects in preclean chambers
CN113802094B (zh) * 2020-06-16 2024-04-05 中微半导体设备(上海)股份有限公司 耐腐蚀涂层的镀膜方法及等离子体刻蚀零部件和反应装置
US20220013336A1 (en) * 2020-07-10 2022-01-13 Applied Materials, Inc. Process kit with protective ceramic coatings for hydrogen and nh3 plasma application
US20220037126A1 (en) * 2020-08-03 2022-02-03 Applied Materials, Inc. Fluoride coating to improve chamber performance
KR102496309B1 (ko) 2020-09-25 2023-02-07 한국과학기술연구원 레이저를 이용한 내플라즈마 코팅막 형성방법
KR102497053B1 (ko) 2020-09-25 2023-02-08 한국과학기술연구원 레이저 소결을 이용한 내플라즈마 코팅막 치밀화 방법
US20230366074A1 (en) * 2022-05-16 2023-11-16 Andrei V. Ivanov Oxygen Interception for Air Plasma Spray Processes
WO2024097506A1 (en) * 2022-10-31 2024-05-10 Lam Research Corporation Refractory components for a semiconductor processing chamber
CN117265480B (zh) * 2023-10-31 2024-05-10 华南理工大学 一种低粗糙度氧化钇涂层的制备方法

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3961111A (en) * 1975-03-18 1976-06-01 Pennwalt Corporation Method of increasing corrosion resistance of anodized aluminum
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US5262029A (en) 1988-05-23 1993-11-16 Lam Research Method and system for clamping semiconductor wafers
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
JPH03287797A (ja) * 1990-04-03 1991-12-18 Sumitomo Electric Ind Ltd 耐食部材
US5200232A (en) 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
JP3308091B2 (ja) * 1994-02-03 2002-07-29 東京エレクトロン株式会社 表面処理方法およびプラズマ処理装置
US5798016A (en) 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
DE9421671U1 (de) 1994-08-26 1996-07-11 Siemens AG, 80333 München Entladungskammer für eine Plasmaätzanlage in der Halbleiterfertigung
JP3164200B2 (ja) 1995-06-15 2001-05-08 住友金属工業株式会社 マイクロ波プラズマ処理装置
US5824605A (en) 1995-07-31 1998-10-20 Lam Research Corporation Gas dispersion window for plasma apparatus and method of use thereof
US5838529A (en) 1995-12-22 1998-11-17 Lam Research Corporation Low voltage electrostatic clamp for substrates such as dielectric substrates
US6048798A (en) 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5863376A (en) 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US5788799A (en) 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
US5916454A (en) * 1996-08-30 1999-06-29 Lam Research Corporation Methods and apparatus for reducing byproduct particle generation in a plasma processing chamber
KR100296692B1 (ko) 1996-09-10 2001-10-24 사토 도리 플라즈마cvd장치
US6152070A (en) 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US6388226B1 (en) 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
KR100311487B1 (ko) 1997-12-16 2001-11-15 김영환 산화막식각방법
US6123791A (en) 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6227140B1 (en) 1999-09-23 2001-05-08 Lam Research Corporation Semiconductor processing equipment having radiant heated ceramic liner
JP3510993B2 (ja) * 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
JP2001250814A (ja) * 2000-03-06 2001-09-14 Hitachi Ltd プラズマ処理装置
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
US6645585B2 (en) 2000-05-30 2003-11-11 Kyocera Corporation Container for treating with corrosive-gas and plasma and method for manufacturing the same
JP4651166B2 (ja) * 2000-06-30 2011-03-16 京セラ株式会社 耐食性部材
JP2002033309A (ja) * 2000-07-18 2002-01-31 Hitachi Ltd プラズマ処理装置及び該装置用部品の製作方法
TWI290589B (en) 2000-10-02 2007-12-01 Tokyo Electron Ltd Vacuum processing device
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6776873B1 (en) * 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers

Cited By (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101135033B (zh) * 2006-04-20 2011-09-21 信越化学工业株式会社 导电、耐等离子体的构件
US7884026B2 (en) 2006-07-20 2011-02-08 United Microelectronics Corp. Method of fabricating dual damascene structure
CN101123214B (zh) * 2006-08-07 2011-03-16 联华电子股份有限公司 双镶嵌结构的制作方法
CN101589455B (zh) * 2007-01-11 2012-06-20 朗姆研究公司 延长作为等离子室材料的氧化钇的寿命
CN101681799B (zh) * 2007-04-20 2012-11-28 应用材料公司 用于等离子体蚀刻腔室的增强耐腐蚀性的石英
CN102084020B (zh) * 2008-02-26 2014-07-09 应用材料公司 可抵抗还原等离子体的含钇陶瓷涂层
CN101577211B (zh) * 2008-05-09 2011-07-20 中微半导体设备(上海)有限公司 抗等离子体腐蚀的反应室部件、其制造方法以及包含该部件的等离子体反应室
CN102421698A (zh) * 2009-04-24 2012-04-18 国立大学法人东北大学 水分发生用反应炉
CN102296263A (zh) * 2010-06-25 2011-12-28 中国科学院微电子研究所 等离子体刻蚀工艺腔室内表面的改性处理方法
CN102296263B (zh) * 2010-06-25 2013-04-24 中国科学院微电子研究所 等离子体刻蚀工艺腔室内表面的改性处理方法
CN104364887B (zh) * 2012-05-22 2017-09-22 株式会社东芝 等离子体处理装置用部件和等离子体处理装置用部件的制造方法
CN104364887A (zh) * 2012-05-22 2015-02-18 株式会社东芝 等离子体处理装置用部件和等离子体处理装置用部件的制造方法
CN103794460B (zh) * 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于半导体装置性能改善的涂层
CN103794460A (zh) * 2012-10-29 2014-05-14 中微半导体设备(上海)有限公司 用于半导体装置性能改善的涂层
CN115094377A (zh) * 2013-11-21 2022-09-23 恩特格里斯公司 用于在等离子体系统中使用的室组件的表面涂层
CN106414789A (zh) * 2013-11-21 2017-02-15 恩特格里斯公司 用于在等离子体系统中使用的室组件的表面涂层
US11764037B2 (en) 2013-11-21 2023-09-19 Entegris, Inc. Surface coating for chamber components used in plasma systems
CN104701125A (zh) * 2013-12-05 2015-06-10 中微半导体设备(上海)有限公司 气体分布板
CN105474363A (zh) * 2014-05-07 2016-04-06 应用材料公司 抗等离子体的陶瓷涂层的浆料等离子体喷涂
CN106687620A (zh) * 2014-08-08 2017-05-17 株式公司品維斯 形成有氟化铝生成防止膜的化学气相沉积工艺腔部件
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
CN107004560A (zh) * 2014-11-26 2017-08-01 应用材料公司 增进工艺均匀性的方法及系统
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
CN111986975A (zh) * 2014-11-26 2020-11-24 应用材料公司 增进工艺均匀性的方法及系统
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
CN112216590A (zh) * 2015-08-18 2021-01-12 朗姆研究公司 用于改善在晶片极端边缘的特征轮廓倾斜的边缘环组件
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11376822B2 (en) 2015-11-16 2022-07-05 Coorstek, Inc. Corrosion-resistant components and methods of making
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
CN109963825B (zh) * 2016-11-16 2022-08-09 阔斯泰公司 耐腐蚀组件和制造方法
CN109963825A (zh) * 2016-11-16 2019-07-02 阔斯泰公司 耐腐蚀组件和制造方法
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
CN110997972A (zh) * 2017-07-31 2020-04-10 株式会社东芝 部件及半导体制造装置
CN110223945A (zh) * 2018-03-02 2019-09-10 朗姆研究公司 具有保护涂层的石英组件
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
CN111627790A (zh) * 2019-02-27 2020-09-04 Toto株式会社 半导体制造装置构件、半导体制造装置、显示器制造装置
CN111627790B (zh) * 2019-02-27 2024-05-03 Toto株式会社 半导体制造装置构件、半导体制造装置、显示器制造装置
TWI737379B (zh) * 2019-08-09 2021-08-21 美商應用材料股份有限公司 用於處理腔室部件之保護性多層塗層
CN112713072A (zh) * 2019-10-24 2021-04-27 中微半导体设备(上海)股份有限公司 等离子体处理腔室内部部件及其制造方法
CN112713072B (zh) * 2019-10-24 2024-03-12 中微半导体设备(上海)股份有限公司 等离子体处理腔室内部部件及其制造方法

Also Published As

Publication number Publication date
EP1518255A2 (en) 2005-03-30
US7311797B2 (en) 2007-12-25
KR101107542B1 (ko) 2012-02-08
WO2004003962A2 (en) 2004-01-08
TW200412827A (en) 2004-07-16
EP1518255B1 (en) 2012-02-08
US20040002221A1 (en) 2004-01-01
AU2003238006A1 (en) 2004-01-19
KR20110015676A (ko) 2011-02-16
US7300537B2 (en) 2007-11-27
AU2003238006A8 (en) 2004-01-19
ATE545148T1 (de) 2012-02-15
TWI328411B (en) 2010-08-01
KR20050008855A (ko) 2005-01-21
JP2005531157A (ja) 2005-10-13
WO2004003962A3 (en) 2004-04-01
JP2010283361A (ja) 2010-12-16
KR101030935B1 (ko) 2011-04-28
US20050150866A1 (en) 2005-07-14

Similar Documents

Publication Publication Date Title
CN1663017A (zh) 等离子体反应器的产量增进热喷涂含氧化钇涂层
US8016948B2 (en) Method of removing contaminants from a coating surface comprising an oxide or fluoride of a group IIIB metal
TWI299182B (en) Low contamination components for semiconductor processing apparatus and method for making components
CN1906026B (zh) 半导体材料加工设备中的氧化钇涂覆的陶瓷部件及该部件的制造方法
JP5313227B2 (ja) 半導体材料処理装置のアルミニウムめっき構成要素および該構成要素を製造する方法
KR100882758B1 (ko) 반도체 공정 설비내의 세륨 옥사이드 함유 세라믹 부품 및 코팅
JP2017520679A (ja) 半導体チャンバ構成要素のための放射率を調節したコーティング
US20050161061A1 (en) Methods for cleaning a set of structures comprising yttrium oxide in a plasma processing system
KR20230027298A (ko) 내침식성 금속 플루오르화 코팅된 물건들, 이들의 제조 방법 및 사용 방법
JP2007119924A (ja) プラズマ処理容器内用高純度溶射皮膜被覆部材およびその製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C12 Rejection of a patent application after its publication
RJ01 Rejection of invention patent application after publication

Open date: 20050831