CN105474363A - 抗等离子体的陶瓷涂层的浆料等离子体喷涂 - Google Patents

抗等离子体的陶瓷涂层的浆料等离子体喷涂 Download PDF

Info

Publication number
CN105474363A
CN105474363A CN201580001485.9A CN201580001485A CN105474363A CN 105474363 A CN105474363 A CN 105474363A CN 201580001485 A CN201580001485 A CN 201580001485A CN 105474363 A CN105474363 A CN 105474363A
Authority
CN
China
Prior art keywords
mole
coating
ceramic coating
ceramic
zro
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201580001485.9A
Other languages
English (en)
Other versions
CN105474363B (zh
Inventor
J·Y·孙
B·P·卡农戈
陈益凯
V·菲鲁兹多尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN105474363A publication Critical patent/CN105474363A/zh
Application granted granted Critical
Publication of CN105474363B publication Critical patent/CN105474363B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/01Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics
    • C04B35/10Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics based on aluminium oxide
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/01Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics
    • C04B35/16Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics based on silicates other than clay
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/01Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics
    • C04B35/44Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics based on aluminates
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/01Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics
    • C04B35/48Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics based on zirconium or hafnium oxides, zirconates, zircon or hafnates
    • C04B35/486Fine ceramics
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/01Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics
    • C04B35/48Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics based on zirconium or hafnium oxides, zirconates, zircon or hafnates
    • C04B35/486Fine ceramics
    • C04B35/488Composites
    • C04B35/4885Composites with aluminium oxide
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/50Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on rare-earth compounds
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/50Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on rare-earth compounds
    • C04B35/505Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on rare-earth compounds based on yttrium oxide
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/515Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics
    • C04B35/5156Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics based on rare earth compounds
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/622Forming processes; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/62222Forming processes; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products obtaining ceramic coatings
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/622Forming processes; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/626Preparing or treating the powders individually or as batches ; preparing or treating macroscopic reinforcing agents for ceramic products, e.g. fibres; mechanical aspects section B
    • C04B35/62605Treating the starting powders individually or as mixtures
    • C04B35/62625Wet mixtures
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C24/00Coating starting from inorganic powder
    • C23C24/08Coating starting from inorganic powder by application of heat or pressure and heat
    • C23C24/10Coating starting from inorganic powder by application of heat or pressure and heat with intermediate formation of a liquid phase in the layer
    • C23C24/103Coating with metallic material, i.e. metals or metal alloys, optionally comprising hard particles, e.g. oxides, carbides or nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/042Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material including a refractory ceramic layer, e.g. refractory metal oxides, ZrO2, rare earth oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/02Pretreatment of the material to be coated, e.g. for coating on selected surface areas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • C23C4/11Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/12Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
    • C23C4/134Plasma spraying
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/18After-treatment
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3217Aluminum oxide or oxide forming salts thereof, e.g. bauxite, alpha-alumina
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3224Rare earth oxide or oxide forming salts thereof, e.g. scandium oxide
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3224Rare earth oxide or oxide forming salts thereof, e.g. scandium oxide
    • C04B2235/3225Yttrium oxide or oxide-forming salts thereof
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3231Refractory metal oxides, their mixed metal oxides, or oxide-forming salts thereof
    • C04B2235/3244Zirconium oxides, zirconates, hafnium oxides, hafnates, or oxide-forming salts thereof
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/34Non-metal oxides, non-metal mixed oxides, or salts thereof that form the non-metal oxides upon heating, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3418Silicon oxide, silicic acids, or oxide forming salts thereof, e.g. silica sol, fused silica, silica fume, cristobalite, quartz or flint
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24355Continuous and nonuniform or irregular surface on layer or component [e.g., roofing, etc.]
    • Y10T428/24372Particulate matter

Abstract

本文中公开的是用于生产超致密和超平滑的陶瓷涂层的方法。一种方法包括:将陶瓷颗粒浆料馈送到等离子体喷涂器中。所述等离子体喷涂器生成被引导向基板的颗粒流,从而在接触时在所述基板上形成陶瓷涂层。

Description

抗等离子体的陶瓷涂层的浆料等离子体喷涂
技术领域
本发明的实施例大体而言涉及涂层。
背景技术
在半导体产业中,器件是由生产不断减小的尺寸的结构的工艺制造的。一些制造工艺(诸如,等离子体蚀刻和等离子体清洁工艺),使基板支座(例如,在晶片处理期间为基板支座的边缘,而在腔室清洁期间为整个基板支座)暴露于高速的等离子体流以蚀刻或清洁所述基板。等离子体可能是高度腐蚀性的,并且可能腐蚀处理腔室以及暴露于等离子体的其他表面。
等离子体喷涂涂层用于保护腔室部件免受处理条件的影响,从而增强晶片上缺陷性能以及部件的寿命。然而,典型的腔室部件涂层可能具有固有的孔隙率、裂缝和粗糙的表面光洁度,这些都降低了腔室部件的性能。
发明内容
以下内容是本公开的简化的总结以提供对本公开的一些方面的基本了解。此总结不是对本公开的广泛的概述。此总结既不旨在标识本公开的关键或重要的元件,也不旨在描述本公开的特定实现的任何范围或权利要求的任何范围。此总结的唯一目的在于,以简化的形式呈现本公开的一些概念以作为稍后呈现的更详细的描述的前序。
本公开的某些实施例涉及生产用于半导体处理腔室的、具有增强的缺陷性能的、超致密和超平滑的涂层。一方面,一种方法包括以下步骤:提供基板;将陶瓷颗粒浆料馈送到等离子体羽(plasmaplume)中以生成被引导向基板的颗粒流。所述颗粒流在接触时在所述基板上形成陶瓷涂层。
另一方面,一种方法包括以下步骤:提供具有第一陶瓷涂层的基板;将陶瓷颗粒浆料馈送到等离子体羽中以生成被引导向基板的颗粒流。所述颗粒流在接触时在所述第一陶瓷涂层上形成第二陶瓷涂层。
附图说明
公开在所附附图的各图中以示例方式而非限制方式来说明本文中所公开的实施例,在所附附图中,类似的标号指示类似的元件。应当注意的是,在本公开中对“一”或“一个”实施例的不同引用不一定是指相同的实施例,并且此类引用意味着至少一个实施例。
图1描绘根据实施例的处理腔室的剖视图;
图2描绘根据实施例的等离子体喷涂装置的剖视图;
图3A和3B分别描绘根据实施例的、具有一个和两个涂层的示例性腔室部件的剖视图;
图4A是等离子体喷涂的涂层的显微照片;
图4B是等离子体喷涂的涂层的另一显微照片;
图5A是根据实施例的浆料等离子体喷涂的涂层的显微照片;
图5B是根据实施例的浆料等离子体喷涂的涂层的另一显微照片;
图6是图示根据实施例的、用于生产涂层的工艺的流程图;以及
图7是图示根据实施例的、用于生产多层式涂层的工艺的流程图。
具体实施方式
本发明的实施例提供基板,诸如,用于半导体处理腔室的腔室部件。可以使用浆料等离子体喷涂沉积在基板上形成陶瓷涂层。陶瓷涂层可充当保护涂层。在一些实施例中,可在基板上沉积涂层叠层,其中,所述涂层叠层由两个或更多个浆料等离子体喷涂的陶瓷涂层组成。在此类实施例中,每一个陶瓷涂层的厚度可以在约10微米至约500微米之间。每一个陶瓷涂层可以具有以下各项中的一者或更多者的组分:Y3Al5O12(YAG)、Y4Al2O9(YAM)、Er2O3、Gd2O3、Gd3Al5O12(GAG)、YF3、Nd2O3、Er4Al2O9、Er3Al5O12(EAG)、ErAlO3、Gd4Al2O9、GdAlO3、Nd3Al5O12、Nd4Al2O9、NdAlO3、或由Y4Al2O9与Y2O3-ZrO2的固溶体组成的陶瓷化合物。由所公开的陶瓷涂层中的一种或更多中提供的改善的抗腐蚀性可以改善腔室部件的使用寿命,同时降低维护和制造成本。
图1是半导体处理腔室100的剖视图,所述半导体处理腔室100具有根据本发明的实施例的、涂覆了涂层的一个或更多个腔室部件。处理腔室100可用于其中提供了腐蚀性等离子体环境的工艺。例如,处理腔室100可以是用于等离子体蚀刻器或等离子体蚀刻反应器、等离子体清洁器等的腔室。可以包括涂层的腔室部件的示例包括基板支撑组件148、静电夹盘(ESC)150、环(例如,工艺套组环或单环)、腔室壁、基座、气体分配板、喷淋头、衬里、衬里套组、屏蔽件、等离子体屏、流量均衡器、冷却基座、腔室观察口、腔室盖,等等。涂层(在下文中更详细地描述)可以包括以下各项中的一者或更多者:Y3Al5O12、Y4Al2O9、Er2O3、Gd2O3、Gd3Al5O12、La2O3、YAG、YF3、Nd2O3、Er4Al2O9、Er3Al5O12、ErAlO3、Gd4Al2O9、GdAlO3、Nd3Al5O12、Nd4Al2O9、NdAlO3、或由Y4Al2O9与Y2O3-ZrO2的固溶体组成的陶瓷化合物。如图所示,根据一个实施例,基板支撑组件148具有陶瓷涂层136。然而,应当理解的是,其他腔室部件(诸如,上文中列出的那些腔室部件)也可以包括涂层。
在一个实施例中,处理腔室100包括包围内部容积106的腔室体102和喷淋头130。或者,在一些实施例中,喷淋头130可由盖和喷嘴取代。腔室体102可以由铝、不锈钢或其他适当的材料制成。腔室体102通常包括侧壁108和底部110。喷淋头130(或盖和/或喷嘴)、侧壁108和/或底部110中的一者或更多者可以包括涂层。
外衬里116邻接侧壁108而设置以保护腔室体102。可用涂层来制造和/或涂覆外衬里116。在一个实施例中,外衬里116由氧化铝制成。
排气口126可以限定在腔室体102中,并且可以将内部容积106耦接到泵系统128。泵系统128可以包括一个或更多个用于排空并调节处理腔室100的内部容积106的压力的泵和节流阀。
喷淋头130可以被支撑在腔室体102的侧壁108上。喷淋头130(或盖)可被打开以允许进出处理腔室100的内部容积106,并且可以在关闭时为处理腔室100提供密封。气体面板158可耦接到处理腔室100,以便通过喷淋头130或盖和喷嘴来将工艺和/或清洁气体提供到内部容积106中。喷淋头130可用于为电介质蚀刻(对电介质材料的蚀刻)使用的处理腔室。喷淋头130包括气体分配板(GDP)133,所述GDP133具有穿过所述GDP133的多个气体输送孔132。喷淋头130可以包括粘合到铝基座或阳极化的铝基座104的GDP133。GDP133可以由Si或SiC制成,或者可以是陶瓷,诸如,Y2O3、Al2O3、YAG,等等。
对于用于导体蚀刻(对导电材料的蚀刻)的处理腔室,可以使用盖而不是喷淋头。所述盖可以包括适配进盖的中心孔的中心喷嘴。盖可以是陶瓷,诸如,Al2O3、Y2O3、YAG或由Y4Al2O9与Y2O3-ZrO2的固溶体组成的陶瓷化合物。喷嘴也可以是陶瓷,诸如,Y2O3、YAG或由Y4Al2O9与Y2O3-ZrO2的固溶体组成的陶瓷化合物。所述盖、喷淋头基座104、GDP133和/或喷嘴可能以陶瓷涂层来涂覆。
可用于在处理腔室100中处理基板的处理气体的示例包括含卤素气体(诸如,C2F6、SF6、SiCl4、HBr、NF3、CF4、CHF3、CH2F3、F、NF3、Cl2、CCl4、BCl3和SiF4等)以及其他气体(诸如,O2或N2O)。载气的示例包括N2、He、Ar以及对工艺气体是惰性的其他气体(例如,非反应的气体)。基板支撑组件148设置在处理腔室100的内部容积106中,在喷淋头130或盖的下方。基板支撑组件148在处理期间支持基板144。环146(例如,单环)可以覆盖静电夹盘150的部分,并且可保护被覆盖的部分在处理期间免于暴露于等离子体。在一个实施例中,环146可以是硅或石英。
内衬里118可涂布在基板支撑组件148的外周上。内衬里118可以是抗含卤素气体的材料,诸如,参照外衬里116所讨论的那些材料。在一个实施例中,内衬里118可由与外衬里116相同的材料制成。此外,能以陶瓷涂层来涂覆内衬里118。
在一个实施例中,基板支撑组件148包括支撑支座152的组装板162和静电夹盘150。静电夹盘150进一步包括导热基座164和静电定位盘166,所述静电定位盘166通过粘着剂138粘结至所述导热基座,在一个实施例中,所述粘着剂138可以是硅酮(silicone)粘着剂。在所示实施例中,静电定位盘166的上表面由陶瓷涂层136覆盖。在一个实施例中,陶瓷涂层136设置在静电定位盘166的上表面上。在另一实施例中,陶瓷涂层136设置在静电夹盘150的整个被暴露表面上,包括导热基座164和静电定位盘166的外围和侧缘上。组装板162耦接到腔室体102的底部110,并且包括通道,所述通道用于将设施(例如,流体、电力线、传感器引线等)引导至导热基座164和静电定位盘166。
导热基座164和/或静电定位盘166可以包括一个或更多个任选的嵌入式加热元件176、嵌入式隔热体174和/或导管168、170以控制支撑组件148的横向温度分布。导管168、170可以流体地耦接到流体源172,所述流体源172使温度调节流体循环通过导管168、170。在一个实施例中,嵌入式隔热体174可以设置在导管168与170之间。加热器176由加热器电源178调节。导管168、170和加热器176可用于控制导热基座164的温度,从而加热和/或冷却静电定位盘166以及正在被处理的基板(例如,晶片)144。可使用多个温度传感器190、192来监测静电定位盘166和导热基座164的温度,可以使用控制器195来监测所述温度传感器190、192。
静电定位盘166可以进一步包括多个气体通道,诸如,可形成在定位盘166和/或陶瓷涂层136的上表面中的沟槽、台面和其他表面特征。这些气体通道可以经由在定位盘166中钻出的孔而流体地耦接到热传递(或背侧)气体(例如,氦气)的源。在操作中,能以受控的压力将背侧气体提供到气体通道中以增强静电定位盘166与基板144之间的热传递。静电定位盘166包括由夹持电源182控制的至少一个夹持电极180。电极180(或设置在定位盘166或基座164中的其他电极)可以进一步通过匹配电路188而耦接到一个或更多个RF电源184、186,从而在处理腔室100内保持由工艺和/或其他气体形成的等离子体。电源184、186通常能够产生具有从约50kHz至约3GHz的频率以及高达约10000瓦的功率输出的RF信号。
图2描绘根据实施例的等离子体喷涂装置200的剖视图。等离子体喷涂装置200是热喷涂系统类型,用于执行对陶瓷材料的“浆料等离子体喷涂”(“SPS”)沉积。与标准的等离子体喷涂技术不同,SPS沉积利用以溶液为基础的颗粒分布(浆料)以在基板上沉积陶瓷涂层。可通过使用常压等离子体喷涂、高速氧燃料(HVOF)、暖喷涂、真空等离子体喷涂(VPS)和低压等离子体喷涂(LPPS)来喷涂浆料以执行SPS。
等离子体喷涂装置200可以包括包围喷嘴阳极206和阴极204的壳体202。壳体202允许气流208在喷嘴阳极206与阴极204之间通过等离子体喷涂装置200。可以使用外部电源以在喷嘴阳极206与阴极204之间施加电位。所述电位在喷嘴阳极206与阴极204之间产生电弧,所述电弧点燃气流208以产生等离子体气体。点燃的等离子体气流208产生高速等离子体羽(plasmaplume)214,所述高速等离子体羽214被引导离开喷嘴阳极206并去往基板220。喷嘴阳极206的远端与基板220之间的距离(即,枪管距离)可以在约50mm与约500mm之间。
等离子体喷涂装置200可以位于腔室或大气压室(booth)中。在一些实施例中,气流208可以是气体或气体混合物,包括但不限于,氩气、氮气、氢气、氦气以及上述气体的组合。气流208的流动速率可以在约50L/min与400L/min之间。施加在喷嘴阳极206与阴极204之间的电位可以是AC(交流)波形、DC(直流)波形、或上述波形的组合,并且可以在约40V与约500V之间。所施加的电位通常能够提供30kW或更大的枪管功率,并具有高达1000A或更高的枪管电流。
等离子体喷涂装置200可以配备有一个或更多个流体管线212以将浆料输送到等离子体羽214中,例如,以5mL/min(毫升/分钟)与约100mL/min之间的流动速率来输送。在一些实施例中,若干流体管线212可以布置在等离子体羽214的一侧上,或可围绕等离子体羽214对称地布置。在一些实施例中,如图2中所描绘,流体管线212能以垂直于等离子体羽214的方向的方式来布置,如图2所描绘。在其他实施例中,可调整流体管线212而以不同的角度(例如,45°)将浆料输送到等离子体羽中,或者流体管线212可至少部分地位于壳体202内部,以将浆料内部注入等离子体羽214中。在一些实施例中,每一条流体管线212可以提供不同的浆料,可利用此来改变跨基板220的所得到的涂层的组分。
可以利用浆料进料器系统以将浆料输送到流体管线212。在一些实施例中,浆料进料器系统包括流量控制器,所述流量控制器在涂覆期间维持恒定的流动速率。可以在涂覆工艺之前和之后使用例如去离子水来清洁流体管线212。在一些实施例中,在涂覆工艺的过程期间,机械式搅动容纳馈送到等离子体喷涂装置200的浆料的浆料容器以保持浆料均匀并防止沉淀。
在一些实施例中,浆料是在溶剂内的陶瓷颗粒的浆料。溶剂可以包括低分子量极性溶剂,包括但不限于,乙醇、甲醇、乙腈、去离子水或上述溶剂的组合。在一些实施例中,浆料的pH值(酸碱度)可以在约5与12之间以促进浆料的稳定性。在一些实施例中,可以使用约10wt%至约50wt%的浆料中的颗粒浓度来维持低粘度,同时使流动性最大化。在一些实施例中,浆料中的颗粒的质量中位数直径(D50)(这是依质量计的平均颗粒直径)可以在约10纳米与10微米之间。在一些实施例中,浆料可以包括分散剂以有助于均匀地分散颗粒。示例性分散剂可以包括低分子量聚合物,诸如,聚丙烯酸、聚甲基丙烯酸铵、ω-3脂肪酸(例如,二十碳五烯酸和二十二碳六烯酸)以及聚乙二醇。在一些实施例中,颗粒可以具有包括以下各项中的一者或更多者的组分:Er2O3、Gd2O3、Gd3Al5O12、La2O3、YAG、YF3、Nd2O3、Er4Al2O9、Er3Al5O12、ErAlO3、Gd4Al2O9、GdAlO3、Nd3Al5O12、Nd4Al2O9或NdAlO3
等离子体羽214可以达到约3000℃至约10000℃之间的温度。浆料(或多种浆料)在被注入到等离子体羽214中时所经历的剧烈的温度可以导致浆料溶剂快速地蒸发,并且可以熔化陶瓷颗粒,从而生成被推向基板220的颗粒流216。在与基板220撞击时,熔融颗粒可以在基板上平坦化并迅速地固化,从而形成陶瓷涂层218。在陶瓷颗粒到达基板220之前,可完全蒸发溶剂。
会影响陶瓷涂层的厚度、密度和粗糙度的参数包括浆料状态、颗粒尺寸分布、浆料进料速率、等离子体气体组分、气体流动速率、能量输入、喷涂距离以及基板冷却。
图3A和图3B分别描绘根据实施例的、具有一个和两个涂层的示例性腔室部件的剖视图。参照图3A,制品300的基座或主体302的至少部分由陶瓷涂层304涂覆。制品300(通常也被称为“基板”,所述“基板”可以参照图2所描述的基板220相同)可以是腔室部件,诸如,基板支撑组件、静电夹盘(ESC)、环(例如,处理套组环或单环)、腔室衬里、喷淋头基座、气体分配板、衬里、衬里套组、屏蔽件、等离子体隔板、流量均衡器、冷却基座、腔室观察口、腔室盖,等等。制品300的主体302可以是金属、陶瓷、金属-陶瓷复合物、聚合物或聚合物-陶瓷复合物。
各种腔室部件由不同的材料组成。例如,静电夹盘可以由陶瓷组成,所述陶瓷诸如,粘结到阳极化铝基座的Al2O3(氧化铝)、AlN(氮化铝)、TiO(氧化钛)、TiN(氮化钛)或SiC(碳化硅)。Al2O3、AlN和阳极化铝具有不良的抗等离子体腐蚀性。当暴露于具有氟化学品和/或还原化学品的等离子体环境时,静电夹盘的静电定位盘在约50射频小时(RFHr)的处理之后可能表现出降级的晶片夹持、增加的氦漏率、晶片前侧和背侧颗粒产生以及晶片上金属污染。一个射频小时是一个处理小时。
用于导体蚀刻工艺中使用的等离子体蚀刻器的盖可以是烧结陶瓷(诸如,Al2O3),因为Al2O3具有高挠曲强度和高热导率。然而,暴露于氟化学品的Al2O3在晶片上形成AlF颗粒以及铝金属污染。一些腔室盖在面向等离子体的侧具有厚膜保护层以使颗粒生成和金属污染最小化,并延长盖的寿命。然而,大多数厚膜涂覆技术具有固有的裂缝和孔隙,所述固有的裂缝和孔隙会使晶片上缺陷性能降级。
处理套组环和单环可用于密封和/或保护其他的腔室部件,并且通常是由石英或硅制成的。这些环可围绕被支撑的基板(例如,晶片)而设置以确保均匀的等离子体密度(以及由此产生的均匀的蚀刻)。然而,石英和硅在各种蚀刻化学品(例如,等离子体蚀刻化学品)下具有非常高的腐蚀速率。此外,当暴露于等离子体化学品时,此类环可能导致颗粒污染。工艺套组环和单环也可由诸如YAG之类的烧结陶瓷组成和/或由Y4Al2O9与Y2O3-ZrO2固溶体组成的陶瓷化合物组成。
用于执行电介质蚀刻工艺用蚀刻器的喷淋头通常由粘合到SiC面板的阳极化铝制成。当此类喷淋头暴露于包括氟的等离子体化学品时,由于与阳极化铝基座的等离子体相互作用,AlF可能形成。此外,阳极化铝基座的高腐蚀速率可能导致起弧,并于最终缩短对喷淋头的清洁之间的平均时间。
腔室观察口(也称为端点窗)是通常由石英或蓝宝石制成的透明部件。各种光学传感器可由观察口保护,并且可以通过所述观察口进行光学传感器读值。此外,观察口可以允许使用者在处理期间能够目视检查或查看晶片。石英和蓝宝石都具有不良的抗等离子体腐蚀性。随着等离子体化学品腐蚀并粗糙化观察口,观察口的光学性质改变。例如,观察口可能变得模糊不清,和/或通过观察口的光信号可能变得歪斜。这可能损害光学传感器收集准确的读值的能力。然而,厚膜保护层可能不适合用在观察口上,因为这些涂层可能堵塞观察口。
上述提供的示例仅陈述性能可以通过使用本文的实施例中阐述的薄膜保护层而改善的一些腔室部件。
返回参照第3A图,制品300的主体302可以包括一个或更多个表面特征。对于静电夹盘,表面特征可以包括台面、密封带、气体通道、氦孔,等等。对于喷淋头,表面特征可以包括粘合线、用于气体分配的数百或数千个孔、围绕气体分配孔的凹陷或凸块,等等。其他的腔室部件可能具有其他表面特征。
形成在主体302上的陶瓷涂层304可适形于主体302的表面特征。如图所示,陶瓷涂层304维持主体302的上表面的相对形状(例如,浮印台面的形状)。此外,陶瓷涂层可以是足够薄的,以免堵塞喷淋头中的孔或静电夹盘中的氦孔。在一个实施例中,陶瓷涂层304具有小于约20微米的厚度。在进一步的实施例中,陶瓷涂层304具有约10微米至约500微米的厚度。可使用参照图2所描述的等离子体喷涂装置200而在主体302上沉积陶瓷涂层304。
参照图3B,以两个涂层来涂覆制品350的基座或主体352的至少部分:第一涂层354以及沉积在所述第一涂层354上的第二涂层356。在一些实施例中,第一涂层354可以是使用标准沉积技术来执行的涂层,所述标准沉积技术诸如,对粉末的干法等离子体喷涂、热沉积、溅射等。第一涂层354可以是陶瓷涂层,但可以具有高的表面粗糙度以及表面缺陷,诸如,裂缝和孔隙。因此,第二涂层356可以沉积到第一涂层354上。第二涂层可以是使用例如参照图2所描述的等离子体喷涂装置200来进行的SPS沉积的陶瓷涂层。在一些实施例中,第一和第二涂层都可以是具有不同组分的SPS沉积的陶瓷涂层。
第一和第二涂层354、356仅是说明性的,并且任何适当数量的涂层都可以沉积在主体352上,从而形成涂层叠层。涂层叠层中的一个或更多个涂层可以是陶瓷涂层(例如,SPS沉积的陶瓷涂层)。涂层叠层中的涂层可以全部具有相同的厚度,或者它们可以具有不同的厚度。涂层叠层中的每一个涂层可以都具有小于约20微米的厚度,并且在一些实施例中,可以都具有约10微米的厚度。在一个示例中,对于双层叠层(如图3B中所描绘),第一涂层354可以具有约10微米的厚度,而第二涂层356可以具有约10微米的厚度。在另一示例中,第一涂层356可以是具有约10微米厚度的YAG层,而第二涂层356可以是具有约500微米厚度的SPS沉积的陶瓷涂层。
每次加热和冷却制品时,在陶瓷涂层与所述陶瓷涂层涂覆的基板之间的热膨胀系数的失配在陶瓷涂层上导致应力。此类应力可能集中在竖直裂缝处。这可能导致陶瓷涂层最终从所述陶瓷涂层涂覆的基板剥离。相比之下,如果没有竖直裂缝,则跨薄膜各处,应力大致均匀地分布。因此,在一个实施例中,第一涂层354是非晶陶瓷(诸如,YAG或EAG),而第二涂层356是结晶或纳米结晶陶瓷(例如,陶瓷化合物或Er2O3),其中,涂层中的一个或更多个涂层是SPS沉积的涂层。在此类实施例中,与第一涂层354相比,第二涂层356可以提供更高的抗等离子体性。通过将第二涂层356形成在第一涂层354上方而不是直接形成在主体352上方,第一涂层354可充当缓冲,以使后续的涂层上的晶格失配最小化。因此,可增加第二涂层356的寿命。
在另一示例中,主体、Y3Al5O12、Y4Al2O9、Er2O3、Gd2O3、Er3Al5O12、Gd3Al5O12以及由Y4Al2O9与Y2O3-ZrO2固溶体组成的陶瓷化合物中的每一个可以都具有不同的热膨胀系数。两个相邻的材料之间的热膨胀系数的失配越大,那些材料中的一种材料最终将破裂,剥离,或以其他方式失去至另一种材料的粘合的可能性就越高。能以使相邻的涂层之间(或第一涂层354与主体352之间)的热膨胀系数的失配最小化的方式来形成第一和第二涂层354、356。例如,主体352可以是氧化铝,而EAG可以具有与氧化铝的热膨胀系数最接近的热膨胀系数,接着是YAG的热膨胀系数,接着是附加的化合物陶瓷涂层的热膨胀系数。因此,在一个实施例中,第一涂层354可以是EAG,第二涂层356可以是YAG,而附加的涂层可以是化合物陶瓷。
在另一示例中,涂层叠层中的多个涂层可以是两种不同陶瓷的交替层。例如,第一和第三涂层可以是YAG,而第二和第四涂层可以是化合物陶瓷。此类交替的涂层可以提供与上述在其中用于交替的涂层的一种材料是非晶的并且在交替的涂层中使用的另一种材料是结晶或纳米结晶的情况下类似的那些优点。
在一些实施例中,涂层叠层中的一个或更多个涂层是使用热处理而形成的过渡层。如果主体352是陶瓷主体,则可进行高温热处理以促进陶瓷涂层(例如,陶瓷涂层354)与主体352之间的相互扩散。此外,可以执行热处理以促进相邻的涂层之间或厚涂层与薄涂层之间的相互扩散。过渡层可以是非多孔层,可以充当两种陶瓷之间的扩散粘合,并且可以在相邻的陶瓷涂层之间提供改善的粘着。这可以有助于防止陶瓷涂层在等离子体处理器件破裂、剥落、或脱落。
热处理可以是在高达约1200-2000℃的温度下,以0.5-5℃/分钟的升温速率进行长达1-12小时的持续时间的热处理。能以0.5-5℃/分钟的速率来控制冷却,或者冷却可以是在环境条件下的自然冷却。这可以在第一陶瓷涂层与相邻的陶瓷主体中的一个或更多个或第二陶瓷涂层之间产生相互扩散层。例如,如果陶瓷主体是Al2O3,并且陶瓷涂层由Y4Al2O9与Y2-xZrxO3固溶体(Y2O3-ZrO2固溶体)的陶瓷化合物组成,则将形成Y3Al5O12界面层。类似地,热处理将使EAG过渡层在Er2O3与Al2O3之间形成。热处理也将使YAG过渡层在Y2O3与Al2O3之间形成。热处理也可以使GAG在Gd2O3与Al2O3之间形成。对Al2O3上方的氧化钇稳定的氧化锆(YSZ)进行的热处理可以形成Y4Al2O9与Y2-xZrxO3固溶体的陶瓷化合物的过渡层。其他过渡层可以形成在其他相邻的陶瓷涂层之间。
根据本文中所描述的实施例,通过使用陶瓷颗粒的浆料来执行SPS沉积,陶瓷涂层组分的示例可以包括Y3Al5O12、Y4Al2O9、Er2O3、Gd2O3、La2O3、YAG、Er3Al5O12、Gd3Al5O12、由Y4Al2O9与Y2O3-ZrO2的固溶体(Y2O3-ZrO2固溶体)组成的陶瓷化合物、或先前所标识的其他陶瓷材料中的任何一种。其他Er基和/或Gd基的抗等离子体的稀土氧化物也可用于形成陶瓷涂层(例如,涂层218、304、354和/或356)。
SPS沉积的陶瓷涂层也可以基于由上述陶瓷中的任何一种形成的固溶体。对于由Y4Al2O9与Y2O3-ZrO2的固溶体组成的陶瓷化合物,在一个实施例中,陶瓷化合物包括62.93摩尔比例(摩尔%)的Y2O3、23.23摩尔%的ZrO2以及13.94摩尔%的Al2O3。在另一实施例中,陶瓷化合物可以包括范围在50-75摩尔%的Y2O3、范围在10-30摩尔%的ZrO2以及范围在10-30摩尔%的Al2O3。在另一实施例中,陶瓷化合物可以包括范围在40-100摩尔%的Y2O3、范围在0-60摩尔%的ZrO2以及范围在0-10摩尔%的Al2O3。在另一实施例中,陶瓷化合物可以包括范围在40-60摩尔%的Y2O3、范围在30-50摩尔%的ZrO2以及范围在10-20摩尔%的Al2O3。在另一实施例中,陶瓷化合物可以包括范围在40-50摩尔%的Y2O3、范围在20-40摩尔%的ZrO2以及范围在20-40摩尔%的Al2O3。在另一实施例中,陶瓷化合物可以包括范围在70-90摩尔%的Y2O3、范围在0-20摩尔%的ZrO2以及范围在10-20摩尔%的Al2O3。在另一实施例中,陶瓷化合物可以包括范围在60-80摩尔%的Y2O3、范围在0-10摩尔%的ZrO2以及范围在20-40摩尔%的Al2O3。在另一实施例中,陶瓷化合物可以包括范围在40-60摩尔%的Y2O3、范围在0-20摩尔%的ZrO2以及范围在30-40摩尔%的Al2O3。在另一实施例中,陶瓷化合物可以包括范围在30-60摩尔%的Y2O3、范围在0-20摩尔%的ZrO2以及范围在30-60摩尔%的Al2O3。在另一实施例中,陶瓷化合物可以包括范围在20-40摩尔%的Y2O3、范围在20-80摩尔%的ZrO2以及范围在0-60摩尔%的Al2O3。在其他实施例中,其他分布也可用于陶瓷化合物。
在一个实施例中,将包括Y2O3、ZrO2、Er2O3、Gd2O3和SiO2的组合的替代陶瓷化合物用于陶瓷涂层。在一个实施例中,替代陶瓷化合物可以包括范围在40-45摩尔%的Y2O3、范围在0-10摩尔%的ZrO2、范围在35-40摩尔%的Er2O3、范围在5-10摩尔%的Gd2O3以及范围在5-15摩尔%的SiO2。在另一实施例中,替代陶瓷化合物可以包括范围在30-60摩尔%的Y2O3、范围在0-20摩尔%的ZrO2、范围在20-50摩尔%的Er2O3、范围在0-10摩尔%的Gd2O3以及范围在0-30摩尔%的SiO2。在另一实施例中,替代陶瓷化合物可以包括范围在30-45摩尔%的Y2O3、范围在5-15摩尔%的ZrO2、范围在25-60摩尔%的Er2O3以及范围在0-25摩尔%的Gd2O3。在第一示例中,替代陶瓷化合物包括40摩尔%的Y2O3、5摩尔%的ZrO2、35摩尔%的Er2O3、5摩尔%的Gd2O3以及15摩尔%的SiO2。在第二示例中,替代陶瓷化合物包括45摩尔%的Y2O3、5摩尔%的ZrO2、35摩尔%的Er2O3、10摩尔%的Gd2O3以及5摩尔%的SiO2。在第三示例中,替代陶瓷化合物包括40摩尔%的Y2O3、5摩尔%的ZrO2、40摩尔%的Er2O3、7摩尔%的Gd2O3以及8摩尔%的SiO2。在一个实施例中,陶瓷涂层包括范围在70-75摩尔%的Y2O3以及范围在25-30摩尔%的ZrO2。在进一步的实施例中,陶瓷涂层是名为YZ20的材料,所述YZ20包括73.13摩尔%的Y2O3和26.87摩尔%的ZrO2。在进一步的实施例中,陶瓷涂层是名为YEZ08的材料,所述YEZ08包括37摩尔%的Y2O3、8摩尔%的ZrO2以及55摩尔%的Er2O3。在进一步的实施例中,陶瓷涂层是名为YEZG10的材料,所述YEZG10包括40摩尔%的Y2O3、10摩尔%的ZrO2、30摩尔%的Er2O3以及20摩尔%的Gd2O3
前述陶瓷涂层中的任何一个都可以包括微量的其他材料,诸如,ZrO2、Al2O3、SiO2、B2O3、Er2O3、Nd2O3、Nb2O5、CeO2、Sm2O3、Yb2O3或其他氧化物。在一个实施例中,相同的陶瓷材料不用于两个相邻的陶瓷涂层。然而,在另一实施例中,相邻的涂层可以由相同的陶瓷组成。
现在参照图4和图5,展示在使用利用干燥的粉末的常规的等离子体喷涂沉积以及对浆料进行的浆料等离子体喷涂沉积来制备陶瓷涂层的差异。
图4A和图4B为通过等离子体喷涂Y2O3的干燥的粉末(“干法等离子体喷涂”)而制备的等离子体喷涂的涂层的显微照片,图4A和图4B示出不同的放大倍率下的同一个Y2O3涂层。图5A和图5B是根据实施例的、通过等离子体喷涂Y2O3浆料而制备的浆料等离子体喷涂的涂层的显微照片,图5A和图5B示出不同的放大倍率下的同一个Y2O3涂层。表1示出图5A和图5B中的SPS沉积的涂层在表面粗糙度、孔隙度和抗腐蚀性(例如,HCl冒泡时间)方面相比图4A和图4B中的干法等离子体喷涂的涂层的改善的性质。
表1:干法等离子体喷涂与浆料等离子体喷涂的比较
性质 干法等离子体喷涂的Y2O3 浆料等离子体喷涂的Y2O3
粗糙度(微英寸) 高达270 低于100
孔隙度(%) 3-5 低于0.8
HCl冒泡时间(小时) 长达4 至少8
图4A和图4B的干法等离子体喷涂的涂层是高度多孔隙的,并且含有暴露出位于下方的基板的缺陷,而图5A和图5B的溶液喷涂的涂层是较少孔隙的,并且具有最少的缺陷。在一些实施例中,可在具有干法等离子体喷涂的涂层(诸如,图4A和图4B的涂层)的基板上沉积SPS沉积的涂层(诸如,图5A和图5B的涂层)以遮蔽缺陷并最终提供较平滑的陶瓷涂层。
图6是图示根据实施例的、用于生产涂层的工艺600的流程图。在框602处,提供基板。在一些实施例中,基板是晶片(例如,硅晶片)。在一些实施例中,基板可以是参照图1所述的适当的腔室部件。例如,基板可以是但不限于以下各项中的任何一者:盖、喷嘴、静电夹盘(例如,ESC150)、喷淋头(例如,喷淋头130)、衬里(例如,外衬里116或内衬里118)或衬里套组、或环(例如,环146)。
在框604处,将陶瓷颗粒浆料馈送到等离子体喷涂器中。可使用适当的流体管线(例如,流体管线212中的一条或更多条)来将浆料馈送到等离子体喷涂器(例如等离子体喷涂装置200)。浆料可以含有具有以下各项中的一者或更多者的组分的陶瓷颗粒:Er2O3、Gd2O3、Gd3Al5O12、YF3、Nd2O3、Er4Al2O9、Er3Al5O12、ErAlO3、Gd4Al2O9、GdAlO3、Nd3Al5O12、Nd4Al2O9或NdAlO3。这些颗粒的D50可以在约10纳米与10微米之间。浆料可以含有溶剂,诸如,乙醇、甲醇、去离子水、乙腈或上述溶剂的组合,并且浆料的pH值可以在5与12之间。浆料中的颗粒浓度可以是约10wt%至约50wt%。
在框606处,等离子体喷涂器产生被引导向基板的陶瓷颗粒流以在所述基板上形成陶瓷涂层。当浆料进入由等离子体喷涂器生成的等离子体羽(例如,等离子体羽214)时,溶剂被蒸发,并且颗粒流(例如,颗粒流216)被推向基板(例如,基板220)。撞击在基板的表面上的熔融的陶瓷颗粒在所述基板上形成陶瓷涂层。产生的陶瓷涂层的组分可以是以下各项中的一者或更多者:Y3Al5O12、Y4Al2O9、Er2O3、Gd2O3、Gd3Al5O12(GAG)、YF3、Nd2O3、Er4Al2O9、Er3Al5O12(EAG)、ErAlO3、Gd4Al2O9、GdAlO3、Nd3Al5O12、Nd4Al2O9、NdAlO3或由Y4Al2O9与Y2O3-ZrO2的固溶体组成的陶瓷化合物。
在一些实施例中,在执行SPS沉积之前,掩模可以已经被放置在基板上方。例如,掩模可以被放置在距基板短距离(例如,1-10mm)处,所述掩模选择性地阻止陶瓷颗粒撞击在基板的某些区域上。作为另一示例,所述掩模可以是光阻剂层,所述光阻剂层可以在稍后被剥除以在基板上留下由陶瓷材料构成的特征。此类掩模操作可以允许大尺度和小尺度的陶瓷特征被沉积在基板上。例如,对基板掩模可用于在ESC表面上形成台面。
在框608处,在陶瓷颗粒流形成陶瓷涂层时冷却基板。例如,冷却流体管线(例如,水管线)可以在基板下方或邻近基板处通过,以便在热颗粒流撞击在基板上时引起基板与冷却流体之间的热交换。在一些实施例中,冷却基板可以促进陶瓷涂层的形成。在其他实施例中,框608可以完全省略。
在框610处,将陶瓷涂层加热至约1200℃与约2000℃之间的温度达约1小时至约12小时。在一些实施例中,在完成了等离子体喷涂之后执行框610。可以在等离子体喷涂器腔室中加热基板(例如,通过使用位于基板邻近处的热元件来加热),或者可在单独的加热腔室中加热基板。加热陶瓷涂层可以有助于降低陶瓷涂层的孔隙度和表面粗糙度。在一些实施例中,框610可以完全省略。
图7为图示根据实施例的、用于生产多层式涂层的工艺700的流程图。在框702处,提供基板,所述基板在所述基板上设置有第一陶瓷涂层。第一陶瓷涂层可以是浆料等离子体喷涂的陶瓷涂层,或者可以是已使用不同的沉积技术而沉积的。在一些实施例中,基板可以是如参照图1所描述的适当的腔室部件。例如,基板可以是但不限于以下各项中的任何一者:盖、喷嘴、静电夹盘(例如,ESC150)、喷淋头(例如,喷淋头130)、衬里(例如,外衬里116或内衬里118)或衬里套组、或环(例如,环146)。
在框704处,将陶瓷颗粒浆料馈送到等离子体喷涂器中。框704可以与参照图6所描述的框604相同或类似。
在框706处,等离子体喷涂器生成被引导向基板的陶瓷颗粒流以在第一陶瓷涂层上形成第二陶瓷涂层。框706可以与参照图6所描述的框606相同或类似,并且浆料可以是本文中所述的任何适当的浆料。在一些实施例中,第一陶瓷涂层的第一孔隙度大于0.5%,并且第二陶瓷涂层的第二孔隙率小于或等于0.5%。在一些实施例中,第一陶瓷涂层的第一表面粗糙度大于或等于100μin(微英寸),并且第二陶瓷涂层的第二表面粗糙度小于或等于100μin。在一些实施例中,可使用掩模操作(如参照图6中的框606所述)以选择性地对第一陶瓷涂层上的陶瓷特征进行图案化。
在一些实施例中,第一和第二陶瓷涂层具有相同的组分。在一些实施例中,第一和第二陶瓷涂层具有不同的组分。在一些实施例中,框704和706可执行如所需要的许多次以产生多层式涂层叠层。
在框708处,在陶瓷颗粒流形成第二陶瓷涂层时冷却基板。能以与参照图6所述的框608基本上类似的方式来执行框708。在一些实施例中,框708可以完全省略。
在框710处,将陶瓷涂层加热至约1200℃与约2000℃之间的温度达约1小时至约12小时。能以大致上与参照图6所述的框610类似的方式来执行框710。在一些实施例中,框710可以完全省略。
前面的描述阐述了众多特定的细节(诸如,特定的系统、部件、方法等的示例)以提供对本发明的若干实施例的良好理解。然而,对于本领域技术人员而言将显而易见的是,可以在没有这些特定细节的情况下来实施本发明的至少一些实施例。在其他实例中,不详细地描述或以简单的框图格式呈现公知的部件或方法以避免不必要地使本发明含糊。因此,所阐述的特定细节仅是示例性的。特定的实施例可以与这些示例性细节不同,并且仍然被视为是在本公开的范围内。
贯穿本说明书对“一个实施例”或“实施例”的提及移位这结合所述实施例所描述的特定的特征、结构或特性被包括在至少一个实施例中。因此,贯穿本说明书,在不同的地方出现的短语“在一个实施例中”或“在实施例中”不一定全部是指同一个实施例。此外,术语“或”旨在意味着包含性的“或”而不是排他性的“或”。当在本文中使用术语“约”或“大约”时,旨在意味着所呈现的标称值在±10%内是精确的。
虽然以特定的顺序图示和描述本文中的方法的操作,但可以改变每一种方法的操作顺序,使得可逆序地执行某些操作,或者使得可至少部分地与其他操作一起同时执行某些操作。在另一实施例中,指令或不同的操作的子操作可以是间歇性的和/或交替的方式。
应当理解的是,以上描述旨在是说明性的而不是限制性的。在阅读并理解以上描述之后,许多其他实施例对于本领域技术人员而言将是显而易见的。因此,本发明的范围应当参照所附权利要求书以及此类权利要求所赋予的等效方案的完整范围来确定。

Claims (15)

1.一种方法,包含以下步骤:
将浆料馈送到等离子体喷涂器中,其中所述浆料包括陶瓷颗粒,所述陶瓷颗粒包含以下各项中的至少一者:Er2O3、Gd2O3、Gd3Al5O12、La2O3、YAG、YF3、Nd2O3、Er4Al2O9、Er3Al5O12、ErAlO3、Gd4Al2O9、GdAlO3、Nd3Al5O12、Nd4Al2O9或NdAlO3;以及
利用所述等离子体喷涂器来生成陶瓷颗粒流,所述陶瓷颗粒流被引导向基板,其中所述陶瓷颗粒流在与所述基板接触时在所述基板上形成陶瓷涂层。
2.如权利要求1所述的方法,其中所述陶瓷涂层包含陶瓷化合物,所述陶瓷化合物包含Y4Al2O9与Y2O3-ZrO2固溶体,其中,所述陶瓷涂层具有选自由以下所组成的列表的组分:
50-75摩尔%的Y2O3、10-30摩尔%的ZrO2以及10-30摩尔%的Al2O3
40-100摩尔%的Y2O3、0-60摩尔%的ZrO2以及0-10摩尔%的Al2O3
40-60摩尔%的Y2O3、30-50摩尔%的ZrO2以及10-20摩尔%的Al2O3
40-50摩尔%的Y2O3、20-40摩尔%的ZrO2以及20-40摩尔%的Al2O3
70-90摩尔%的Y2O3、0-20摩尔%的ZrO2以及10-20摩尔%的Al2O3
60-80摩尔%的Y2O3、0-10摩尔%的ZrO2以及20-40摩尔%的Al2O3
40-60摩尔%的Y2O3、0-20摩尔%的ZrO2以及30-40摩尔%的Al2O3
30-60摩尔%的Y2O3、0-20摩尔%的ZrO2以及30-60摩尔%的Al2O3;以及
20-40摩尔%的Y2O3、20-80摩尔%的ZrO2以及0-60摩尔%的Al2O3
3.如权利要求1所述的方法,其中所述陶瓷涂层包含30-60摩尔%的Y2O3、0-20摩尔%的ZrO2、20-50摩尔%的Er2O3、0-10摩尔%的Gd2O3以及0-30摩尔%的SiO2
4.如权利要求1所述的方法,其中所述陶瓷涂层包含30-45摩尔%的Y2O3、5-15%摩尔%的ZrO2、25-60摩尔%的Er2O3以及0-25摩尔%的Gd2O3
5.如权利要求1所述的方法,其中通过在使所述浆料通过所述等离子体喷涂器时从所述浆料中蒸发溶剂来生成所述陶瓷颗粒流,并且其中,所述溶剂包含乙醇、甲醇、去离子水、或乙腈中的至少一者。
6.如权利要求1所述的方法,其中进一步包含以下步骤:
在形成所述陶瓷涂层之后,将所述陶瓷涂层加热至高达约2000℃的温度进行长达约12小时的持续时间。
7.如权利要求1所述的方法,其中所述陶瓷颗粒的尺寸高达15微米,并且其中,所述浆料的pH值在5与12之间。
8.如权利要求1所述的方法,其中所述陶瓷涂层的厚度高达约500微米,所述陶瓷涂层的表面粗糙度小于100微英寸,所述陶瓷涂层的孔隙度小于约0.8%。
9.如权利要求1所述的方法,其中所述基板为腔室部件,所述腔室部件是选自由以下所组成的群组:盖、喷嘴、静电夹盘、喷淋头、衬里套组或环。
10.如权利要求1所述的方法,其中所述基板包含设置在所述基板上的附加的陶瓷涂层,其中,所述陶瓷涂层形成在所述附加的陶瓷涂层上方,其中,所述附加的陶瓷涂层的第一孔隙度大于0.8%,并且所述陶瓷涂层的第二孔隙度小于或等于0.8%,并且其中,所述附加的陶瓷涂层的第一表面粗糙度大于100微英寸,并且所述陶瓷涂层的第二表面粗糙度小于或等于100微英寸。
11.一种制品,所述制品包含主体以及在所述主体上的陶瓷涂层,其中所述陶瓷涂层是使用包含以下步骤的方法而制成的:
将浆料馈送到等离子体喷涂器中,其中,所述浆料包括陶瓷颗粒,所述陶瓷颗粒包含以下各项中的至少一者:Er2O3、Gd2O3、Gd3Al5O12、La2O3、YAG、YF3、Nd2O3、Er4Al2O9、Er3Al5O12、ErAlO3、Gd4Al2O9、GdAlO3、Nd3Al5O12、Nd4Al2O9或NdAlO3;以及
利用所述等离子体喷涂器来生成陶瓷颗粒流,所述陶瓷颗粒流被引导向所述主体,其中,所述陶瓷颗粒流在与所述主体接触时在所述主体上形成所述陶瓷涂层。
12.如权利要求11所述的制品,其中所述陶瓷涂层的厚度高达约500微米,所述陶瓷涂层的表面粗糙度小于100微英寸,所述陶瓷涂层的孔隙度小于约0.8%。
13.如权利要求11所述的制品,其中所述基板包含设置在所述基板上的附加的陶瓷涂层,并且其中所述陶瓷涂层形成在所述附加的陶瓷涂层上方。
14.如权利要求13所述的制品,其中:
所述附加的陶瓷涂层的第一孔隙度大于0.8%,并且所述陶瓷涂层的第二孔隙度小于或等于0.8%;并且
所述附加的陶瓷涂层的第一表面粗糙度大于100微英寸,并且所述陶瓷涂层的第二表面粗糙度小于或等于100微英寸
15.如权利要求11所述的制品,其中所述陶瓷涂层包含陶瓷化合物,所述陶瓷化合物包含Y4Al2O9与Y2O3-ZrO2固溶体,其中,所述陶瓷涂层具有选自由以下所组成的列表的组分:
50-75摩尔%的Y2O3、10-30摩尔%的ZrO2以及10-30摩尔%的Al2O3
40-100摩尔%的Y2O3、0-60摩尔%的ZrO2以及0-10摩尔%的Al2O3
40-60摩尔%的Y2O3、30-50摩尔%的ZrO2以及10-20摩尔%的Al2O3
40-50摩尔%的Y2O3、20-40摩尔%的ZrO2以及20-40摩尔%的Al2O3
70-90摩尔%的Y2O3、0-20摩尔%的ZrO2以及10-20摩尔%的Al2O3
60-80摩尔%的Y2O3、0-10摩尔%的ZrO2以及20-40摩尔%的Al2O3
40-60摩尔%的Y2O3、0-20摩尔%的ZrO2以及30-40摩尔%的Al2O3
30-60摩尔%的Y2O3、0-20摩尔%的ZrO2以及30-60摩尔%的Al2O3;以及
20-40摩尔%的Y2O3、20-80摩尔%的ZrO2以及0-60摩尔%的Al2O3
CN201580001485.9A 2014-05-07 2015-05-06 抗等离子体的陶瓷涂层的浆料等离子体喷涂 Active CN105474363B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201461989903P 2014-05-07 2014-05-07
US61/989,903 2014-05-07
US14/704,742 US10730798B2 (en) 2014-05-07 2015-05-05 Slurry plasma spray of plasma resistant ceramic coating
US14/704,742 2015-05-05
PCT/US2015/029517 WO2015171801A1 (en) 2014-05-07 2015-05-06 Slurry plasma spray of plasma resistant ceramic coating

Publications (2)

Publication Number Publication Date
CN105474363A true CN105474363A (zh) 2016-04-06
CN105474363B CN105474363B (zh) 2021-05-07

Family

ID=54367214

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201580001485.9A Active CN105474363B (zh) 2014-05-07 2015-05-06 抗等离子体的陶瓷涂层的浆料等离子体喷涂

Country Status (6)

Country Link
US (2) US10730798B2 (zh)
JP (1) JP6820103B2 (zh)
KR (1) KR102395205B1 (zh)
CN (1) CN105474363B (zh)
TW (3) TWI715049B (zh)
WO (1) WO2015171801A1 (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106077663A (zh) * 2016-07-29 2016-11-09 安庆市德奥特汽车零部件制造有限公司 一种纳米钇铝石榴石纤维复合涂层内燃机用活塞环的制备方法
CN110036467A (zh) * 2016-12-12 2019-07-19 应用材料公司 用于静电吸盘的新式修复方法
CN110240481A (zh) * 2018-03-07 2019-09-17 应用材料公司 用于等离子体环境中的腔室部件的氧化钇-二氧化锆耐蚀材料
CN112063956A (zh) * 2020-08-10 2020-12-11 暨南大学 一种悬浮液等离子喷涂高纯y2o3耐侵蚀涂层及其制备方法与应用
CN113862598A (zh) * 2021-09-01 2021-12-31 暨南大学 一种用于TBCs或EBCs的抗CMAS防护层及其制备方法以及由此得到的防护结构
CN115717229A (zh) * 2021-08-24 2023-02-28 Komico有限公司 耐等离子体涂布膜、其制造方法以及耐等离子体构件

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
KR101727848B1 (ko) * 2013-03-13 2017-04-17 가부시키가이샤 후지미인코퍼레이티드 용사용 슬러리, 용사 피막 및 용사 피막의 형성 방법
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US10468235B2 (en) 2013-09-18 2019-11-05 Applied Materials, Inc. Plasma spray coating enhancement using plasma flame heat treatment
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
US11066734B2 (en) 2014-09-03 2021-07-20 Fujimi Incorporated Thermal spray slurry, thermal spray coating and method for forming thermal spray coating
US20160341832A1 (en) * 2015-05-21 2016-11-24 DM Lighting Technologies Inc. Microchip Composite Structure of Ce:Yag and Production Method
US9850161B2 (en) * 2016-03-29 2017-12-26 Applied Materials, Inc. Fluoride glazes from fluorine ion treatment
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US11572617B2 (en) 2016-05-03 2023-02-07 Applied Materials, Inc. Protective metal oxy-fluoride coatings
US9850573B1 (en) * 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US10265725B2 (en) * 2016-12-02 2019-04-23 General Electric Company Coating system and method
US11067002B2 (en) 2016-12-06 2021-07-20 General Electric Company Gas turbine engine maintenance tool
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US20180240649A1 (en) * 2017-02-17 2018-08-23 Lam Research Corporation Surface coating for plasma processing chamber components
US10755900B2 (en) 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components
JP7284553B2 (ja) * 2017-09-21 2023-05-31 日本特殊陶業株式会社 溶射膜を備えた基材及びその製造方法
US11279656B2 (en) 2017-10-27 2022-03-22 Applied Materials, Inc. Nanopowders, nanoceramic materials and methods of making and use thereof
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
US10443126B1 (en) 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
CN112384641A (zh) * 2018-07-03 2021-02-19 朗姆研究公司 用于调节陶瓷涂层的方法
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
US11180847B2 (en) 2018-12-06 2021-11-23 Applied Materials, Inc. Atomic layer deposition coatings for high temperature ceramic components
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
JP7331762B2 (ja) * 2019-04-12 2023-08-23 信越化学工業株式会社 溶射材料、その製造方法、及び溶射皮膜の形成方法
WO2021041115A1 (en) * 2019-08-23 2021-03-04 Lam Research Corporation Near netshape additive manufacturing using low temperature plasma jets
KR102371936B1 (ko) * 2019-12-04 2022-03-08 한국세라믹기술원 내플라즈마 침식성 및 기계적 특성이 우수한 반도체 부품의 코팅방법

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030049500A1 (en) * 2001-08-29 2003-03-13 Shin-Etsu Chemical Co., Ltd. Rare earth-containing oxide member
CN1663017A (zh) * 2002-06-27 2005-08-31 蓝姆研究公司 等离子体反应器的产量增进热喷涂含氧化钇涂层
CN1906026A (zh) * 2003-12-18 2007-01-31 兰姆研究公司 半导体材料加工设备中的氧化钇涂覆的陶瓷部件及该部件的制造方法
US20090214825A1 (en) * 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
US20110086178A1 (en) * 2009-10-14 2011-04-14 General Electric Company Ceramic coatings and methods of making the same
CN102210196A (zh) * 2008-11-10 2011-10-05 应用材料公司 用于等离子腔室部件的抗等离子涂层
US20120144640A1 (en) * 2007-01-11 2012-06-14 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
US20130288037A1 (en) * 2012-04-27 2013-10-31 Applied Materials, Inc. Plasma spray coating process enhancement for critical chamber components

Family Cites Families (94)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4695439A (en) 1986-09-25 1987-09-22 Gte Products Corporation Yttrium oxide stabilized zirconium oxide
US4773928A (en) 1987-08-03 1988-09-27 Gte Products Corporation Plasma spray powders and process for producing same
US6447848B1 (en) 1995-11-13 2002-09-10 The United States Of America As Represented By The Secretary Of The Navy Nanosize particle coatings made by thermally spraying solution precursor feedstocks
US6949203B2 (en) 1999-12-28 2005-09-27 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
JP3672833B2 (ja) * 2000-06-29 2005-07-20 信越化学工業株式会社 溶射粉及び溶射被膜
JP2003073794A (ja) * 2001-06-18 2003-03-12 Shin Etsu Chem Co Ltd 耐熱性被覆部材
TWI234417B (en) 2001-07-10 2005-06-11 Tokyo Electron Ltd Plasma procesor and plasma processing method
US20030047464A1 (en) 2001-07-27 2003-03-13 Applied Materials, Inc. Electrochemically roughened aluminum semiconductor processing apparatus surfaces
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US8067067B2 (en) 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
WO2005017226A1 (en) 2003-01-10 2005-02-24 University Of Connecticut Coatings, materials, articles, and methods of making thereof
WO2004063416A2 (en) 2003-01-10 2004-07-29 Inframat Corporation Apparatus and method for solution plasma spraying
US20060222777A1 (en) 2005-04-05 2006-10-05 General Electric Company Method for applying a plasma sprayed coating using liquid injection
US7672110B2 (en) 2005-08-29 2010-03-02 Applied Materials, Inc. Electrostatic chuck having textured contact surface
US7968205B2 (en) 2005-10-21 2011-06-28 Shin-Etsu Chemical Co., Ltd. Corrosion resistant multilayer member
US7850779B2 (en) 2005-11-04 2010-12-14 Applied Materisals, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US7655328B2 (en) 2006-04-20 2010-02-02 Shin-Etsu Chemical Co., Ltd. Conductive, plasma-resistant member
FR2900351B1 (fr) * 2006-04-26 2008-06-13 Commissariat Energie Atomique Procede de preparation d'une couche nanoporeuse de nanoparticules et couche ainsi obtenue
US20080029032A1 (en) 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
US7479464B2 (en) 2006-10-23 2009-01-20 Applied Materials, Inc. Low temperature aerosol deposition of a plasma resistive layer
KR101304413B1 (ko) 2006-10-25 2013-09-10 삼성디스플레이 주식회사 표시 장치 및 그의 제조 방법
US20100107982A1 (en) * 2007-03-22 2010-05-06 Kabushiki Kaisha Toshiba Vacuum deposition apparatus part and vacuum deposition apparatus using the part
JP2008251765A (ja) * 2007-03-30 2008-10-16 Hitachi High-Technologies Corp プラズマエッチング装置
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
JP5154141B2 (ja) * 2007-05-21 2013-02-27 信越化学工業株式会社 希土類酸化物含有溶射基板及び積層板
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
JP2009068067A (ja) * 2007-09-13 2009-04-02 Covalent Materials Corp 耐プラズマ性セラミックス溶射膜
JP5047741B2 (ja) * 2007-09-13 2012-10-10 コバレントマテリアル株式会社 耐プラズマ性セラミックス溶射膜
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
JP2009161800A (ja) * 2007-12-28 2009-07-23 Riverstone Kogyo Kk 酸化ガドニウム溶射皮膜とその製造法
JP5466831B2 (ja) 2008-04-28 2014-04-09 株式会社フェローテックセラミックス イットリア焼結体およびプラズマプロセス装置用部材
US9017765B2 (en) 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
JP5407324B2 (ja) 2008-12-24 2014-02-05 堺化学工業株式会社 酸化ジルコニウム分散液の製造方法
JP5669353B2 (ja) 2008-12-25 2015-02-12 株式会社フジミインコーポレーテッド 溶射用スラリー、溶射皮膜の形成方法、及び溶射皮膜
JP2010242204A (ja) * 2009-04-10 2010-10-28 Riverstone Kogyo Kk 衝撃焼結被覆法及び装置
EP2425685B1 (en) * 2009-05-01 2016-10-26 The Regents Of The University Of Michigan Office Of Technology Transfer In-situ plasma/laser hybrid scheme
EP2292357B1 (en) 2009-08-10 2016-04-06 BEGO Bremer Goldschlägerei Wilh.-Herbst GmbH & Co KG Ceramic article and methods for producing such article
JP2013512573A (ja) 2009-11-25 2013-04-11 グリーン, ツイード オブ デラウェア, インコーポレイテッド プラズマ耐性コーティングで基板をコーティングする方法および関連するコーティングされた基板
US20110198034A1 (en) 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
FR2957358B1 (fr) 2010-03-12 2012-04-13 Snecma Methode de fabrication d'une protection de barriere thermique et revetement multicouche apte a former une barriere thermique
TW201209957A (en) 2010-05-28 2012-03-01 Praxair Technology Inc Substrate supports for semiconductor applications
US20120196139A1 (en) 2010-07-14 2012-08-02 Christopher Petorak Thermal spray composite coatings for semiconductor applications
CA2806172C (en) 2010-07-23 2015-04-28 Rolls-Royce Corporation Thermal barrier coatings including cmas-resistant thermal barrier coating layers
US10720350B2 (en) 2010-09-28 2020-07-21 Kla-Tencore Corporation Etch-resistant coating on sensor wafers for in-situ measurement
US8916021B2 (en) 2010-10-27 2014-12-23 Applied Materials, Inc. Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof
JP2012128135A (ja) 2010-12-15 2012-07-05 Seiko Epson Corp 光学物品およびその製造方法
US20120258266A1 (en) * 2011-04-06 2012-10-11 Basf Corporation Coatings For Engine And Powertrain Components To Prevent Buildup Of Deposits
US20130048606A1 (en) 2011-08-31 2013-02-28 Zhigang Mao Methods for in-situ chamber dry clean in photomask plasma etching processing chamber
US20130071685A1 (en) 2011-09-21 2013-03-21 Iwaki Film Processing Co., Ltd. Product and method for manufacturing the product
EP2794956B1 (en) 2011-12-19 2018-10-17 Praxair S.T. Technology, Inc. Aqueous slurry for the production of thermal and environmental barrier coatings
JP2013136814A (ja) * 2011-12-28 2013-07-11 Fujimi Inc セラミック溶射皮膜及びその製造方法
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US20150064406A1 (en) 2012-03-22 2015-03-05 Tocalo Co., Ltd. Method for forming fluoride spray coating, and fluoride spray coating covered member
US20130273313A1 (en) 2012-04-13 2013-10-17 Applied Materials, Inc. Ceramic coated ring and process for applying ceramic coating
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
WO2013163058A1 (en) 2012-04-23 2013-10-31 The University Of Connecticut Method of forming thermal barrier coating, thermal barrier coating formed thereby, and article comprising same
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
KR101637801B1 (ko) 2012-05-22 2016-07-07 가부시끼가이샤 도시바 플라즈마 처리 장치용 부품 및 플라즈마 처리 장치용 부품의 제조 방법
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) * 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US20140037969A1 (en) 2012-08-03 2014-02-06 General Electric Company Hybrid Air Plasma Spray and Slurry Method of Environmental Barrier Deposition
JP6246567B2 (ja) 2012-11-22 2017-12-13 群馬県 複層皮膜付き基材およびその製造方法
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
US20140178641A1 (en) * 2012-12-21 2014-06-26 General Electric Company Methods of coating a surface and articles with coated surface
WO2014142019A1 (ja) 2013-03-13 2014-09-18 株式会社 フジミインコーポレーテッド 溶射用粉末、溶射皮膜、及び溶射皮膜の形成方法
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
JP5576540B2 (ja) * 2013-08-09 2014-08-20 リバストン工業株式会社 微粉末セラミックス衝撃焼結被覆法
US10468235B2 (en) 2013-09-18 2019-11-05 Applied Materials, Inc. Plasma spray coating enhancement using plasma flame heat treatment
US20150126036A1 (en) 2013-11-05 2015-05-07 Tokyo Electron Limited Controlling etch rate drift and particles during plasma processing
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
JP5894198B2 (ja) 2014-01-06 2016-03-23 株式会社フジミインコーポレーテッド 溶射用スラリー及び溶射皮膜の形成方法
US9230780B2 (en) 2014-03-06 2016-01-05 Applied Materials, Inc. Hall effect enhanced capacitively coupled plasma source
WO2015151857A1 (ja) 2014-03-31 2015-10-08 株式会社東芝 耐プラズマ部品及び耐プラズマ部品の製造方法及び耐プラズマ部品の製造に用いる膜堆積装置
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US20150311043A1 (en) 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10385459B2 (en) 2014-05-16 2019-08-20 Applied Materials, Inc. Advanced layered bulk ceramics via field assisted sintering technology
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
JP5927656B2 (ja) 2014-11-08 2016-06-01 リバストン工業株式会社 皮膜付き基材、その製造方法、その皮膜付き基材を含む半導体製造装置部材
JP5987097B2 (ja) 2015-09-07 2016-09-06 株式会社フジミインコーポレーテッド 溶射皮膜
JP2017061735A (ja) 2015-09-25 2017-03-30 株式会社フジミインコーポレーテッド 溶射用スラリー
KR101916872B1 (ko) 2015-10-15 2018-11-08 아이원스 주식회사 반도체 공정 장비 부품의 코팅층 재생 방법 및 이에 따른 반도체 공정 장비 부품
JP6681168B2 (ja) 2015-10-20 2020-04-15 株式会社フジミインコーポレーテッド 溶射用スラリー、溶射皮膜および溶射皮膜の形成方法
US20170291856A1 (en) 2016-04-06 2017-10-12 Applied Materials, Inc. Solution precursor plasma spray of ceramic coating for semiconductor chamber applications

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030049500A1 (en) * 2001-08-29 2003-03-13 Shin-Etsu Chemical Co., Ltd. Rare earth-containing oxide member
CN1663017A (zh) * 2002-06-27 2005-08-31 蓝姆研究公司 等离子体反应器的产量增进热喷涂含氧化钇涂层
CN1906026A (zh) * 2003-12-18 2007-01-31 兰姆研究公司 半导体材料加工设备中的氧化钇涂覆的陶瓷部件及该部件的制造方法
US20120144640A1 (en) * 2007-01-11 2012-06-14 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
US20090214825A1 (en) * 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
CN102084020A (zh) * 2008-02-26 2011-06-01 应用材料公司 可抵抗还原等离子体的含钇陶瓷涂层
CN102210196A (zh) * 2008-11-10 2011-10-05 应用材料公司 用于等离子腔室部件的抗等离子涂层
US20110086178A1 (en) * 2009-10-14 2011-04-14 General Electric Company Ceramic coatings and methods of making the same
US20130288037A1 (en) * 2012-04-27 2013-10-31 Applied Materials, Inc. Plasma spray coating process enhancement for critical chamber components

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106077663A (zh) * 2016-07-29 2016-11-09 安庆市德奥特汽车零部件制造有限公司 一种纳米钇铝石榴石纤维复合涂层内燃机用活塞环的制备方法
CN110036467A (zh) * 2016-12-12 2019-07-19 应用材料公司 用于静电吸盘的新式修复方法
CN110240481A (zh) * 2018-03-07 2019-09-17 应用材料公司 用于等离子体环境中的腔室部件的氧化钇-二氧化锆耐蚀材料
CN112063956A (zh) * 2020-08-10 2020-12-11 暨南大学 一种悬浮液等离子喷涂高纯y2o3耐侵蚀涂层及其制备方法与应用
CN115717229A (zh) * 2021-08-24 2023-02-28 Komico有限公司 耐等离子体涂布膜、其制造方法以及耐等离子体构件
CN113862598A (zh) * 2021-09-01 2021-12-31 暨南大学 一种用于TBCs或EBCs的抗CMAS防护层及其制备方法以及由此得到的防护结构

Also Published As

Publication number Publication date
TW201940455A (zh) 2019-10-16
US20150321964A1 (en) 2015-11-12
JP2017515001A (ja) 2017-06-08
JP6820103B2 (ja) 2021-01-27
TWI704119B (zh) 2020-09-11
WO2015171801A1 (en) 2015-11-12
TWI715049B (zh) 2021-01-01
US20200325073A1 (en) 2020-10-15
TWI754243B (zh) 2022-02-01
US10730798B2 (en) 2020-08-04
TW202026269A (zh) 2020-07-16
KR102395205B1 (ko) 2022-05-04
CN105474363B (zh) 2021-05-07
TW201544484A (zh) 2015-12-01
KR20170003519A (ko) 2017-01-09

Similar Documents

Publication Publication Date Title
CN105474363A (zh) 抗等离子体的陶瓷涂层的浆料等离子体喷涂
US10418229B2 (en) Aerosol deposition coating for semiconductor chamber components
JP6956774B2 (ja) 希土類酸化物のイオンアシスト蒸着トップコート
JP6820359B2 (ja) プラズマ耐食性希土類酸化物系薄膜コーティング
US20180366302A1 (en) Coating architecture for plasma sprayed chamber components
CN101065510B (zh) 具有层化涂覆的制程室组件及方法
US20150311043A1 (en) Chamber component with fluorinated thin film coating
TW201903206A (zh) 用於腔室組件之多層電漿腐蝕保護
US20170204514A1 (en) Cvd process chamber component having aluminum fluoride barrier film thereon
US20170291856A1 (en) Solution precursor plasma spray of ceramic coating for semiconductor chamber applications
WO2021154816A1 (en) Methods and apparatus for plasma spraying silicon carbide coatings for semiconductor chamber applications
TWM545359U (zh) 用於電漿處理之具有塗層的邊緣環
KR20160070917A (ko) 반도체 웨이퍼용 히터 및 그 제조방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant