KR20170003519A - 플라즈마 내성 세라믹 코팅의 슬러리 플라즈마 스프레이 - Google Patents

플라즈마 내성 세라믹 코팅의 슬러리 플라즈마 스프레이 Download PDF

Info

Publication number
KR20170003519A
KR20170003519A KR1020167002514A KR20167002514A KR20170003519A KR 20170003519 A KR20170003519 A KR 20170003519A KR 1020167002514 A KR1020167002514 A KR 1020167002514A KR 20167002514 A KR20167002514 A KR 20167002514A KR 20170003519 A KR20170003519 A KR 20170003519A
Authority
KR
South Korea
Prior art keywords
mol
ceramic coating
ceramic
zro
coating
Prior art date
Application number
KR1020167002514A
Other languages
English (en)
Other versions
KR102395205B1 (ko
Inventor
제니퍼 와이. 선
비라자 피. 카눈고
이카이 첸
바히드 피로우즈도르
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20170003519A publication Critical patent/KR20170003519A/ko
Application granted granted Critical
Publication of KR102395205B1 publication Critical patent/KR102395205B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/01Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics
    • C04B35/10Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics based on aluminium oxide
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/01Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics
    • C04B35/16Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics based on silicates other than clay
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/01Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics
    • C04B35/44Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics based on aluminates
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/01Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics
    • C04B35/48Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics based on zirconium or hafnium oxides, zirconates, zircon or hafnates
    • C04B35/486Fine ceramics
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/01Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics
    • C04B35/48Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics based on zirconium or hafnium oxides, zirconates, zircon or hafnates
    • C04B35/486Fine ceramics
    • C04B35/488Composites
    • C04B35/4885Composites with aluminium oxide
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/50Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on rare-earth compounds
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/50Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on rare-earth compounds
    • C04B35/505Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on rare-earth compounds based on yttrium oxide
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/515Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics
    • C04B35/5156Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics based on rare earth compounds
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/622Forming processes; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/62222Forming processes; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products obtaining ceramic coatings
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/622Forming processes; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/626Preparing or treating the powders individually or as batches ; preparing or treating macroscopic reinforcing agents for ceramic products, e.g. fibres; mechanical aspects section B
    • C04B35/62605Treating the starting powders individually or as mixtures
    • C04B35/62625Wet mixtures
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C24/00Coating starting from inorganic powder
    • C23C24/08Coating starting from inorganic powder by application of heat or pressure and heat
    • C23C24/10Coating starting from inorganic powder by application of heat or pressure and heat with intermediate formation of a liquid phase in the layer
    • C23C24/103Coating with metallic material, i.e. metals or metal alloys, optionally comprising hard particles, e.g. oxides, carbides or nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/042Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material including a refractory ceramic layer, e.g. refractory metal oxides, ZrO2, rare earth oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/02Pretreatment of the material to be coated, e.g. for coating on selected surface areas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • C23C4/11Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/12Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
    • C23C4/134Plasma spraying
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/18After-treatment
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3217Aluminum oxide or oxide forming salts thereof, e.g. bauxite, alpha-alumina
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3224Rare earth oxide or oxide forming salts thereof, e.g. scandium oxide
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3224Rare earth oxide or oxide forming salts thereof, e.g. scandium oxide
    • C04B2235/3225Yttrium oxide or oxide-forming salts thereof
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3231Refractory metal oxides, their mixed metal oxides, or oxide-forming salts thereof
    • C04B2235/3244Zirconium oxides, zirconates, hafnium oxides, hafnates, or oxide-forming salts thereof
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/34Non-metal oxides, non-metal mixed oxides, or salts thereof that form the non-metal oxides upon heating, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3418Silicon oxide, silicic acids, or oxide forming salts thereof, e.g. silica sol, fused silica, silica fume, cristobalite, quartz or flint
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24355Continuous and nonuniform or irregular surface on layer or component [e.g., roofing, etc.]
    • Y10T428/24372Particulate matter

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Ceramic Engineering (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Structural Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Composite Materials (AREA)
  • Inorganic Chemistry (AREA)
  • Coating By Spraying Or Casting (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본원에서는 극히-밀집되어 있고 극히-평활한 세라믹 코팅(ultra-dense and ultra-smooth ceramic coating)을 생산하는 방법이 개시된다. 방법은 세라믹 입자들의 슬러리를 플라즈마 분무기 내로 공급함을 포함한다. 플라즈마 분무기는 기판을 향해 유도되는 입자들의 스트림을 생성시켜서 접촉시에 기판 상에 세라믹 코팅을 형성시킨다.

Description

플라즈마 내성 세라믹 코팅의 슬러리 플라즈마 스프레이{SLURRY PLASMA SPRAY OF PLASMA RESISTANT CERAMIC COATING}
본 발명의 구체예는, 일반적으로, 코팅에 관한 것이다.
반도체 산업에서, 소자는 계속 작아지는 크기의 구조물을 생산하는 많은 제조 프로세스(manufacturing process)에 의해서 제작된다. 일부 제조 프로세스, 예컨대, 플라즈마 에칭 및 플라즈마 세정 프로세스는 기판 지지체(예, 웨이퍼 프로세싱(wafer processing) 동안의 기판 지지체의 가장자리 및 챔버 세정 동안의 전체 기판 지지체)를 고속 플라즈마 스트림(stream)에 노출시켜서 기판을 에칭시키거나 세정한다. 그러한 플라즈마는 매우 부식성일 수 있고, 프로세싱 챔버들 및 플라즈마에 노출되는 그 밖의 표면들을 침식할 수 있다.
플라즈마 스프레이 코팅들은, 부품의 수명뿐만 아니라 온-웨이퍼 결함 성능(on-wafer defect performance)을 향상시키기 위해서, 챔버 부품들을 프로세싱 조건으로부터 보호하기 위해서 이용된다. 그러나, 전형적인 챔버 부품 코팅들은 이들의 성능을 손상시키는 고유한 다공성, 균열(crack), 및 거친 표면 피니시(rough surface finish)를 지닐 수 있다.
요약
이하 설명은 본 발명 개시내용의 일부 양태의 기본적 이해를 제공하기 위한 본 발명의 개시내용의 간략화한 요약이다. 이러한 요약은 개시내용의 폭넓은 개관이 아니다. 그것은 개시내용의 주요 또는 중요 요소를 확인하기 위한 것으로 의도되지 않을 뿐만 아니라, 개시내용의 어떠한 특정 실행 범위 또는 청구범위의 어떠한 범위를 설명하는 것으로 의도되지 않는다. 그것의 유일한 목적은 뒤에 나타내는 더욱 상세한 설명에 대한 서막으로서 간략화한 형태의 본 발명의 개시내용의 일부 개념을 나타내기 위한 것이다.
본 발명의 개시내용의 특정의 구체예는 반도체 프로세싱 챔버들을 위한 향상된 결함 성능을 지니는 극히-조밀하고 극히-평활한 코팅(ultra-dense and ultra-smooth coating)의 생산에 관한 것이다. 한 가지 양태로, 방법은 기판(substrate)을 제공하고, 세라믹 입자들의 슬러리를 플라즈마 플럼(plasma plume)내로 공급하여 기판을 향해 유도된 입자들의 스트림을 생성시킴을 포함한다. 입자들의 스트림은 접촉시에 기판 상에 세라믹 코팅을 형성시킨다.
또 다른 양태에서, 방법은 첫 번째 세라믹 코팅을 지니는 기판을 제공하고, 세라믹 입자들의 슬러리를 플라즈마 플럼내로 공급하여 기판을 향해 유도된 입자들의 스트림을 생성시킴을 포함한다. 입자들의 스트림은 접촉시에 첫 번째 세라믹 코팅 상에 두 번째 세라믹 코팅을 형성시킨다.
유사한 참조번호는 유사한 요소를 나타내는 첨부된 도면의 특징들에서, 본원에서 개시되는 구체예는 예로서 예시되고 있으며 제한으로서 예시되는 것이 아니다. 본 발명의 개시내용에서의 "단수" 또는 "한 가지"의 구체예에 대한 상이한 참조는 반드시 동일한 구체예를 나타내는 것이 아니며 그러한 참조는 하나 이상을 의미함을 주지해야 한다.
도 1은 한 가지 구체예에 따른 프로세싱 챔버의 단면도를 도시하고 있다.
도 2는 한 가지 구체예에 따른 플라즈마 스프레이 장치의 단면도를 도시하고 있다.
도 3a 및 도 3b는 한 가지 구체예에 따른 각각 하나 및 두 개의 코팅을 지니는 예시적인 챔버 부품의 단면도를 도시하고 있다.
도 4a는 플라즈마 스프레잉된 코팅(plasma sprayed coating)의 현미경 사진이다.
도 4b는 플라즈마 스프레잉된 코팅의 또 다른 현미경 사진이다.
도 5a는 한 가지 구체예에 따른 슬러리 플라즈마 스프레잉된 코팅의 현미경 사진이다.
도 5b는 한 가지 구체예에 따른 슬러리 플라즈마 스프레잉된 코팅의 또 다른 현미경 사진이다.
도 6은 한 가지 구체예에 따른코팅을 생산하는 방법을 예시하는 흐름도이다.
도 7은 한 가지 구체예에 따른 다층 코팅을 생산하는 방법을 예시하는 흐름도이다.
구체예에 대한 상세한 설명
본 발명의 구체예는 기판, 예컨대, 반도체 프로세싱 챔버를 위한 챔버 부품을 제공한다. 세라믹 코팅은 슬러리 플라즈마 스프레이 증착을 이용하여 기판 상에 형성될 수 있다. 세라믹 코팅은 보호 코팅으로서 작용할 수 있다. 일부 구체예들에서, 코팅 스택(coating stack)이 기판 상에 증착될 수 있으며, 여기서, 코팅 스택은 둘 이상의 슬러리 플라즈마 스프레잉된 세라믹 코팅으로 구성된다. 그러한 구체예들에서, 각각의 세라믹 코팅은 두께가 약 10 마이크로미터 내지 약 500 마이크로미터일 수 있다. 각각의 세라믹 코팅은 Y3Al5O12(YAG), Y4Al2O9(YAM), Er2O3, Gd2O3, Gd3Al5O12 (GAG), YF3, Nd2O3, Er4Al2O9, Er3Al5O12 (EAG), ErAlO3, Gd4Al2O9, GdAlO3, Nd3Al5O12, Nd4Al2O9, NdAlO3, 또는 Y4Al2O9 및 Y2O3-ZrO2의 고용체로 구성된 세라믹 컴파운드(ceramic compound) 중 하나 이상의 조성을 지닐 수 있다. 개시된 세라믹 코팅 중 하나 이상에 의해서 제공된 개선된 침식 내성은 챔버 부품의 사용 수명을 개선시킬 수 있으면서, 유지 및 제조 비용을 감소시킨다.
도 1은 본 발명의 구체예에 따른 코팅 층으로 코팅되는 하나 이상의 챔버 부품을 지니는 반도체 프로세싱 챔버(semiconductor processing chamber: 100)의 단면도이다. 프로세싱 챔버(100)는 부식성 플라즈마 환경이 제공되는 프로세스를 위해서 사용될 수 있다. 예를 들어, 프로세싱 챔버(100)는 플라즈마 식각장비 또는 플라즈마 식각 반응기, 및 플라즈마 클리너(plasma cleaner) 등을 위한 챔버일 수 있다. 코팅 층을 포함할 수 있는 챔버 부품의 예는 기판 지지 조립체(148), 정전 척(electrostatic chuck(ESC): 150), 링(ring)(예, 프로세스 키트 링 또는 단일 링), 챔버 벽, 베이스, 가스 분배 플레이트, 샤워헤드, 라이너, 라이너 키트, 쉴드(shield), 플라즈마 스크린, 흐름 평형기(flow equalizer), 냉각 베이스(cooling base), 챔버 뷰포트(chamber viewport), 및 챔버 리드(chamber lid) 등을 포함한다. 이하 더욱 상세히 기재되는 코팅 층은 하나 이상의 Y3Al5O12, Y4Al2O9, Er2O3, Gd2O3, Gd3Al5O12, La2O3, YAG, YF3, Nd2O3, Er4Al2O9, Er3Al5O12, ErAlO3, Gd4Al2O9, GdAlO3, Nd3Al5O12, Nd4Al2O9, NdAlO3, 또는 Y4Al2O9 및 Y2O3-ZrO2의 고용체로 구성된 세라믹 컴파운드를 포함할 수 있다. 예시된 바와 같이, 기판 지지 조립체(148)는 한 가지 구체예에 따른 세라믹 코팅 층(136)을 지닌다. 그러나, 다른 챔버 부품들, 예컨대, 상기 열거된 것들 중 어떠한 것이 또한 코팅 층을 포함할 수 있음을 이해해야 한다.
한 가지 구체예에서, 프로세싱 챔버(100)는 내부 공간(106)을 둘러싸고 있는 챔버 바디(102)와 샤워헤드(130)를 포함한다. 대안적으로, 샤워헤드(130)는 일부 구체예에서 리드(lid) 및 노즐로 대체될 수 있다. 챔버 바디(102)는 알루미늄, 스테인리스 스틸 또는 그 밖의 적합한 재료로 제작될 수 있다. 챔버 바디(102)는 일반적으로 측벽들(108)과 바닥(110)을 포함한다. 샤워헤드(130)(또는 리드 및/또는 노즐), 측벽들(108) 및/또는 바닥(110) 중 하나 이상은 코팅 층을 포함할 수 있다.
외부 라이너(116)는 챔버 바디(102)를 보호하도록 측벽들(108)에 인접하여 배치될 수 있다. 외부 라이너(116)는 코팅 층으로 제작되고/거나 코팅될 수 있다. 한 가지 구체예에서, 외부 라이너(116)는 알루미늄 옥사이드로부터 제작된다.
배기구(126)는 챔버 바디(102)에 형성될 수 있으며, 내부 공간(106)을 펌프 시스템(128)에 연결시킬 수 있다. 펌프 시스템(128)은 프로세싱 챔버(100)의 내부 공간(106)을 배기시키고 그러한 내부 공간의 압력을 조절하기 위해서 사용되는 하나 이상의 펌프들 및 스로틀 밸브(throttle valve)들을 포함할 수 있다.
샤워헤드(130)은 챔버 바디(102)의 측벽(108)상에 지지될 수 있다. 샤워헤드(130)(또는 리드)는 프로세싱 챔버(100)의 내부 공간(106)에 접근을 허용하도록 개방될 수 있고, 폐쇄되는 동안에는 프로세싱 챔버(100)에 대한 밀봉을 제공할 수 있다. 가스 패널(158)은 프로세싱 챔버(100)에 연결되어 프로세스 및/또는 클리닝 가스를 샤워헤드(130) 또는 리드 및 노즐을 통해서 내부 공간(106)에 제공할 수 있다. 샤워헤드(130)는 유전체 에칭(유전 물질의 에칭)을 위해서 사용되는 프로세싱 챔버를 위해서 사용될 수 있다. 샤워헤드(130)는 가스 분배 플레이트(GDP)(133) 전체에 걸친 복수의 가스 전달 구멍들(132)를 지니는 GDP(133)을 포함한다. 샤워헤드(130)는 알루미늄 베이스 또는 양극 산화 알루미늄 베이스(104)에 결합된 GDP(133)을 포함할 수 있다. GDP(133)는 Si 또는 SiC로부터 제조될 수 있거나, 세라믹, 예컨대, Y2O3, Al2O3, 및 YAG 등일 수 있다.
전도체 에칭(전도성 물질의 에칭)을 위해서 사용되는 프로세싱 챔버의 경우에, 샤워헤드 보다는 리드가 사용될 수 있다. 리드는 리드의 중앙 구멍에 맞는 중앙 노즐을 포함할 수 있다. 리드는 세라믹, 예컨대, Al2O3, Y2O3, YAG, 또는 Y4Al2O9 및 Y2O3-ZrO2의 고용체로 구성된 세라믹 컴파운드일 수 있다. 노즐이 또한 세라믹, 예컨대, Y2O3, YAG, 또는 Y4Al2O9 및 Y2O3-ZrO2의 고용체로 구성된 세라믹 컴파운드일 수 있다. 리드, 샤워헤드 베이스(104), GDP(133) 및/또는 노즐은 세라믹 코팅으로 코팅될 수 있다.
프로세싱 챔버(100)내의 기판을 프로세싱하기 위해서 사용될 수 있는 프로세싱 가스의 예는 할로겐-함유 가스, 예컨대, 그 중에서도, C2F6, SF6, SiCl4, HBr, NF3, CF4, CHF3, CH2F3, F, NF3, Cl2, CCl4, BCl3 및 SiF4, 및 다른 가스, 예컨대, O2, 또는 N2O를 포함한다. 캐리어 가스의 예는 N2, He, Ar, 및 프로세스 가스에 불활성인 그 밖의 가스(예, 비-반응성 가스)를 포함한다. 기판 지지 조립체(148)는 샤워헤드(130) 또는 리드 아래의 프로세싱 챔버(100)의 내부 공간(106)에 배치된다. 기판 지지 조립체(148)는 프로세싱 동안에 기판(144)을 보유하고 있다. 링(146)(예, 단일 링)은 정전 척(150)의 일부를 덮을 수 있고, 프로세싱 동안에 플라즈마에 대한 노출로부터 덮은 부분을 보호할 수 있다. 링(146)은 한 가지 구체예에서 실리콘 또는 석영일 수 있다.
내부 라이너(118)는 기판 지지 조립체(148)의 주변부상에 코팅될 수 있다. 내부 라이너(118)는 외부 라이너(116)를 참조로 논의된 것들과 같은 할로겐-함유 가스 내성 물질일 수 있다. 한 가지 구체예에서, 내부 라이너(118)는 외부 라이너(116)와 동일한 물질로부터 제작될 수 있다. 추가로, 내부 라이너(118)는 세라믹 코팅으로 코팅될 수 있다.
한 가지 구체예에서, 기판 지지 조립체(148)는 페데스탈(pedestal: 152)을 지지하는 장착 판(mounting plate: 162), 및 정전 척(150)을 포함한다. 정전 척(150)은 추가로 열전도성 베이스(164) 및, 한 가지 구체예로, 실리콘 결합(silicone bond)일 수 있는 결합(138)에 의해서 열전도성 베이스에 결합된 정전 퍽(electrostatic puck: 166)을 포함한다. 정전 퍽(166)의 상부 표면은 예시적인 구체예에서 세라믹 코팅 층(136)에 의해서 피복된다. 한 가지 구체예에서, 세라믹 코팅 층(136)은 정전 퍽(166)의 상부 표면상에 배치된다. 또 다른 구체예에서, 세라믹 코팅 층(136)은 열전도성 베이스(164)와 정전 퍽(166)의 외부 및 측면 주변부를 포함하는 정전 척(150)의 전체 노출된 표면상에 배치된다. 설치판(162)은 챔버 바디(102)의 바닥(110)에 연결되고 열전도성 베이스(164) 및 정전 퍽(166)에 유틸리티(utility)들(예, 유체들, 송전선들, 센서 리드(sensor lead)들, 등)을 지나가게 하기 위한 통로를 포함한다.
열전도성 베이스(164) 및/또는 정전 퍽(166)은 하나 이상의 임의의 매립된 발열체들(176), 매립된 단열재들(174) 및/또는 도관들(168, 170)을 포함하여 지지 조립체(148)의 측면 온도 프로파일(temperature profile)을 조절할 수 있다. 도관들(168, 170)은 도관들(168, 170)을 통해서 온도 조절 유체를 순환시키는 유체 공급원(172)에 유체적으로 연결될 수 있다. 매립된 단열재(174)는 한 가지 구체예에서 도관들(168, 170) 사이에 배치될 수 있다. 히터(176)는 히터 전원(178)에 의해서 조절된다. 도관들(168, 170) 및 히터(176)는 열전도성 베이스(164)의 온도를 조절하기 위해서 사용되어서, 정전 퍽(166)과 프로세싱되는 기판(예, 웨이퍼)(144)를 가열하고/거나 냉각시킬 수 있다. 정전 퍽(166)과 열전도성 베이스(164)의 온도는 제어기(195)를 사용하여 모니터링될 수 있는 복수의 온도 센서들(190, 192)을 사용하여 모니터링될 수 있다.
정전 퍽(166)은 추가로 퍽(166) 및/또는 세라믹 코팅 층(136)의 상부 표면에 형성될 수 있는 복수의 가스 통로들, 예컨대, 그루브(groove)들, 메사(mesa)들 및 그 밖의 표면 피처(feature)들을 포함할 수 있다. 가스 통로들은 퍽(166)에 뚫인 구멍을 통해서 열 전달(또는 백사이드(backside)) 가스, 예컨대, 헬륨의 공급원에 유체적으로 연결될 수 있다. 작동 중에, 백사이드 가스는 정전 퍽(166)과 기판(144) 사이의 열 전달을 향상시키기 위해 가스 통로들 내로 조절된 압력으로 제공될 수 있다. 정전 퍽(166)은 척킹 전원(chucking power source: 182)에 의해서 조절된 하나 이상의 클램핑 전극(180)을 포함한다. 전극(180)(또는 퍽(166) 또는 베이스(164)에 배치된 다른 전극)은 추가로 프로세스로부터 형성된 플라즈마 및/또는 프로세싱 챔버(100) 내의 다른 가스들을 유지시키기 위한 매칭 회로(matching circuit: 188)를 통해서 하나 이상의 RF 전원들(184, 186)에 연결될 수 있다. 전원들(184, 186)은 일반적으로 약 10,000 와트까지의 전력 출력으로 약 50 kHz 내지 약 3 GHz의 주파수를 지니는 RF 신호를 생성시킬 수 있다.
도 2는 한 가지 구체예에 따른 플라즈마 스프레이 장치(200)의 단면도를 도시하고 있다. 플라즈마 스프레이 장치(200)는 세라믹 물질의 "슬러리 플라즈마 스프레이"("SPS") 증착을 수행하기 위해서 사용되는 열적 스프레이 시스템의 유형이다. 표준 플라즈마 스프레이 기술과는 달리, SPS 증착은 기판 상에 세라믹 코팅을 증착시키기 위해서 입자들의 용액-기반 분배물(슬러리)를 사용한다. SPS는 대기압 플라즈마 스프레이, 고속 옥시-연료(high velocity oxy-fuel: HVOF), 따뜻한 스프레잉(warm spraying), 진공 플라즈마 스프레잉(vacuum plasma spraying: VPS), 및 저압 플라즈마 스프레잉(low pressure plasma spraying: LPPS)을 이용하여 슬러리를 스프레잉함으로써 수행될 수 있다.
플라즈마 스프레이 장치(200)는 노즐 애노드(206)과 캐소드(204)를 둘러싸는 캐이싱(casing)(202)을 포함할 수 있다. 캐이싱(202)은 플라즈마 스프레이 장치(200)를 통해서 그리고 노즐 애노드(206)과 캐소드(204) 사이의 가스 흐름(208)을 허용한다. 외부 전원이 노즐 애노드(206)과 캐소드(204) 사이에 전압 전위를 가하기 위해서 사용될 수 있다. 전압 전위는 플라즈마 가스를 생성하도록 가스 흐름(208)을 점화시키는 노즐 애노드(206)과 캐소드(204) 사이에 아크를 생성시킨다. 점화된 플라즈마 가스 흐름(208)은 노즐 애노드(206)를 벗어나서 기판(220)을 향하는 고속 플라즈마 플럼(214)을 생성시킨다. 노즐 애노드(206)의 후단부와 기판(220) 사이의 거리(즉, 건 디스턴스(gun distance))는 약 50 mm 내지 약 500 mm일 수 있다.
플라즈마 스프레이 장치(200)는 챔버 또는 대기 부쓰(atmospheric booth)내에 위치될 수 있다. 일부 구체예들에서, 가스 흐름(208)은, 이로 한정되는 것은 아니지만, 아르곤, 질소, 수소, 헬륨 및 이들의 조합물을 포함한 가스 또는 가스 혼합물일 수 있다. 가스 흐름(208)의 유속은 약 50 L/min 내지 400 L/min일 수 있다. 노즐 애노드(206)과 캐소드(204) 사이에 인가된 전압 전위는 AC 파형, DC 파형, 또는 이들의 조합일 수 있으며, 약 40 V 내지 약 500 V일 수 있다. 인가된 전위는 일반저긍로 1000A 또는 그 초과까지의 건 전류(gun current)와 함께 30 kW 또는 그 초과의 건 전력을 제공할 수 있다.
플라즈마 스프레이 장치(200)에는, 예를 들어, 5 mL/min 내지 약 100 mL/min의 유속으로, 슬러리를 플라즈마 플럼(214)내로 전달하기 위한 하나 이상의 유체 라인들(212)이 구비될 수 있다. 일부 구체예들에서, 몇 개의 유체 라인들(212)은 플라즈마 플럼(214)의 한 면상에 또는 대칭으로 배열될 수 있다. 일부 구체예들에서, 유체 라인들(212)은 도 2에 도시된 바와 같이 플라즈마 플럼(214)에 대한 수직 양상으로 배열될 수 있다. 다른 구체예들에서, 유체 라인들(212)은 상이한 각도(예, 45°)로 슬러리를 플라즈마 플럼 내로 전달하도록 조절될 수 있거나, 슬러리를 플라즈마 플럼(214)내로 내부적으로 주입시키기 위해서 캐이싱(202)의 적어도 일부 내부에 위치될 수 있다. 일부 구체예들에서, 각각의 유체 라인(212)은 기판(220)을 가로질러 생성되는 코팅의 조성을 다양하게 하기 위해서 사용될 수 있는 상이한 슬러리를 제공할 수 있다.
슬러리 공급장치 시스템이 슬러리를 유체 라인들(212)에 전달하기 위해서 이용될 수 있다. 일부 구체예들에서, 슬러리 공급장치 시스템은 코팅 동안 일정한 유속을 유지시키는 흐름 제어기를 포함한다. 유체 라인들(212)은, 예를 들어, 탈이온수를 사용하여 코팅 프로세스 전후에 세정될 수 있다. 일부 구체예들에서, 플라즈마 스프레이 장치(200)로 공급된 슬러리를 함유하는 슬러리 용기는 코팅 프로세스의 과정 동안에 기계적으로 진탕되어 슬러리를 균일하게 유지시키고 침강을 방지한다.
일부 구체예들에서, 슬러리는 용매 내의 세라믹 입자들의 슬러리이다. 용매는, 이로 한정되는 것은 아니지만, 에탄올, 메탄올, 아세토니트릴, 탈이온수 또는 이들의 조합물을 포함하는 저분자량 극성 용매를 포함한다. 일부 구체예들에서, 슬러리의 pH는 슬러리의 안정성을 촉진하도록 약 5 내지 12일 수 있다. 일부 구체예들에서, 약 10 중량% 내지 약 50 중량%의 슬러리 중의 입자 농도가 유동성을 최대화하면서 낮은 점도를 유지시키기 위해서 이용될 수 있다. 일부 구체예들에서, 질량에 의한 평균 입자 직경인 슬러리 중의 입자들의 질량-중간-직경(D50)은 약 10 나노미터 내지 10 마이크로미터일 수 있다. 일부 구체예들에서, 슬러리는 입자들을 균일하게 분배시키는 것을 돕기 위한 분산제를 포함할 수 있다. 예시적인 분산제는 저분자량 폴리머, 예컨대, 폴리아크릴산, 암모늄 폴리메타크릴레이트, 오메가-3 지방산(예, 에이코사펜타에노산 및 도코사헥사에노산), 및 폴리에틸렌 글리콜을 포함할 수 있다. 일부 구체예들에서, 입자들은 Er2O3, Gd2O3, Gd3Al5O12, La2O3, YAG, YF3, Nd2O3, Er4Al2O9, Er3Al5O12, ErAlO3, Gd4Al2O9, GdAlO3, Nd3Al5O12, Nd4Al2O9, 또는 NdAlO3 중 하나 이상을 포함하는 조성들을 지닐 수 있다.
플라즈마 플럼(214)은 약 3000℃ 내지 약 10000℃의 온도에 도달할 수 있다. 플라즈마 플럼(214) 내로 주입되는 때에 슬러리(또는 슬러리들)가 겪게 되는 극심한 온도는 슬러리 용매가 신속하게 증발되게 할 수 있고, 세라믹 입자를 용융시켜서, 기판(220)을 향해서 추진되는 입자 스트림(216)을 생성시킬 수 있다. 기판(220)와의 충격시에, 용융된 입자들은 기판 상에 신속하게 편평화되고 고형화되어서, 세라믹 코팅(218)을 형성시킬 수 있다. 용매는 세라믹 입자들이 기판(220)에 도달하기 전에 완전히 증발될 수 있다.
세라믹 코팅의 두께, 밀도, 및 조도에 영향을 줄 수 있는 파라미터들은 슬러리 조건들, 입자 크기 분포, 슬러리 공급 속도, 플라즈마 가스 조성, 가스 유속, 에너지 입력, 스프레이 거리, 및 기판 냉각을 포함한다.
도 3a 및 도 3b는 구체예에 따른, 각각 하나 또는 두 개의 코팅을 지니는 예시적인 챔버 부품의 단면도를 도시하고 있다. 도 3a를 참조하면, 물품(300)의 베이스 또는 바디(302)의 일부 또는 전부가 세라믹 코팅(304)에 의해서 코팅된다. 물품(300)(또한, 일반적으로는 도 2와 관련하여 기재된 기판(220)과 동일할 수 있는 "기판"으로 일컬어짐)은 챔버 부품, 예컨대, 기판 지지 조립체, 정전 척 (ESC), 링(예, 프로세스 키트 ㄹ링 또는 단일 링), 챔버 라이너, 샤워헤드 베이스, 가스 분배 플레이트, 라이너, 라이너 키트, 쉴드, 플라즈마 스크린, 흐름 평형기, 냉각 베이스, 챔버 뷰포트, 및 챔버 리드 등일 수 있다. 물품(300)의 바디(302)는 금속, 세라믹, 금속-세라믹 복합체, 폴리머, 또는 폴리머-세라믹 복합체일 수 있다.
다양한 챔버 부품은 상이한 물질로 구성된다. 예를 들어, 정전 척은 양극 산화 알루미늄 베이스에 결합된 세라믹, 예컨대, Al2O3(알루미나), AlN(알루미늄 니트라이드), TiO(티타늄 옥사이드), TiN(티타늄 니트라이드) 또는 SiC(실리콘 카바이드)로 구성될 수 있다. Al2O3, AlN 및 양극 산화 알루미늄은 불량한 플라즈마 부식 내성을 지닌다. 불소 화학 및/또는 환원 화학을 지니는 플라즈마 환경에 노출되는 때에, 정전 척의 정전 퍽은 약 50 시간의 라디오 주파수 시간(RFHr) 프로세싱 후에 저하된 웨이퍼 척킹(wafer chucking), 증가된 헬륨 누출 속도, 웨이퍼 전면 및 배면 입자 생성 및 온-웨이퍼 금속 오염(on-wafer metal contamination)을 나타낼 수 있다. 라디오 주파수 시간은 프로세싱 시간이다.
전도체 에칭 프로세스에 사용되는 플라즈마 식각장비를 위한 리드는 소결된 세라믹, 예컨대, Al2O3일 수 있으며, Al2O3는 높은 가요성 강도 및 높은 열전도성을 지닌다. 그러나, 불소 화학에 노출된 Al2O3는 웨이퍼 사에 AlF 입자 뿐만 아니라 알루미늄 금속 오염을 형성시킨다. 일부 챔버 리드는 플라즈마 대향면 상에 두꺼운 필름 보호층을 지녀서 입자 생성 및 금속 오염을 최소화하고 리드의 수명을 연장시킨다. 그러나, 대부분의 두꺼운 필름 코팅 기술은 온-웨이퍼 결함 성능을 저하시킬 수 있는 균열과 기공을 수반한다.
프로세스 키트 링 및 단일 링은 다른 챔버 부품들을 밀봉하고/거나 보호하기 위해서 사용될 수 있으며 전형적으로는 석영 또는 실리콘으로 제조된다. 이들 링들은 지지된 기판(예, 웨이퍼) 둘레에 배치되어 균일한 플라즈마 밀도(및 그에 따른 균일한 에칭)을 확보하게 할 수 있다. 그러나, 석영 및 실리콘은 다양한 에칭 화학(예, 플라즈마 에칭 화학)하에 매우 높은 침식 속도를 지닌다. 추가로, 그러한 링들은 플라즈마 화학에 노출되는 때에 입자 오염을 유발시킬 수 있다. 공정 키트 링 및 단일 링은 또한 소결된 세라믹, 예컨대, YAG 및/또는 Y4Al2O9 및 Y2O3-ZrO2의 고용체로 구성된 세라믹 컴파운드로 이루어질 수 있다.
유전체 에칭 프로세스를 수행하기 위해서 사용되는 식각장비를 위한 샤워헤드는 전형적으로는 SiC 면판에 결합된 양극 산화 알루미늄으로 제조된다. 그러한 샤워헤드가 불소를 포함하는 플라즈마 화학에 노출되는 때에, 양극 산화 알루미늄 베이스와의 플라즈마 상호작용으로 인해서 AlF가 형성될 수 있다. 추가로, 양극 산화 알루미늄 베이스의 높은 침식 속도는 아크 발생(arcing)을 유도할 수 있고, 궁극적으로는 샤워헤드에 대한 클리닝 사이의 평균 시간을 감소시킬 수 있다.
챔버 뷰포트(또한, 엔드포인트 윈도우(endpoint window)로 공지됨)는 전형적으로는 석영 또는 사파이어로 제조된 투명한 부품이다. 다양한 광학 센서가 뷰포트에 의해서 보호될 수 있고, 뷰포트를 통한 광학 센서 판독을 수행할 수 있다. 추가로, 뷰포트는 사용자가 프로세싱 동안에 웨이퍼를 시각적으로 검사하거나 볼 수 있게 할 수 있다. 석영과 사파이어 둘 모두는 불량한 플라즈마 침식 내성을 지닌다. 플라즈마 화학이 뷰포트를 침식시키고 거칠게 함에 따라서, 뷰포트의 광학적 성질이 변화된다. 예를 들어, 뷰포트는 탁해질 수 있고/거나 뷰포트를 통해서 통과하는 광학적 신호가 왜곡될 수 있다. 이것은 정확한 판독을 수집하기 위한 광학 센서의 능력을 손상시킬 수 있다. 그러나, 두꺼운 필름 보호층은 뷰포트에 사용하기에 부적절할 수 있는데, 그 이유는 이들 코팅이 뷰포트를 폐색시킬 수 있다.
상기 제공된 예들은 본원의 구체예에서 기재된 바와 같은 얇은 필름 보호층의 사용에 의해서 성능이 개선될 수 있는 몇 가지 챔버 부품들을 기재하고 있다.
도 3a를 다시 참조하면, 물품(300)의 바디(302)는 하나 이상의 표면 피처들을 포함할 수 있다. 정전 척의 경우에, 표면 피처들은 메사들, 밀봉 밴드(sealing band)들, 가스 채널들, 및 헬륨 구멍들 등을 포함할 수 있다. 샤워헤드의 경우에, 표면 피처들은 결합 라인(bond line), 가스 분배를 위한 수백 또는 수천의 구멍들, 및 가스 분배 구멍들 둘레의 디보트(divot)들 또는 범프(bump)들 등을 포함할 수 있다. 다른 챔버 부품들은 다른 표면 피처들을 지닐 수 있다.
바디(302) 상에 형성된 세라믹 코팅(304)은 바디(302)의 표면 피처들에 순응할 수 있다. 도시된 바와 같이, 세라믹 코팅(304)은 바디(302)의 상부 표면의 상대적인 모양을 유지한다(예, 메사의 모양들을 텔레그래핑(telegraphing)함). 추가로, 세라믹 코팅은 샤워헤드내의 구멍들 또는 정전 척 내의 헬륨 구멍들을 막지 않도록 충분히 얇을 수 있다. 한 가지 구체예에서, 세라믹 코팅(304)은 약 20 마이크로미터 미만의 두께를 지닌다. 추가의 구체예에서, 세라믹 코팅(304)은 약 10 마이크로미터 내지 약 500 마이크로미터의 두께를 지닌다. 세라믹 코팅(304)은 도 2와 관련하여 기재된 플라즈마 스프레이 장치(200)를 사용하여 바디(302) 상에 증착될 수 있다.
도 3b를 참조하면, 물품(350)의 베이스 또는 바디(352)의 적어도 일부가 두 코팅, 즉, 첫 번째 코팅(354) 및 첫 번째 코팅(354) 상에 증착된 두 번째 코팅(356)으로 코팅된다. 일부 구체예들에서, 첫 번째 코팅(354)은 표준 증착 기술, 예컨대, 분말의 건식 플라즈마 스프레잉, 열 증착, 스퍼터링 등을 이용하여 수행된 코팅일 수 있다. 첫 번째 코팅(354)은 세라믹 코팅일 수 있지만, 높은 표면 조도뿐만 아니라, 표면 결함, 예컨대, 균열 및 기공을 지닐 수 있다. 따라서, 두 번째 코팅(356)이 첫 번째 코팅(354) 상에 증착될 수 있다. 두 번째 코팅은, 예를 들어, 도 2와 관련하여 기재된 플라즈마 스프레이 장치(200)를 이용한 SPS 증착된 세라믹 코팅일 수 있다. 일부 구체예들에서, 첫 번째 및 두 번째 코팅은 둘 모두가 상이한 조성을 지니는 SPS 증착된 세라믹 코팅일 수 있다.
첫 번째 및 두 번째 코팅들(354, 356)은 단지 예시적인 것이며, 어떠한 적합한 수의 코팅들이 바디(352) 상에 증착되어 코팅 스택을 형성할 수 있다. 코팅 스택 내의 코팅 중 하나 이상은 세라믹 코팅(예, SPS-증착된 세라믹 코팅)일 수 있다. 코팅 스택 내의 코팅은 모두가 동일한 두께를 지닐 수 있거나, 이들은 다양한 두께를 지닐 수 있다. 코팅 스택 내의 코팅의 각각은 일부 구체예들에서 약 20 마이크로미터, 및 약 10 마이크로미터 미만의 두께를 지닐 수 있다. 한 가지 예로, 2-층 적층의 경우에, 도 3b에 도시된 바와 같이, 첫 번째 코팅(354)이 약 10 마이크로미터의 두께를 지니고, 두 번째 코팅(356)은 약 10 마이크로미터의 두께를 지닐 수 있다. 또 다른 예로, 첫 번째 코팅(354)은 약 10 마이크로미터의 두께를 지니는 YAG 층일 수 있고, 두 번째 코팅(356)은 약 500 마이크로미터의 두께를 지니는 SPS-증착된 세라믹 코팅일 수 있다.
매번 물품이 가열되고 냉각되며, 세라믹 코팅과 그것이 코팅되는 기판 사이의 열팽창 계수의 불일치가 세라믹 코팅에 응력을 유발시킨다. 그러한 응력은 수직 균열에서 집중될 수 있다. 이것은 세라믹 코팅이 결국에는 그것이 코팅된 기판으로부터 박리되게 할 수 있다. 대조적으로, 수직 균열이 존재하지 않으면, 응력은 얇은 필름을 가로질러 대체로 고르게 분포된다. 따라서, 한 가지 구체예에서, 첫 번째 코팅(354)은 비정질 세라믹, 예컨대, YAG 또는 EAG이고, 두 번째 코팅(356)은 결정질 또는 나노-결정질 세라믹, 예컨대, 세라믹 컴파운드 또는 Er2O3이며, 여기서, 코팅들 중 하나 이상은 SPS-증착된 코팅이다. 그러한 구체예에서, 두 번째 코팅(356)은 첫 번째 코팅(354)에 비해서 더 큰 플라즈마 내성을 제공할 수 있다. 바디(352) 상에 적접적으로 형성시키는 것이 아니라 첫 번째 코팅(354) 상에 두 번째 코팅(356)을 형성시킴으로써, 첫 번째 코팅(354)은 완충부로서 작용하여 후속 코팅에 대한 격자 불일치(lattice mismatch)를 최소화한다. 따라서, 두 번째 코팅(356)의 수명이 증가될 수 있다.
또 다른 예로, 바디의 각각, Y3Al5O12, Y4Al2O9, Er2O3, Gd2O3, Er3Al5O12, Gd3Al5O12, 및 Y4Al2O9 및 Y2O3-ZrO2의 고용체로 구성된 세라믹 컴파운드는 상이한 열팽창 계수를 지닐 수 있다. 두 인접 물질 사이의 열팽창 계수에서의 불일치가 크면 클수록, 이들 물질 중 하나가 결국 균열되거나, 박리되거나, 달리 다른 물질에 대한 이의 결합을 상실할 가능성이 더 크다. 첫 번째 및 두 번째 코팅들(354, 356)은 인접 코팅들 사이(또는 첫 번째 코팅(354)과 바디(352) 사이)의 열팽창 계수의 불일치를 최소화하는 방식으로 형성될 수 있다. 예를 들어, 바디(352)가 알루미나이고, EAG가 알루미나의 열팽창 계수와 가장 가까운 열팽창 계수를 지닐 수 있으며, 그 다음으로는, YAG의 열팽창 계수, 그 다음으로는, 추가의 컴파운드 세라믹 코팅의 열팽창 계수일 수 있다. 따라서, 한 가지 구체예로, 첫 번째 코팅(354)은 EAG일 수 있고, 두 번째 코팅(356)은 YAG일 수 있고, 추가의 코팅은 컴파운드 세라믹일 수 있다.
또 다른 예로, 코팅 스택 중의 코팅들은 두 가지 상이한 세라믹의 교대 층일 수 있다. 예를 들어, 첫 번째 및 세 번째 코팅은 YAG일 수 있고, 두 번째 및 네 번째 코팅이 컴파운드 세라믹일 수 있다. 그러한 교대 코팅들은, 교대 코팅들에 사용되는 한 가지 물질이 비정질이고 교대 코팅들에 사용되는 다른 물질이 결정질 또는 나노-결정질인 경우에, 상기 기재된 것들과 유사한 이점을 제공할 수 있다.
일부 구체예들에서, 코팅 스택 중의 코팅들 중 하나 이상은 열처리를 이용하여 형성된 전이층이다. 바디(352)가 세라믹 바디이면, 세라믹 코팅(예, 세라믹 코팅(354))과 바디(352) 사이의 상호확산을 촉진시키기 위해서 고온 열처리가 수행될 수 있다. 추가로, 열처리는 인접 코팅들 사이, 또는 두꺼운 코팅과 얇은 코팅 사이의 상호확산을 촉진시키기 위해서 수행될 수 있다. 전이층은 비-다공성 층일 수 있고, 두 세라믹 사이의 확산 결합으로서 작용할 수 있으며, 인접 세라믹 코팅들 사이의 개선된 접착을 제공할 수 있다. 이것은 플라즈마 프로세싱 동안에 세라믹 코팅이 균열되는 것, 박리되는 것, 또는 벗겨지는 것을 방지하는 것을 도울 수 있다.
열처리는 0.5 내지 5℃/분의 상승 속도로 1 내지 12 시간까지의 기간 동안 이루어지는 약 1200 내지 2000℃까지의 열처리일 수 있다. 냉각은 0.5 내지 5℃/분의 속도로 조절될 수 있거나, 주위 조건하에 자연 냉각될 수 있다. 이것은 첫 번째 세라믹 코팅과 인접 세라믹 바디 중 하나 이상 또는 두 번째 세라믹 코팅 사이의 상호-확산 층을 생성시킬 수 있다. 예를 들어, 세라믹 바디가 Al2O3이고, 세라믹 코팅이 컴파운드 세라믹 Y4Al2O9 및 고용체 Y2- xZrxO3(Y2O3-ZrO2 고용체)로 구성되면, Y3Al5O12 인터페이스 층이 형성될 것이다. 유사하게, 열처리는 EAG의 전이 층이 Er2O3과 Al2O3 사이에 형성되게 할 것이다. 열처리는 또한 YAG의 전이 층이 Y2O3과 Al2O3 사이에 형성되게 할 것이다. 열처리는 또한 GAG가 Gd2O3과 Al2O3 사이에 형성되게 할 수 있다. Al2O3 상의 이트리아 안정화된 지르코리나(YSZ)의 열처리는 Y4Al2O9의 컴파운드 세라믹과 고용체 Y2- xZrxO3의 전이층을 형성시킬 수 있다. 다른 전이 층들이 다른 인접 세라믹 코팅들 사이에서 형성될 수 있다.
본원에 기재된 구체예들에 따라서, 세라믹 입자들의 슬러리를 사용하여 SPS 증착을 수행함으로써, 세라믹 코팅 조성의 예는 Y3Al5O12, Y4Al2O9, Er2O3, Gd2O3, La2O3, YAG, Er3Al5O12, Gd3Al5O12, Y4Al2O9 및 Y2O3-ZrO2의 고용체(Y2O3-ZrO2 고용체)로 구성된 세라믹 컴파운드, 또는 앞서 확인된 다른 세라믹 물질들 중 어떠한 것을 포함할 수 있다. 다른 Er 기반 및/또는 Gd 기반 플라즈마 내성 희토류 옥사이드가 또한 세라믹 코팅들(예, 코팅들(218, 304, 354, 및/또는 356))을 형성시키기 위해서 사용될 수 있다.
SPS-증착된 세라믹 코팅들은 또한 상기 언급된 세라믹 중 어떠한 것에 의해서 형성된 고용체를 기반으로 할 수 있다. Y4Al2O9 및 Y2O3-ZrO2의 고용체로 구성된 세라믹 컴파운드와 관련하여, 한 가지 구체예에서, 세라믹 컴파운드는 62.93 몰 비율(mol%)의 Y2O3, 23.23 mol%의 ZrO2 및 13.94 mol%의 Al2O3를 포함한다. 또 다른 구체예에서, 세라믹 컴파운드는 50-75 mol% 범위의 Y2O3, 10-30 mol% 범위의 ZrO2 및 10-30 mol% 범위의 Al2O3을 포함할 수 있다. 또 다른 구체예에서, 세라믹 컴파운드는 40-100 mol% 범위의 Y2O3, 0-60 mol% 범위의 ZrO2 및 0-10 mol% 범위의 Al2O3을 포함할 수 있다. 또 다른 구체예에서, 세라믹 컴파운드는 40-60 mol% 범위의 Y2O3, 30-50 mol% 범위의 ZrO2 및 10-20 mol% 범위의 Al2O3을 포함할 수 있다. 또 다른 구체예에서, 세라믹 컴파운드는 40-50 mol% 범위의 Y2O3, 20-40 mol% 범위의 ZrO2 및 20-40 mol% 범위의 Al2O3을 포함할 수 있다. 또 다른 구체예에서, 세라믹 컴파운드는 70-90 mol% 범위의 Y2O3, 0-20 mol% 범위의 ZrO2 및 10-20 mol% 범위의 Al2O3을 포함할 수 있다. 또 다른 구체예에서, 세라믹 컴파운드는 60-80 mol% 범위의 Y2O3, 0-10 mol% 범위의 ZrO2 및 20-40 mol% 범위의 Al2O3을 포함할 수 있다. 또 다른 구체예에서, 세라믹 컴파운드는 40-60 mol% 범위의 Y2O3, 0-20 mol% 범위의 ZrO2 및 30-40 mol% 범위의 Al2O3을 포함할 수 있다. 또 다른 구체예에서, 세라믹 컴파운드는 30-60 mol% 범위의 Y2O3, 0-20 mol% 범위의 ZrO2 및 30-60 mol% 범위의 Al2O3을 포함할 수 있다. 또 다른 구체예에서, 세라믹 컴파운드는 20-40 mol% 범위의 Y2O3, 20-80 mol% 범위의 ZrO2 및 0-60 mol% 범위의 Al2O3을 포함할 수 있다. 다른 구체예들에서, 세라믹 컴파운드에 대해서 다른 분포가 또한 이용될 수 있다.
한 가지 구체예에서, Y2O3, ZrO2, Er2O3, Gd2O3 및 SiO2의 조성을 포함하는 대안적인 세라믹 컴파운드가 세라믹 코팅에 사용된다. 한 가지 구체예에서, 대안적인 세라믹 컴파운드는 40-45 mol% 범위의 Y2O3, 0-10 mol% 범위의 ZrO2, 35-40 mol% 범위의 Er2O3, 5-10 mol% 범위의 Gd2O3 및 5-15mol% 범위의 SiO2를 포함할 수 있다. 또 다른 구체예에서, 대안적인 세라믹 컴파운드는 30-60 mol% 범위의 Y2O3, 0-20 mol% 범위의 ZrO2, 20-50 mol% 범위의 Er2O3, 0-10 mol% 범위의 Gd2O3 및 0-30 mol% 범위의 SiO2를 포함할 수 있다. 또 다른 구체예에서, 대안적인 세라믹 컴파운드는 30-45 mol% 범위의 Y2O3, 5-15% mol% 범위의 ZrO2, 25-60 mol% 범위의 Er2O3 및 0-25 mol% 범위의 Gd2O3 을 포함할 수 있다. 첫 번째 예로, 대안적인 세라믹 컴파운드는 40 mol%의 Y2O3, 5 mol%의 ZrO2, 35 mol%의 Er2O3, 5 mol%의 Gd2O3 및 15 mol%의 SiO2을 포함한다. 두 번째 예로, 대안적인 세라믹 컴파운드는 45 mol%의 Y2O3, 5 mol%의 ZrO2, 35 mol%의 Er2O3, 10 mol%의 Gd2O3 및 5 mol%의 SiO2를 포함한다. 세 번째 예로, 대안적인 세라믹 컴파운드는 40 mol%의 Y2O3, 5 mol%의 ZrO2, 40 mol%의 Er2O3, 7 mol%의 Gd2O3 및 8 mol%의 SiO2를 포함한다. 한 가지 구체예에서, 세라믹 코팅은 70-75 mol% 범위의 Y2O3 및 25-30 mol% 범위의 ZrO2를 포함한다. 추가의 구체예에서, 세라믹 코팅은 73.13 mol%의 Y2O3 및 26.87 mol%의 ZrO2를 포함하는 YZ20로 명명되는 물질이다. 추가의 구체예에서, 세라믹 코팅은 37 mol%의 Y2O3, 8 mol%의 ZrO2 및 55 mol%의 Er2O3를 포함하는 YEZ08로 명명되는 물질이다. 추가의 구체예에서, 세라믹 코팅은 40 mol%의 Y2O3, 10 mol%의 ZrO2, 30 mol%의 Er2O3 및 20 mol%의 Gd2O3를 포함하는 YEZG10로 명명되는 물질이다.
상기 언듭된 세라믹 코팅들 중 어떠한 코팅은 미량의 다른 물질, 예컨대, ZrO2, Al2O3, SiO2, B2O3, Er2O3, Nd2O3, Nb2O5, CeO2, Sm2O3, Yb2O3, 또는 그 밖의 옥사이드를 포함할 수 있다. 한 가지 구체예에서, 두 인접 세라믹 코팅에 대해서 동일한 세라믹 물질이 사용되지 않는다. 그러나, 또 다른 구체예에서, 인접 코팅은 동일한 세라믹으로 구성될 수 있다.
각각, 건조한 분말에 의한 통상의 플라즈마 스프레이 증착 및 슬러리의 슬러리 플라즈마 스프레이 증착을 이용한 세라믹 코팅을 제조하는데 있어서의 차이를 입증하기 위해서 도 4 및 도 5가 이하 참조된다.
도 4a 및 도 4b는 상이한 배율로 동일한 Y2O3 코팅을 나타내고 있는 Y2O3의 건조한 분말을 플라즈마 스프레잉("건식 플라즈마 스프레잉")함으로써 제조된 플라즈마 스프레잉된 코팅의 현미경 사진이다. 도 5a 및 도 5b는 상이한 배율로 동일한 Y2O3 코팅을 나타내고 있는 한 가지 구체예에 따른 Y2O3 슬러리를 플라즈마 스프레잉함으로써 제조된 슬러리 플라즈마 스프레이 코팅의 현미경 사진이다. 표 1은, 표면 조도, 다공성 및 부식 내성(예, HCl 버블 타임(HCl bubble time)) 면에서, 도 4a 및 도 4b의 건식 플라즈마 스프레잉된 코팅에 비한 도 5a 및 도 5b의 SPS-증착된 코팅의 개선된 성질을 예시하고 있다.
표 1: 건식 플라즈마 스프레잉 대 슬러리 플라즈마 스프레잉의 비교
Figure pct00001
도 4a 및 도 4b의 건식 플라즈마 스프레잉된 코팅은 매우 다공성이며, 밑에 있는 기판을 노출시키는 결함을 함유하지만, 도 5a 및 도 5b의 용액 스프레잉된 코팅은 덜 다공성이고 최소의 결함을 지닌다. 일부 구체예들에서, SPS-증착된 코팅, 예컨대, 도 5a 및 도 5b의 코팅은 결함을 차폐하고 궁극적으로는 더 평활한 세라믹 코팅을 제공하기 위해서 건식 플라즈마 스프레잉된 코팅, 예컨대, 도 4a 및 도 4b의 코팅을 지니는 기판 상에 증착될 수 있다.
도 6은 한 가지 구체예에 따른 코팅을 생성시키기 위한 프로세스(600)를 예시하는 흐름도이다. 블록(602)에서, 기판이 제공된다. 일부 구체예들에서, 기판은 웨이퍼(예, 실리콘 웨이퍼)이다. 일부 구체예들에서, 기판은 도 1과 관련하여 기재된 바와 같은 적합한 챔버 부품일 수 있다. 예를 들어, 기판은, 이로 한정되는 것은 아니지만, 리드, 노즐, 정전 척(예, ESC(150)), 샤워헤드(예, 샤워헤드 (130)), 라이너(예, 외부 라이너(116) 또는 내부 라이너(118)) 또는 라이너 키트, 또는 링(예, 링(146)) 중 어떠한 것일 수 있다.
블록(604)에서, 세라믹 입자들의 슬러리가 플라즈마 분무기 내로 공급된다. 그러한 슬러리는 적합한 액체 라인(예, 유체 라인들(212) 중의 하나 이상)을 사용하는 플라즈마 분무기(예, 플라즈마 스프레이 장치(200)) 내로 공급될 수 있다. 슬러리는 Er2O3, Gd2O3, Gd3Al5O12, YF3, Nd2O3, Er4Al2O9, Er3Al5O12, ErAlO3, Gd4Al2O9, GdAlO3, Nd3Al5O12, Nd4Al2O9, 또는 NdAlO3 중의 하나 이상의 조성과 함께 세라믹 입자들을 함유할 수 있다. 입자들의 D50은 약 10 나노미터 내지 10 마이크로미터일 수 있다. 슬러리는 용매, 예컨대, 에탄올, 메탄올, 탈이온수, 아세토니트릴, 또는 이들의 조합물을 함유할 수 있고, 슬러리의 pH는 5 내지 12일 수 있다. 슬러리 내의 입자 농도는 약 10 중량% 내지 약 50 중량%일 수 있다.
블록(606)에서, 플라즈마 분무기는 기판 상에 세라믹 코팅을 형성시키기 위해서 기판을 향해서 유도된 세라믹 입자들의 스트림을 생성시킨다. 슬러리가 플라즈마 분무기에 의해서 생성된 플라즈마 플럼(예, 플라즈마 플럼(214)) 내로 유입됨에 따라서, 용매가 증발하고, 입자들의 스트림(예, 입자 스트림(216)이 기판(예, 기판(220))를 향해 추진된다. 기판의 표면 상에 부딪치는 용융된 세라믹 입자들은 기판 상에 세라믹 코팅을 형성시킨다. 생성되는 세라믹 코팅의 조성은 Y3Al5O12, Y4Al2O9, Er2O3, Gd2O3, Gd3Al5O12(GAG), YF3, Nd2O3, Er4Al2O9, Er3Al5O12(EAG), ErAlO3, Gd4Al2O9, GdAlO3, Nd3Al5O12, Nd4Al2O9, NdAlO3, 또는 Y4Al2O9 및 Y2O3-ZrO2의 고용체로 구성된 세라믹 컴파운드 중 하나 이상일 수 있다.
일부 구체예들에서, 마스크(mask)가 SPS 증착을 수행하기 전에 기판 상에 놓일 수 있다. 예를 들어, 마스크는 기판의 특정 영역에 세라믹 입자들이 부딪치는 것을 선택적으로 차단하는 기판으로부터 짧은 거리(예, 1-10 mm)에 놓일 수 있다. 또 다른 예로서, 마스크는 기판 상에 세라믹 물질로 구성된 피처들을 남겨두도록 후에 스트리핑될 수 있는 포토레지스트 층일 수 있다. 차폐(masking)는 거대규모(macroscale) 및 미세규모(microscale) 세라믹 피처들이 기판 상에 증착되게 할 수 있다. 예를 들어, 기판을 차폐하는 것은 ESC 표면 상에 메사들을 형성시키기 위해서 이용될 수 있다.
블록(608)에서, 기판이 냉각되면서, 세라믹 입자들의 스트림이 세라믹 코팅을 형성시킨다. 예를 들어, 고온의 입자들의 스트림이 기판 상에 부딪침에 따라서 기판과 냉각 유체 사이의 열 교환을 유도하기 위해서, 냉각 유체 라인(예, 물 라인)이 기판 아래로 또는 그에 인접하여 통과할 수 있다. 기판을 냉각시키는 것은, 일부 구체예들에서, 세라믹 코팅의 형성을 촉진시킬 수 있다. 다른 구체예들에서, 블록(608)은 전체적으로 생략될 수 있다.
블록(610)에서, 세라믹 코팅은 약 1 시간 내지 약 12 시간 동안 약 1200℃ 내지 약 2000℃ 사이의 온도로 가열된다. 일부 구체예들에서, 블록(610)은 플라즈마 스프레잉이 완료된 후에 수행된다. 기판은 플라즈마 분무기 챔버(예, 기판에 인접하여 위치된 열 소자(thermal element)로 가열함으로써)에서 또는 별도의 가열 챔버에서 가열될 수 있다. 세라믹 코팅을 가열하는 것은 세라믹 코팅의 다공성 및 표면 조도를 감소시키는 것을 도울 수 있다. 일부 구체예들에서, 블록(610)은 전체적으로 생략될 수 있다.
도 7은 한 가지 구체예에 따라 다층 코팅을 생산하기 위한 프로세스(700)를 예시하는 흐름도이다. 블록(702)에서, 위에 배치된 첫 번째 세라믹 코팅을 지니는 기판이 제공된다. 첫 번째 세라믹 코팅은 슬러리 플라즈마 스프레잉된 세라믹 코팅일 수 있거나, 다른 증착 기술을 사용하여 증착될 수 있다. 일부 구체예들에서, 기판은 도 1과 관련하여 기재된 적합한 챔버 부품일 수 있다. 예를 들어, 기판은, 이로 한정되는 것은 아니지만, 리드, 노즐, 정전 척(예, ESC(150)), 샤워헤드(예, 샤워헤드 (130)), 라이너(예, 외부 라이너(116) 또는 내부 라이너(118)) 또는 라이너 키트, 또는 링(예, 링(146)) 중 어떠한 것일 수 있다.
블록(704)에서, 세라믹 입자들의 슬러리는 플라즈마 분무기 내로 공급된다. 블록(704)은 도 6과 관련하여 기재된 블록(604)과 동일 또는 유사할 수 있다.
블록(706)에서, 플라즈마 분무기는 첫 번째 세라믹 코팅 상에 두 번째 세라믹 코팅을 형성시키기 위해서 기판을 향해 유도되는 세라믹 입자들의 스트립을 생성시킨다. 블록(706)은 도 6과 관련하여 기재된 블록(606)과 동일 또는 유사할 수 있고, 슬러리는 본원에 기재된 어떠한 적합한 슬러리일 수 있다. 일부 구체예들에서, 첫 번째 세라믹 코팅의 첫 번째 다공도는 0.5% 초과이고, 두 번째 세라믹 코팅의 두 번째 다공도는 0.5% 또는 그 미만이다. 일부 구체예들에서, 첫 번째 세라믹 코팅의 첫 번째 표면 조도는 100 μin 또는 그 초과이고, 두 번째 세라믹 코팅의 두 번째 표면 조도는 100 μin 또는 그 미만이다. 일부 구체예들에서, 차폐(도 6의 블록(606)과 관련하여 기재된 바와 같음)가 첫 번째 세라믹 코팅상에 세라믹 피처를 선택적으로 패턴화시키기 위해서 이용될 수 있다.
일부 구체예들에서, 첫 번째 및 두 번째 세라믹 코팅은 동일한 조성을 지닌다. 일부 구체예들에서, 첫 번째 및 두 번째 세라믹 코팅은 상이한 조성을 지닌다. 블록들(704 및 706)은 다층 코팅 스택을 생성시키기 위해서 요구되는 만큼 여러번 수행될 수 있다.
블록(708)에서, 기판이 냉각되면서, 세라믹 입자들의 스트림이 두 번째 세라믹 코팅을 형성시킨다. 블록(708)은, 도 6과 관련하여 기재된 바와 같이, 블록(608)과 실질적으로 유사한 양상으로 수행될 수 있다. 일부 구체예들에서, 블록(708)은 전체적으로 생략될 수 있다.
블록(710)에서, 세라믹 코팅은 약 1 시간 내지 약 12 시간 동안 약 1200℃ 내지 약 2000℃ 사이의 온도로 가열된다. 블록(710)은 도 6과 관련하여 기재된 바와 같이, 블록(610)과 실질적으로 유사한 양상으로 수행될 수 있다. 일부 구체예들에서, 블록(710)은 전체적으로 생략될 수 있다.
앞선 설명은 본 발명의 몇 가지 구체예들의 양호한 이해를 제공하기 위해서 다양한 특정의 상세사항들, 예컨대, 특정의 시스템들, 부품들, 및 방법들 등의 예들를 기재하고 있다. 그러나, 본 발명의 적어도 일부의 구체예들은 이들 특정의 상세사항 없이도 실행될 수 있음이 당업자에게는 자명할 것이다. 다른 예에서는, 공지된 부품들 또는 방법들이 상세히 기재되지 않거나, 본 발명을 불필요하게 모호하게 하는 것을 피하기 위해서 간단한 블록 다이아그램 형식으로 나타내어져 있다. 따라서, 기재된 특정의 상세사항은 단지 예시적인 것이다. 특정의 구체예들은 이들 예시적인 상세사항과 다를 수 있으며, 여전히 본 발명의 범위 내에 있는 것으로 여겨질 수 있다.
"한 가지 구체예" 또는 "구체예"에 대한 본 명세서 전체에 걸친 언급은 구체예와 연관되어 기재된 특정의 피처, 구조, 또는 특성이 적어도 한 가지 구체예에 포함됨을 의미한다. 따라서, 본 명세서 전체에 걸친 다양한 위치에서의 구 "한 가지 구체예에서" 또는 "구체예에서"의 표현은 동일한 구체예를 반드시 모두 언급하는 것은 아니다. 추가로, 용어 "또는"은 배제하는 "또는"이 아니라 내포적인 "또는"을 의미하는 것으로 의도된다. 용어 "약" 또는 "대략"이 본원에서 사용되는 때에, 이들은 존재하는 수치 값이 ±10% 이내로 정확함을 의미하는 것으로 의도된다.
비록, 본원에서의 방법들의 작업들이 특정의 순서로 나타내어져 있지만, 각각의 방법의 작업들의 순서는 변경되어서 특정의 작업들이 역 순서로 수행되거나 특정의 작업들이 다른 작업들과 적어도 일부 동시에 수행될 수 있다. 또 다른 구체예에서, 별개의 작업들의 지시들 또는 서브-작업들은 간헐적 및/또는 교대 방식으로 존재할 수 있다.
상기 설명은 예시적인 것이며 제한하고자 하는 것이 아닌 것으로 의도됨을 이해해야 한다. 많은 다른 구체예가 상기 설명을 읽어보고 이해한 당업자에게는 자명할 것이다. 따라서, 본 발명의 범위는 첨부된 청구범위에 의해서 정해지는 것의 균등물의 전체 범위와 함께 그러한 청구범위를 참조로 하여 결정되어야 한다.

Claims (15)

  1. 슬러리를 플라즈마 분무기 내로 공급하는 단계로서, 슬러리가 Er2O3, Gd2O3, Gd3Al5O12, La2O3, YAG, YF3, Nd2O3, Er4Al2O9, Er3Al5O12, ErAlO3, Gd4Al2O9, GdAlO3, Nd3Al5O12, Nd4Al2O9, 또는 NdAlO3 중 하나 이상을 포함한 세라믹 입자들을 포함하는 단계; 및
    플라즈마 분무기로, 기판(substrate)을 향해 유도되는 세라믹 입자들의 스트림(stream)을 생성시키는 단계로서, 세라믹 입자들의 스트림이 기판과의 접촉시에 기판 상에 세라믹 코팅을 형성시키는 단계를 포함하는 방법.
  2. 제 1항에 있어서, 세라믹 코팅이 Y4Al2O9 및 Y2O3-ZrO2의 고용체를 포함하는 세라믹 컴파운드(ceramic compound)를 포함하고, 세라믹 코팅이
    50-75 mol%의 Y2O3, 10-30 mol%의 ZrO2, 및 10-30 mol%의 Al2O3;
    40-100 mol%의 Y2O3, 0-60 mol%의 ZrO2, 및 0-10 mol%의 Al2O3;
    40-60 mol%의 Y2O3, 30-50 mol%의 ZrO2, 및 10-20 mol%의 Al2O3;
    40-50 mol%의 Y2O3, 20-40 mol%의 ZrO2, 및 20-40 mol%의 Al2O3;
    70-90 mol%의 Y2O3, 0-20 mol%의 ZrO2, 및 10-20 mol%의 Al2O3;
    60-80 mol%의 Y2O3, 0-10 mol%의 ZrO2, 및 20-40 mol%의 Al2O3;
    40-60 mol%의 Y2O3, 0-20 mol%의 ZrO2, 및 30-40 mol%의 Al2O3;
    30-60 mol%의 Y2O3, 0-20 mol%의 ZrO2, 및 30-60 mol%의 Al2O3; 및
    20-40 mol%의 Y2O3, 20-80 mol%의 ZrO2, 및 0-60 mol%의 Al2O3로 이루어진 목록으로부터 선택된 조성을 지니는 방법.
  3. 제 1항에 있어서, 세라믹 코팅이 30-60 mol%의 Y2O3, 0-20 mol%의 ZrO2, 20-50 mol%의 Er2O3, 0-10 mol%의 Gd2O3, 및 0-30 mol%의 SiO2를 포함하는 방법.
  4. 제 1항에 있어서, 세라믹 코팅이 30-45 mol%의 Y2O3, 5-15% mol%의 ZrO2, 25-60 mol%의 Er2O3, 및 0-25 mol%의 Gd2O3을 포함하는 방법.
  5. 제 1항에 있어서, 세라믹 입자들의 스트림이 플라즈마 분무기를 통해서 슬러리를 통과시킴에 따라서 슬러리로부터 용매를 증발시킴으로써 생성되고, 용매가 에탄올, 메탄올, 탈이온수, 또는 아세토니트릴 중 하나 이상을 포함하는 방법.
  6. 제 1항에 있어서, 세라믹 코팅을 형성시킨 후에, 세라믹 코팅을 최대 약 12 시간의 기간 동안 최대 약 2000℃의 온도로 가열함을 추가로 포함하는 방법.
  7. 제 1항에 있어서, 세라믹 입자들의 크기가 최대 15 마이크로미터이고, 슬러리의 pH가 5 내지 12인 방법.
  8. 제 1항에 있어서, 세라믹 코팅의 두께가 최대 약 500 마이크로미터이고, 세라믹 코팅의 표면 조도(surface roughness)가 100 μin 미만이고, 세라믹 코팅의 다공도가 약 0.8% 미만인 방법.
  9. 제 1항에 있어서, 기판이 리드(lid), 노즐, 정전 척(electrostatic chuck), 샤워헤드(showerhead), 라이너 키트(liner kit) 또는 링(ring)을 포함하는 군으로부터 선택된 챔버 부품인 방법.
  10. 제 1항에 있어서, 기판이 그 위에 위치하는 추가의 세라믹 코팅을 포함하고, 세라믹 코팅이 추가의 세라믹 코팅 상에 형성되고, 추가의 세라믹 코팅의 첫 번째 다공도가 0.8% 초과이고, 세라믹 코팅의 두 번째 다공도가 0.8% 또는 그 미만이고, 추가의 세라믹 코팅의 첫 번째 표면 조도가 100 μin 초과이고, 세라믹 코팅의 두 번째 표면 조도가 100 μin 또는 그 미만인 방법.
  11. 바디(body) 및 바디 상의 세라믹 코팅을 포함하는 물품으로서, 세라믹 코팅이
    슬러리를 플라즈마 분무기 내로 공급하는 단계로서, 슬러리가 Er2O3, Gd2O3, Gd3Al5O12, La2O3, YAG, YF3, Nd2O3, Er4Al2O9, Er3Al5O12, ErAlO3, Gd4Al2O9, GdAlO3, Nd3Al5O12, Nd4Al2O9, 또는 NdAlO3 중 하나 이상을 포함한 세라믹 입자들을 포함하는 단계; 및
    플라즈마 분무기로, 바디를 향해 유도되는 세라믹 입자들의 스트림을 생성시키는 단계로서, 세라믹 입자들의 스트림이 바디와의 접촉시에 바디 상에 세라믹 코팅을 형성시키는 단계를 포함하는 방법을 이용하여 제작되는 물품.
  12. 제 11항에 있어서, 세라믹 코팅의 두께가 최대 약 500 마이크로미터이고, 세라믹 코팅의 표면 조도가 100 μin 미만이고, 세라믹 코팅의 다공도가 약 0.8% 미만인 물품.
  13. 제 11항에 있어서, 바디가 그 위에 위치하는 추가의 세라믹 코팅을 포함하고, 세라믹 코팅이 추가의 세라믹 코팅 상에 형성되는 물품.
  14. 제 13항에 있어서, 추가의 세라믹 코팅의 첫 번째 다공도가 0.8% 초과이고, 세라믹 코팅의 두 번째 다공도가 0.8% 또는 그 미만이고, 추가의 세라믹 코팅의 첫 번째 표면 조도가 100 μin 초과이고, 세라믹 코팅의 두 번째 표면 조도가 100 μin 또는 그 미만인 물품.
  15. 제 11항에 있어서, 세라믹 코팅이 Y4Al2O9 및 Y2O3-ZrO2의 고용체를 포함하는 세라믹 컴파운드를 포함하고, 세라믹 코팅이
    50-75 mol%의 Y2O3, 10-30 mol%의 ZrO2, 및 10-30 mol%의 Al2O3;
    40-100 mol%의 Y2O3, 0-60 mol%의 ZrO2, 및 0-10 mol%의 Al2O3;
    40-60 mol%의 Y2O3, 30-50 mol%의 ZrO2, 및 10-20 mol%의 Al2O3;
    40-50 mol%의 Y2O3, 20-40 mol%의 ZrO2, 및 20-40 mol%의 Al2O3;
    70-90 mol%의 Y2O3, 0-20 mol%의 ZrO2, 및 10-20 mol%의 Al2O3;
    60-80 mol%의 Y2O3, 0-10 mol%의 ZrO2, 및 20-40 mol%의 Al2O3;
    40-60 mol%의 Y2O3, 0-20 mol%의 ZrO2, 및 30-40 mol%의 Al2O3;
    30-60 mol%의 Y2O3, 0-20 mol%의 ZrO2, 및 30-60 mol%의 Al2O3; 및
    20-40 mol%의 Y2O3, 20-80 mol%의 ZrO2, 및 0-60 mol%의 Al2O3로 이루어진 목록으로부터 선택된 조성을 지니는 물품.
KR1020167002514A 2014-05-07 2015-05-06 플라즈마 내성 세라믹 코팅의 슬러리 플라즈마 스프레이 KR102395205B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201461989903P 2014-05-07 2014-05-07
US61/989,903 2014-05-07
US14/704,742 2015-05-05
US14/704,742 US10730798B2 (en) 2014-05-07 2015-05-05 Slurry plasma spray of plasma resistant ceramic coating
PCT/US2015/029517 WO2015171801A1 (en) 2014-05-07 2015-05-06 Slurry plasma spray of plasma resistant ceramic coating

Publications (2)

Publication Number Publication Date
KR20170003519A true KR20170003519A (ko) 2017-01-09
KR102395205B1 KR102395205B1 (ko) 2022-05-04

Family

ID=54367214

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020167002514A KR102395205B1 (ko) 2014-05-07 2015-05-06 플라즈마 내성 세라믹 코팅의 슬러리 플라즈마 스프레이

Country Status (6)

Country Link
US (2) US10730798B2 (ko)
JP (1) JP6820103B2 (ko)
KR (1) KR102395205B1 (ko)
CN (1) CN105474363B (ko)
TW (3) TWI715049B (ko)
WO (1) WO2015171801A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190106768A (ko) * 2018-03-07 2019-09-18 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 환경들 내의 챔버 컴포넌트들을 위한 Y2O3-ZrO2 부식 저항성 재료
KR20210069837A (ko) * 2019-12-04 2021-06-14 한국세라믹기술원 내플라즈마 침식성 및 기계적 특성이 우수한 반도체 부품의 코팅방법

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
WO2014142018A1 (ja) * 2013-03-13 2014-09-18 株式会社 フジミインコーポレーテッド 溶射用スラリー、溶射皮膜、及び溶射皮膜の形成方法
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US10468235B2 (en) 2013-09-18 2019-11-05 Applied Materials, Inc. Plasma spray coating enhancement using plasma flame heat treatment
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) * 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
KR102419886B1 (ko) 2014-09-03 2022-07-12 가부시키가이샤 후지미인코퍼레이티드 용사용 슬러리, 용사 피막 및 용사 피막의 형성 방법
US20160341832A1 (en) * 2015-05-21 2016-11-24 DM Lighting Technologies Inc. Microchip Composite Structure of Ce:Yag and Production Method
US9850161B2 (en) * 2016-03-29 2017-12-26 Applied Materials, Inc. Fluoride glazes from fluorine ion treatment
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US11572617B2 (en) 2016-05-03 2023-02-07 Applied Materials, Inc. Protective metal oxy-fluoride coatings
US9850573B1 (en) * 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
CN106077663A (zh) * 2016-07-29 2016-11-09 安庆市德奥特汽车零部件制造有限公司 一种纳米钇铝石榴石纤维复合涂层内燃机用活塞环的制备方法
US10265725B2 (en) * 2016-12-02 2019-04-23 General Electric Company Coating system and method
US11067002B2 (en) 2016-12-06 2021-07-20 General Electric Company Gas turbine engine maintenance tool
US20180166311A1 (en) * 2016-12-12 2018-06-14 Applied Materials, Inc. New repair method for electrostatic chuck
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US20180240649A1 (en) * 2017-02-17 2018-08-23 Lam Research Corporation Surface coating for plasma processing chamber components
US10755900B2 (en) 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components
JP7284553B2 (ja) * 2017-09-21 2023-05-31 日本特殊陶業株式会社 溶射膜を備えた基材及びその製造方法
US11279656B2 (en) 2017-10-27 2022-03-22 Applied Materials, Inc. Nanopowders, nanoceramic materials and methods of making and use thereof
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
US10443126B1 (en) 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
WO2020009990A1 (en) * 2018-07-03 2020-01-09 Lam Research Corporation Method for conditioning a ceramic coating
US11667575B2 (en) * 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
US20200024735A1 (en) * 2018-07-18 2020-01-23 Applied Materials, Inc. Erosion resistant metal fluoride coatings deposited by atomic layer deposition
US11180847B2 (en) 2018-12-06 2021-11-23 Applied Materials, Inc. Atomic layer deposition coatings for high temperature ceramic components
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
JP7331762B2 (ja) * 2019-04-12 2023-08-23 信越化学工業株式会社 溶射材料、その製造方法、及び溶射皮膜の形成方法
KR20220048033A (ko) * 2019-08-23 2022-04-19 램 리써치 코포레이션 저온 플라즈마 제트들을 사용하는 네트 유사 형상 (near netshape) 애디티브 제작 (additive manufacturing)
CN112063956A (zh) * 2020-08-10 2020-12-11 暨南大学 一种悬浮液等离子喷涂高纯y2o3耐侵蚀涂层及其制备方法与应用
KR102356172B1 (ko) * 2021-08-24 2022-02-08 (주)코미코 내플라즈마성 코팅막의 제조방법
CN113862598A (zh) * 2021-09-01 2021-12-31 暨南大学 一种用于TBCs或EBCs的抗CMAS防护层及其制备方法以及由此得到的防护结构

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
JP2010150617A (ja) * 2008-12-25 2010-07-08 Fujimi Inc 溶射用スラリー、溶射皮膜の形成方法、及び溶射皮膜
US20120183790A1 (en) * 2010-07-14 2012-07-19 Christopher Petorak Thermal spray composite coatings for semiconductor applications

Family Cites Families (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4695439A (en) 1986-09-25 1987-09-22 Gte Products Corporation Yttrium oxide stabilized zirconium oxide
US4773928A (en) 1987-08-03 1988-09-27 Gte Products Corporation Plasma spray powders and process for producing same
US6447848B1 (en) 1995-11-13 2002-09-10 The United States Of America As Represented By The Secretary Of The Navy Nanosize particle coatings made by thermally spraying solution precursor feedstocks
US6949203B2 (en) 1999-12-28 2005-09-27 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
JP3672833B2 (ja) * 2000-06-29 2005-07-20 信越化学工業株式会社 溶射粉及び溶射被膜
JP2003073794A (ja) * 2001-06-18 2003-03-12 Shin Etsu Chem Co Ltd 耐熱性被覆部材
TWI246873B (en) 2001-07-10 2006-01-01 Tokyo Electron Ltd Plasma processing device
US20030047464A1 (en) 2001-07-27 2003-03-13 Applied Materials, Inc. Electrochemically roughened aluminum semiconductor processing apparatus surfaces
JP4663927B2 (ja) * 2001-08-29 2011-04-06 信越化学工業株式会社 希土類含有酸化物部材
US8067067B2 (en) 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US7311797B2 (en) * 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
WO2005017226A1 (en) 2003-01-10 2005-02-24 University Of Connecticut Coatings, materials, articles, and methods of making thereof
WO2004063416A2 (en) 2003-01-10 2004-07-29 Inframat Corporation Apparatus and method for solution plasma spraying
US7220497B2 (en) 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US20060222777A1 (en) 2005-04-05 2006-10-05 General Electric Company Method for applying a plasma sprayed coating using liquid injection
US7672110B2 (en) 2005-08-29 2010-03-02 Applied Materials, Inc. Electrostatic chuck having textured contact surface
US7968205B2 (en) 2005-10-21 2011-06-28 Shin-Etsu Chemical Co., Ltd. Corrosion resistant multilayer member
CN101448977B (zh) 2005-11-04 2010-12-15 应用材料股份有限公司 用于等离子体增强的原子层沉积的设备和工艺
US7655328B2 (en) 2006-04-20 2010-02-02 Shin-Etsu Chemical Co., Ltd. Conductive, plasma-resistant member
FR2900351B1 (fr) * 2006-04-26 2008-06-13 Commissariat Energie Atomique Procede de preparation d'une couche nanoporeuse de nanoparticules et couche ainsi obtenue
US20080029032A1 (en) 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
US7479464B2 (en) 2006-10-23 2009-01-20 Applied Materials, Inc. Low temperature aerosol deposition of a plasma resistive layer
KR101304413B1 (ko) 2006-10-25 2013-09-10 삼성디스플레이 주식회사 표시 장치 및 그의 제조 방법
US8097105B2 (en) * 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
US20100107982A1 (en) * 2007-03-22 2010-05-06 Kabushiki Kaisha Toshiba Vacuum deposition apparatus part and vacuum deposition apparatus using the part
JP2008251765A (ja) * 2007-03-30 2008-10-16 Hitachi High-Technologies Corp プラズマエッチング装置
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
JP5154141B2 (ja) * 2007-05-21 2013-02-27 信越化学工業株式会社 希土類酸化物含有溶射基板及び積層板
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
JP2009068067A (ja) * 2007-09-13 2009-04-02 Covalent Materials Corp 耐プラズマ性セラミックス溶射膜
JP5047741B2 (ja) * 2007-09-13 2012-10-10 コバレントマテリアル株式会社 耐プラズマ性セラミックス溶射膜
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
JP2009161800A (ja) * 2007-12-28 2009-07-23 Riverstone Kogyo Kk 酸化ガドニウム溶射皮膜とその製造法
US20090214825A1 (en) * 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
JP5466831B2 (ja) 2008-04-28 2014-04-09 株式会社フェローテックセラミックス イットリア焼結体およびプラズマプロセス装置用部材
US8206829B2 (en) * 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US9017765B2 (en) 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
JP5407324B2 (ja) 2008-12-24 2014-02-05 堺化学工業株式会社 酸化ジルコニウム分散液の製造方法
JP2010242204A (ja) * 2009-04-10 2010-10-28 Riverstone Kogyo Kk 衝撃焼結被覆法及び装置
NZ596174A (en) * 2009-05-01 2013-07-26 Univ Michigan Direct current plasma apparatus for forming a coating of a precursor material on a target
EP2292357B1 (en) 2009-08-10 2016-04-06 BEGO Bremer Goldschlägerei Wilh.-Herbst GmbH & Co KG Ceramic article and methods for producing such article
US20110086178A1 (en) * 2009-10-14 2011-04-14 General Electric Company Ceramic coatings and methods of making the same
US20110135915A1 (en) 2009-11-25 2011-06-09 Greene, Tweed Of Delaware, Inc. Methods of Coating Substrate With Plasma Resistant Coatings and Related Coated Substrates
US20110198034A1 (en) 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
FR2957358B1 (fr) 2010-03-12 2012-04-13 Snecma Methode de fabrication d'une protection de barriere thermique et revetement multicouche apte a former une barriere thermique
TW201209957A (en) 2010-05-28 2012-03-01 Praxair Technology Inc Substrate supports for semiconductor applications
WO2012012431A1 (en) 2010-07-23 2012-01-26 Rolls-Royce Corporation Thermal barrier coatings including c mas-resistant thermal barrier coating layers
US10720350B2 (en) 2010-09-28 2020-07-21 Kla-Tencore Corporation Etch-resistant coating on sensor wafers for in-situ measurement
US8916021B2 (en) 2010-10-27 2014-12-23 Applied Materials, Inc. Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof
JP2012128135A (ja) 2010-12-15 2012-07-05 Seiko Epson Corp 光学物品およびその製造方法
US20120258266A1 (en) * 2011-04-06 2012-10-11 Basf Corporation Coatings For Engine And Powertrain Components To Prevent Buildup Of Deposits
US20130048606A1 (en) 2011-08-31 2013-02-28 Zhigang Mao Methods for in-situ chamber dry clean in photomask plasma etching processing chamber
US20130071685A1 (en) 2011-09-21 2013-03-21 Iwaki Film Processing Co., Ltd. Product and method for manufacturing the product
BR112014015249B1 (pt) 2011-12-19 2021-04-13 Praxair S.T. Technology, Inc Composição de pasta fluida aquosa
JP2013136814A (ja) * 2011-12-28 2013-07-11 Fujimi Inc セラミック溶射皮膜及びその製造方法
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
WO2013140668A1 (ja) 2012-03-22 2013-09-26 トーカロ株式会社 フッ化物溶射皮膜の形成方法およびフッ化物溶射皮膜被覆部材
US20130273313A1 (en) 2012-04-13 2013-10-17 Applied Materials, Inc. Ceramic coated ring and process for applying ceramic coating
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US20150044444A1 (en) 2012-04-23 2015-02-12 The University Of Connecticut Method of forming thermal barrier coating, thermal barrier coating formed thereby, and article comprising same
US20130288037A1 (en) 2012-04-27 2013-10-31 Applied Materials, Inc. Plasma spray coating process enhancement for critical chamber components
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
KR101637801B1 (ko) 2012-05-22 2016-07-07 가부시끼가이샤 도시바 플라즈마 처리 장치용 부품 및 플라즈마 처리 장치용 부품의 제조 방법
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) * 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US20140037969A1 (en) 2012-08-03 2014-02-06 General Electric Company Hybrid Air Plasma Spray and Slurry Method of Environmental Barrier Deposition
JP6246567B2 (ja) 2012-11-22 2017-12-13 群馬県 複層皮膜付き基材およびその製造方法
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
US20140178641A1 (en) * 2012-12-21 2014-06-26 General Electric Company Methods of coating a surface and articles with coated surface
KR102164024B1 (ko) 2013-03-13 2020-10-12 가부시키가이샤 후지미인코퍼레이티드 용사용 분말, 용사 피막 및 용사 피막의 형성 방법
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
JP5576540B2 (ja) * 2013-08-09 2014-08-20 リバストン工業株式会社 微粉末セラミックス衝撃焼結被覆法
US10468235B2 (en) 2013-09-18 2019-11-05 Applied Materials, Inc. Plasma spray coating enhancement using plasma flame heat treatment
US20150126036A1 (en) 2013-11-05 2015-05-07 Tokyo Electron Limited Controlling etch rate drift and particles during plasma processing
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
JP5894198B2 (ja) 2014-01-06 2016-03-23 株式会社フジミインコーポレーテッド 溶射用スラリー及び溶射皮膜の形成方法
US9230780B2 (en) 2014-03-06 2016-01-05 Applied Materials, Inc. Hall effect enhanced capacitively coupled plasma source
CN106164325A (zh) 2014-03-31 2016-11-23 株式会社东芝 耐等离子体部件、耐等离子体部件的制造方法以及耐等离子体部件的制造中使用的膜沉积装置
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US20150311043A1 (en) 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US10385459B2 (en) 2014-05-16 2019-08-20 Applied Materials, Inc. Advanced layered bulk ceramics via field assisted sintering technology
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
JP5927656B2 (ja) 2014-11-08 2016-06-01 リバストン工業株式会社 皮膜付き基材、その製造方法、その皮膜付き基材を含む半導体製造装置部材
JP5987097B2 (ja) 2015-09-07 2016-09-06 株式会社フジミインコーポレーテッド 溶射皮膜
JP2017061735A (ja) 2015-09-25 2017-03-30 株式会社フジミインコーポレーテッド 溶射用スラリー
KR101916872B1 (ko) 2015-10-15 2018-11-08 아이원스 주식회사 반도체 공정 장비 부품의 코팅층 재생 방법 및 이에 따른 반도체 공정 장비 부품
JP6681168B2 (ja) 2015-10-20 2020-04-15 株式会社フジミインコーポレーテッド 溶射用スラリー、溶射皮膜および溶射皮膜の形成方法
US20170291856A1 (en) 2016-04-06 2017-10-12 Applied Materials, Inc. Solution precursor plasma spray of ceramic coating for semiconductor chamber applications

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
JP2010150617A (ja) * 2008-12-25 2010-07-08 Fujimi Inc 溶射用スラリー、溶射皮膜の形成方法、及び溶射皮膜
US20120183790A1 (en) * 2010-07-14 2012-07-19 Christopher Petorak Thermal spray composite coatings for semiconductor applications

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190106768A (ko) * 2018-03-07 2019-09-18 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 환경들 내의 챔버 컴포넌트들을 위한 Y2O3-ZrO2 부식 저항성 재료
KR20220104120A (ko) * 2018-03-07 2022-07-26 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 환경들 내의 챔버 컴포넌트들을 위한 Y2O3-ZrO2 부식 저항성 재료
KR20210069837A (ko) * 2019-12-04 2021-06-14 한국세라믹기술원 내플라즈마 침식성 및 기계적 특성이 우수한 반도체 부품의 코팅방법

Also Published As

Publication number Publication date
TW201940455A (zh) 2019-10-16
JP6820103B2 (ja) 2021-01-27
US20150321964A1 (en) 2015-11-12
TWI704119B (zh) 2020-09-11
TW202026269A (zh) 2020-07-16
WO2015171801A1 (en) 2015-11-12
JP2017515001A (ja) 2017-06-08
US10730798B2 (en) 2020-08-04
US20200325073A1 (en) 2020-10-15
TWI754243B (zh) 2022-02-01
KR102395205B1 (ko) 2022-05-04
TWI715049B (zh) 2021-01-01
TW201544484A (zh) 2015-12-01
CN105474363A (zh) 2016-04-06
CN105474363B (zh) 2021-05-07

Similar Documents

Publication Publication Date Title
US20200325073A1 (en) Slurry plasma spray of plasma resistant ceramic coating
JP6956774B2 (ja) 希土類酸化物のイオンアシスト蒸着トップコート
US11053581B2 (en) Plasma erosion resistant rare-earth oxide based thin film coatings
US20230167540A1 (en) Ion beam sputtering with ion assisted deposition for coatings on chamber components
US20180366302A1 (en) Coating architecture for plasma sprayed chamber components
US20170291856A1 (en) Solution precursor plasma spray of ceramic coating for semiconductor chamber applications
US20230051800A1 (en) Methods and apparatus for plasma spraying silicon carbide coatings for semiconductor chamber applications

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant