TWI754243B - 耐電漿陶瓷塗層的漿料電漿噴塗與包含耐電漿陶瓷塗層的腔室元件 - Google Patents

耐電漿陶瓷塗層的漿料電漿噴塗與包含耐電漿陶瓷塗層的腔室元件 Download PDF

Info

Publication number
TWI754243B
TWI754243B TW109108531A TW109108531A TWI754243B TW I754243 B TWI754243 B TW I754243B TW 109108531 A TW109108531 A TW 109108531A TW 109108531 A TW109108531 A TW 109108531A TW I754243 B TWI754243 B TW I754243B
Authority
TW
Taiwan
Prior art keywords
ceramic
plasma
ceramic coating
coating
chamber element
Prior art date
Application number
TW109108531A
Other languages
English (en)
Other versions
TW202026269A (zh
Inventor
語南 孫
比拉賈P 卡農哥
陳益凱
維希德 菲路茲朵爾
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202026269A publication Critical patent/TW202026269A/zh
Application granted granted Critical
Publication of TWI754243B publication Critical patent/TWI754243B/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • C23C4/11Oxides
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/01Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics
    • C04B35/10Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics based on aluminium oxide
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/01Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics
    • C04B35/16Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics based on silicates other than clay
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/01Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics
    • C04B35/44Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics based on aluminates
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/01Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics
    • C04B35/48Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics based on zirconium or hafnium oxides, zirconates, zircon or hafnates
    • C04B35/486Fine ceramics
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/01Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics
    • C04B35/48Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics based on zirconium or hafnium oxides, zirconates, zircon or hafnates
    • C04B35/486Fine ceramics
    • C04B35/488Composites
    • C04B35/4885Composites with aluminium oxide
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/50Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on rare-earth compounds
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/50Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on rare-earth compounds
    • C04B35/505Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on rare-earth compounds based on yttrium oxide
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/515Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics
    • C04B35/5156Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics based on rare earth compounds
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/622Forming processes; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/62222Forming processes; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products obtaining ceramic coatings
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/622Forming processes; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/626Preparing or treating the powders individually or as batches ; preparing or treating macroscopic reinforcing agents for ceramic products, e.g. fibres; mechanical aspects section B
    • C04B35/62605Treating the starting powders individually or as mixtures
    • C04B35/62625Wet mixtures
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C24/00Coating starting from inorganic powder
    • C23C24/08Coating starting from inorganic powder by application of heat or pressure and heat
    • C23C24/10Coating starting from inorganic powder by application of heat or pressure and heat with intermediate formation of a liquid phase in the layer
    • C23C24/103Coating with metallic material, i.e. metals or metal alloys, optionally comprising hard particles, e.g. oxides, carbides or nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/042Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material including a refractory ceramic layer, e.g. refractory metal oxides, ZrO2, rare earth oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/02Pretreatment of the material to be coated, e.g. for coating on selected surface areas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/12Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
    • C23C4/134Plasma spraying
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/18After-treatment
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3217Aluminum oxide or oxide forming salts thereof, e.g. bauxite, alpha-alumina
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3224Rare earth oxide or oxide forming salts thereof, e.g. scandium oxide
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3224Rare earth oxide or oxide forming salts thereof, e.g. scandium oxide
    • C04B2235/3225Yttrium oxide or oxide-forming salts thereof
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3231Refractory metal oxides, their mixed metal oxides, or oxide-forming salts thereof
    • C04B2235/3244Zirconium oxides, zirconates, hafnium oxides, hafnates, or oxide-forming salts thereof
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/34Non-metal oxides, non-metal mixed oxides, or salts thereof that form the non-metal oxides upon heating, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3418Silicon oxide, silicic acids, or oxide forming salts thereof, e.g. silica sol, fused silica, silica fume, cristobalite, quartz or flint
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24355Continuous and nonuniform or irregular surface on layer or component [e.g., roofing, etc.]
    • Y10T428/24372Particulate matter

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Ceramic Engineering (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Structural Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Composite Materials (AREA)
  • Inorganic Chemistry (AREA)
  • Coating By Spraying Or Casting (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本文中揭示的是用於生產超緻密和超平滑陶瓷塗層的方法。一種方法包括將陶瓷顆粒漿料進料到電漿噴灑器中。該電漿噴灑器產生被導向基材的顆粒流,從而在接觸時在該基材上形成陶瓷塗層。

Description

耐電漿陶瓷塗層的漿料電漿噴塗與包含耐電漿陶瓷塗層的腔 室元件
本申請案主張於2014年5月7日提出申請的美國臨時專利申請案第61/989,903號之優先權權益。
本發明之實施例大體而言係關於塗層。
在半導體產業中,元件是由若干產生尺寸不斷縮小的結構的製程所製造的。有一些製程,例如電漿蝕刻和電漿清洗製程,使基材支座(例如,在晶圓處理過程中為基材支座的邊緣,而在腔室清洗過程中為整個基材支座)曝露於高速電漿流,以蝕刻或清洗基材。電漿可能是高度腐蝕性的,而且可能會腐蝕處理室及其他曝露於電漿的表面。
電漿噴灑塗層被用來保護腔室元件免受處理條件破壞,以增強晶圓上缺陷表現以及元件的壽命。然而,典型的腔室元件塗層會具有固有的孔隙率、裂縫、及粗糙的表面光潔度,從而降低腔室元件的性能。
以下是本揭示的簡化摘要,以提供對本揭示之一些態樣的基本瞭解。此摘要不是本揭示的廣泛概述。此摘要既不意圖辨識本揭示的關鍵或重要元件,也不描述本揭示之特定實施的任何範圍或權利請求項的任何範圍。此摘要的唯一目的是以簡化的形式呈現本揭示的一些概念,並作為稍後呈現的更詳細敘述之序言。
本揭示的某些實施例係關於用於半導體處理室的、具有增強缺陷表現的超緻密和超平滑塗層之生產。在一個態樣中,一種方法包括提供基材、將陶瓷顆粒漿料進料到電漿羽中以產生被導向該基材的顆粒流。該顆粒流在接觸時在該基材上形成陶瓷塗層。
本揭示的某些實施例係關於用於半導體處理室的、具有增強缺陷表現的超緻密和超平滑塗層之生產。在一個態樣中,一種方法包括提供基材、將陶瓷顆粒漿料進料到電漿羽中以產生被導向該基材的顆粒流。該顆粒流在接觸時在該基材上形成陶瓷塗層。
本發明的實施例提供一種用於半導體處理室的基材,例如腔室元件。可以使用漿料電漿噴灑沉積將陶瓷塗層形成在基材上。陶瓷塗層可以用來作為保護塗層。在一些實施例中,塗層疊層可以被沉積在基材上,其中該塗層疊層是由兩個或更多個漿料電漿噴灑的陶瓷塗層所組成的。在這樣的實施例中,每個陶瓷塗層的厚度可以在約10微米至約500微米之間。每個陶瓷塗層可以具有Y3 Al5 O12 (YAG)、Y4 Al2 O9 (YAM)、Er2 O3 、Gd2 O3 、Gd3 Al5 O12 (GAG)、YF3 、Nd2 O3 、Er4 Al2 O9 、Er3 Al5 O12 (EAG)、ErAlO3 、Gd4 Al2 O9 、GdAlO3 、Nd3 Al5 O12 、Nd4 Al2 O9 、NdAlO3、或由Y4Al2O9和Y2O3-ZrO2之固溶體組成的陶瓷化合物中之一者或更多者的組成物。由一個或更多個揭示的陶瓷塗層所提供的改良耐腐蝕性可以改善腔室元件的使用壽命,同時降低維護和製造成本。
第1圖為依據本發明之實施例具有一個或更多個被塗有塗層的腔室元件的半導體處理室100之剖視圖。處理室100可被用於其中提供腐蝕性電漿環境的製程。例如,處理室100可以是用於電漿蝕刻器或電漿蝕刻反應器、電漿清洗器等等的腔室。可以包括塗層的腔室元件之實例包括基材支撐組件148、靜電夾盤(ESC)150、環(例如處理套組環或單環)、腔室壁、基座、氣體分配板材、噴頭、襯裡、襯裡套組、屏蔽、電漿隔板、流量等化器、冷卻基座、腔室觀察孔、腔室蓋等等。塗層(在下面有更詳細的描述)可以包括Y3Al5O12、Y4Al2O9、Er2O3、Gd2O3、Gd3Al5O12、La2O3、YAG、YF3、Nd2O3、Er4Al2O9、Er3Al5O12、ErAlO3、Gd4Al2O9、GdAlO3、Nd3Al5O12、Nd4Al2O9、NdAlO3、或由Y4Al2O9和Y2O3-ZrO2之固溶體組成的陶瓷化合物中之一者或更多者。如圖所示,依據一個實施例,基材支撐組件148具有陶瓷塗層136。然而,應當理解的是,任何其他的腔室元件,例如上面列出的那些,也可以包括塗層。
在一個實施例中,處理室100包括包圍內部體積106的腔室主體102和噴頭130。或者,在一些實施例中,噴頭130可以被蓋和噴嘴取代。腔室主體102可以由鋁、不銹鋼或其他適當的材料製成。腔室主體102通常包括側壁108和底部110。噴頭130(或蓋及/或噴嘴)、側壁108及/或底部110中的一者或更多者可以包括塗層。
外襯裡116可以被配置於鄰接側壁108,以保護腔室主體102。外襯裡116可以被製造及/或塗有塗層。在一個實施例中,外襯裡116是由氧化鋁製成的。
排氣口126可以被界定在腔室主體102中,而且可以將內部體積106耦接到泵系統128。泵系統128可以包括一個或更多個用以排空和調節處理室100之內部體積106的壓力的泵和節流閥。
噴頭130可以被支撐在腔室主體102的側壁108上。噴頭130(或蓋)可被打開以允許進入處理室100的內部體積106,而且可以在關閉時為處理室100提供密封。氣體控制板158可被耦接到處理室100,以通過噴頭130或蓋和噴嘴提供處理及/或清洗氣體到內部體積106。噴頭130可被用於處理室用於介電質蝕刻(介電質材料的蝕刻)。噴頭130包括氣體分配板材(GDP)133,GDP 133具有多個通過GDP 133的氣體輸送孔132。噴頭130可以包括結合於鋁基座或陽極化鋁基座104的GDP 133。GDP 133可以由Si或SiC製成,或者可以是陶瓷,例如Y2 O3 、Al2 O3 、YAG等等。
對於用於導體蝕刻(導電材料的蝕刻)的處理室,可以使用蓋而不是噴頭。該蓋可以包括裝配進入該蓋之中心孔的中心噴嘴。該蓋可以是陶瓷,例如Al2O3、Y2O3、YAG、或由Y4Al2O9和Y2O3-ZrO2的固溶體組成的陶瓷化合物。噴嘴也可以是陶瓷,例如Y2O3、YAG、或由Y4Al2O9和Y2O3-ZrO2的固溶體組成的陶瓷化合物。該蓋、噴頭基座104、GDP 133及/或噴嘴可以塗佈有陶瓷塗層。
可用於在處理室100中處理基材的處理氣體之實例包括含鹵素氣體,例如C2F6、SF6、SiCl4、HBr、NF3、CF4、CHF3、CH2F3、F、NF3、Cl2、CCl4、BCl3及SiF4等等及其他氣體,例如O2或N2O。載氣的實例包括N2、He、Ar及其他對處理氣體惰性的氣體(例如不反應的氣體)。基材支撐組件148被配置在處理室100的內部體積106中在噴頭130或蓋的下方。基材支撐組件148在處理過程中固持基材144。環146(例如單環)可以覆蓋一部分的靜電夾盤150,而且在處理過程中可以保護被覆蓋的部分免於曝露於電漿。在一個實施例中,環146可以是矽或石英。
內襯裡118可以被塗佈在基材支撐組件148的外週上。內襯裡118可以是耐含鹵素氣體的材料,例如參照外襯裡116討論的那些。在一個實施例中,內襯裡118可以從與外襯裡116相同的材料製成。此外,內襯裡118可以被塗佈有陶瓷塗層。
在一個實施例中,基材支撐組件148包括支撐支座152的組裝板材162及靜電夾盤150。靜電夾盤150進一步包括導熱基座164及藉由黏著劑138黏結於該導熱基座的靜電定位盤166,在一個實施例中黏著劑138可以是矽氧烷黏著劑。在圖示的實施例中,靜電定位盤166的上表面被陶瓷塗層136覆蓋。在一個實施例中,陶瓷塗層136被配置在靜電定位盤166的上表面上。在另一個實施例中,陶瓷塗層136被配置在靜電夾盤150的整個曝露表面上,包括導熱基座164和靜電定位盤166的外部和側邊周邊上。組裝板材162被耦接到腔室主體102的底部110,而且包括用於為設施(例如流體、電力線、感測器引線等)定路線到導熱基座164和靜電定位盤166的通道。
導熱基座164及/或靜電定位盤166可以包括一個或更多個可選的嵌入加熱元件176、嵌入隔熱體174及/或導管168、170,以控制支撐組件148的橫向溫度分佈。導管168、170可以被流體耦接到流體源172,流體源172將溫度調節流體循環通過導管168、170。在一個實施例中,嵌入隔熱體174可以被配置在導管168、170之間。加熱器176由加熱器電源178調節。導管168、170及加熱器176可被用來控制導熱基座164的溫度,從而加熱及/或冷卻靜電定位盤166及正被處理的基材(例如晶圓)144。靜電定位盤166和導熱基座164的溫度可以使 用多個溫度感測器190、192監控,溫度感測器190、192可以使用控制器195監控。
靜電定位盤166可以進一步包括多個氣體通道,例如可以被形成在定位盤166及/或陶瓷塗層136之上表面中的溝槽、臺面及其他表面特徵。該等氣體通道可以經由在定位盤166中鑽出的孔被流體耦接到熱傳(或背側)氣體(例如氦氣)的來源。在操作中,背側氣體可以被以受控的壓力供入氣體通道中,以增強靜電定位盤166與基材144之間的熱傳。靜電定位盤166包括至少一個被夾盤電源182控制的夾持電極180。電極180(或被配置在定位盤166或基座164中的其他電極)可以被進一步通過匹配電路188耦接到一個或更多個RF電源184、186,用於在處理室100內保持從處理及/或其他氣體形成的電漿。電源184、186通常能夠產生頻率從約50kHz至約3GHz且功率輸出高達約10,000瓦的RF訊號。
第2圖繪示依據一實施例的電漿噴塗裝置200之剖視圖。電漿噴塗裝置200屬於熱噴灑系統類型,用以進行陶瓷材料的「漿料電漿噴灑」(「SPS」)沉積。不像標準的電漿噴塗技術,SPS沉積利用以溶液為基礎的顆粒分佈(漿料)在基材上沉積陶瓷塗層。SPS可以藉由使用常壓電漿噴塗、高速氧燃料(HVOF)、暖噴塗、真空電漿噴塗(VPS)、及低壓電漿噴塗(LPPS)噴塗漿料來進行。
電漿噴塗裝置200可以包括包圍噴嘴陽極206和陰極204的殼體202。殼體202允許氣流208介於噴嘴陽極206和陰極204之間通過電漿噴塗裝置200。可以使用外部電源來在噴嘴陽極206和陰極204之間施加電位。該電位在噴嘴陽極206和陰極204之間產生電弧,該電弧點燃氣流208以產生電漿氣體。點燃的電漿氣流208產生被從噴嘴陽極206導出前往基材220的高速電漿羽214。噴嘴陽極206的遠端和基材220之間的距離(即電子槍的距離)可以在約50 mm和約500 mm之間。
電漿噴塗裝置200可以位在腔室或大氣壓下的小房間中。在一些實施例中,氣流208可以是氣體或氣體混合物,該氣體或氣體混合物包括、但不限於氬氣、氮氣、氫氣、氦氣、及上述氣體之組合。氣流208的流動速率可以在約50 L/min和400 L/min之間。被施加在噴嘴陽極206和陰極204之間的電位可以是交流波形、直流波形、或上述波形之組合,而且可以在約40 V和約500 V之間。所施加的電位通常能夠提供30 kW或更大的槍功率,並具有高達1000 A或更高的槍電流。
電漿噴塗裝置200可以配備有一個或更多個流體管線212,以輸送漿料進入電漿羽214中,例如以介於5 mL/min和約100 mL/min之間的流動速率。在一些實施例中,若干流體管線212可以被設置在電漿羽214的一側上或對稱地圍繞電漿羽214。在一些實施例中,流體管線212可以被以垂直的方式設置在到電漿羽214的方向上,如第2圖所繪示。在其他實施例中,流體管線212可以被調整而以不同的角度(例如45°)輸送漿料進入電漿羽,或者可以至少部分地位於殼體202內部,以將漿料內部注入電漿羽214中。在一些實施例中,每個流體管線212可以提供不同的漿料,該等漿料可被用來改變在基材220各處產生的塗層之組成。
可以使用漿料進料器系統來將漿料輸送到流體管線212。在一些實施例中,漿料進料器系統包括流量控制器,該流量控制器在塗佈過程中保持固定的流動速率。可以在塗佈製程前後使用例如去離子水清洗流體管線212。在一些實施例中,容納被進料到電漿噴塗裝置200的漿料的漿料容器在塗佈製程的進程期間進行機械攪拌,以將漿料保持均勻並防止沉降。
在一些實施例中,漿料是在溶劑中的陶瓷顆粒之漿料。溶劑可以包括低分子量極性溶劑,包括、但不限於乙醇、甲醇、乙腈、去離子水、或上述溶劑之組合。在一些實施例中,漿料之酸鹼度可以在約5和12之間,以促進漿料的穩定性。在一些實施例中,可以使用約10 wt%至約50 wt%的漿料中顆粒濃度來保持低黏度,同時最大化流動性。在一些實施例中,漿料中的顆粒之質量中位數直徑(D50)(為依質量計的平均粒徑)可以在約10奈米和10微米之間。在一些實施例中,漿料可以包括分散劑,以幫助均勻地分散顆粒。例示性的分散劑可以包括低分子量聚合物,例如聚丙烯酸、聚甲基丙烯酸銨、ω-3脂肪酸(例如二十碳五烯酸和二十二碳六烯酸)、及聚乙二醇。在一些實施例中,顆粒可以具有包括Er2O3、Gd2O3、Gd3Al5O12、La2O3、YAG、YF3、Nd2O3、Er4Al2O9、Er3Al5O12、ErAlO3、Gd4Al2O9、GdAlO3、Nd3Al5O12、Nd4Al2O9、或NdAlO3中之一者或更多者的組成物。
電漿羽214可以達到介於約3000℃至約10000℃之間的溫度。漿料(或多種漿料)在被注入電漿羽214時所經歷的劇烈溫度可以導致漿料溶劑快速蒸發,並且可以熔化陶瓷顆粒,從而產生被推向基材220的顆粒流216。在撞擊時基材220時,熔融顆粒可以壓平並在基材上迅速固化,從而形成陶瓷塗層218。溶劑可以在陶瓷顆粒到達基材220之前被完全蒸發。
會影響陶瓷塗層的厚度、密度及粗糙度的參數包括漿料狀態、粒徑分佈、漿料進料速率、電漿氣體組成、氣體流動速率、能量輸入、噴塗距離、及基材冷卻。
第3A圖和第3B圖繪示依據一實施例分別具有一個和兩個塗層的例示性腔室元件之剖視圖。參照第3A圖,物件300的基座或主體302之至少一部分被塗佈陶瓷塗層304。物件300(通常也被稱為「基材」,此「基材」可以與就第2圖描述的基材220相同)可以是腔室元件,例如基材支撐組件、靜電夾盤(ESC)、環(例如處理套組環或單環)、腔室襯裡、噴頭基座、氣體分配板材、襯裡、襯裡套組、屏蔽、電漿隔板、流量等化器、冷卻基 座、腔室觀察孔、腔室蓋等等。物件300的主體302可以是金屬、陶瓷、金屬-陶瓷複合物、聚合物、或聚合物-陶瓷複合物。
不同腔室元件是由不同材料製成的。例如,靜電夾盤可以由陶瓷所組成,例如黏結到陽極化鋁基座的Al2O3(氧化鋁)、AlN(氮化鋁)、TiO(氧化鈦)、TiN(氮化鈦)或SiC(碳化矽)。Al2O3、AlN及陽極化鋁具有不良的耐電漿腐蝕性。當曝露於具有氟化學品及/或還原化學品的電漿環境時,靜電夾盤的靜電定位盤可能會在約50射頻小時(RFHrs)的處理之後表現出退化的晶圓夾持、增加的氦氣洩漏率、晶圓前側和背側顆粒產生及晶圓上金屬污染。1射頻小時是處理一小時。
用於導體蝕刻製程中使用的電漿蝕刻機的蓋可以是燒結陶瓷,例如Al2O3,因為Al2O3具有高撓曲強度和高導熱性。然而,曝露於氟化學品的Al2O3在晶圓上形成AlF顆粒以及鋁金屬污染。一些腔室蓋在面電漿側具有厚膜保護層,以最少化顆粒產生和金屬污染,並延長蓋的壽命。然而,大多數的厚膜塗佈技術固有可能會降低晶圓上缺陷表現的裂縫和孔隙。
處理套組環和單環可以被用來密封及/或保護其他的腔室元件,而且通常是從石英或矽製成的。這些環可以被配置在被支撐的基材(例如晶圓)周圍,以確保均勻的電漿密度(及從而均勻的蝕刻)。然而,石英和矽在各種蝕刻化學品(例如電漿蝕刻化學品)下具有非常高的腐蝕率。此外,當曝露於電漿化學品時,這樣的環可能會造成顆粒污染。製程套組環和單環也可以由諸如YAG的燒結陶瓷及或由Y4 Al2 O9 和Y2 O3 -ZrO2 固溶體構成的陶瓷化合物所組成。
用於蝕刻機(用以進行介電質蝕刻製程)的噴頭通常是由結合到SiC面板的陽極化鋁製成的。當使這種噴頭曝露於包括氟的電漿化學品時,由於與陽極化鋁基座的電漿交互作用,AlF可以形成。此外,陽極化鋁基座的高腐蝕率可能會導致起弧並於最終縮短進行噴頭清洗之間的平均時間。
腔室觀察孔(也稱為終點窗口)是通常由石英或藍寶石製成的透明元件。各種光學感測器可以被觀察孔保護,並且可以通過觀察孔做出光學感測器讀值。此外,觀察孔可以使使用者在處理過程中目視檢查或觀看晶圓。石英和藍寶石都具有不良的耐電漿腐蝕性。當電漿化學品腐蝕並粗糙化觀察孔時,觀察孔的光學性質會改變。例如,觀察孔可能變得模糊不清及/或通過觀察孔的光訊號可能變得歪斜。這可能會損害光學感測器收集準確讀值的能力。然而,厚膜保護層可能不適合用於觀察孔上,因為這些塗層可能會堵塞觀察孔。
上述所提供的實例只是幾個性能可以藉由使用本文的實施例中闡述的薄膜保護層來改良的腔室元件。
返回參照第3A圖,物件300的主體302可以包括一個或更多個表面特徵。對於靜電夾盤來說,表面特徵可以包括臺面、密封帶、氣體通道、氦氣孔等等。對於噴頭來說,表面特徵可以包括結合線、用於氣體分配的數百或數千個孔、氣體分配孔周圍的凹陷或凸塊等等。其他的腔室元件可能具有其他的表面特徵。
形成在主體302上的陶瓷塗層304可適形於主體302的表面特徵。如圖所示,陶瓷塗層304保持主體302之上表面的相對形狀(例如浮印臺面的形狀)。此外,陶瓷塗層可以是足夠薄的,以免堵塞噴頭中的孔或靜電夾盤中的氦氣孔。在一個實施例中,陶瓷塗層304具有小於約20微米的厚度。在進一步的實施例中,陶瓷塗層304具有約10微米至約500微米的厚度。陶瓷塗層304可以被使用就第2圖描述的電漿噴塗裝置200沉積在主體302上。
參照第3B圖,物件350的基座或主體352之至少一部分被塗有兩個塗層:第一塗層354和沉積在第一塗層354上的第二塗層356。在一些實施例中,第一塗層354可以是使用標準沉積技術實施的塗層,該標準沉積技術例如粉末的乾燥電漿噴塗、熱沉積、濺射等。第一塗層354可以是陶瓷塗層,但可以具有高的表面粗糙度以及表面缺陷,例如裂縫和孔隙。因此,第二塗層356可以被沉積到第一塗層354上。第二塗層可以是使用例如就第2圖描述的電漿噴塗裝置200的SPS沉積陶瓷塗層。在一些實施例中,第一和第二塗層可以都是具有不同成分的SPS沉積陶瓷塗層。
第一和第二塗層354、356只是說明性的,而且任何適當數量的塗層都可以被沉積在主體352上,從而形成塗層疊層。在塗層疊層中的一個或更多個塗層可以是陶瓷塗層(例如SPS沉積的陶瓷塗層)。在塗層疊層中的塗層可以全部具有相同的厚度,或者可以具有不同的厚度。在塗層疊層中的每個塗層可以都具有小於約20微米的厚度,而且在一些實施例中可以都具有約10微米的厚度。在一個實例中,對於雙層疊層來說,如第3B圖所繪示,第一塗層354可以具有約10微米的厚度,並且第二塗層356可以具有約10微米的厚度。在另一個實例中,第一塗層356可以是厚度約10微米的YAG層,而第二塗層356可以是厚度約500微米的SPS沉積陶瓷塗層。
每次物件被加熱和冷卻時,在陶瓷塗層和陶瓷塗層塗佈的基材之間的熱膨脹係數不匹配會在陶瓷塗層上引起應力。這樣的應力可能集中在垂直裂縫。這可能導致陶瓷塗層最終從陶瓷塗層塗佈的基材剝離。相反地,假使沒有垂直裂縫,則應力被接近平均地分佈在薄膜各處。因此,在一個實施例中,第一塗層354為非晶形陶瓷,例如YAG或EAG,而第二塗層356為結晶或奈米結晶陶瓷,例如陶瓷化合物或Er2 O3 ,其中一個或更多個塗層為SPS沉積的塗層。在這樣的實施例中,與第一塗層354相比,第二塗層356可以提供較高的耐電漿性。藉由將第二塗層356形成在第一塗層354上方而不是直接形成在主體352上方,第一塗層354可充當緩衝,以盡量減少後續塗層上的晶格不匹配。因此,第二塗層356的壽命可以增長。
在另一個實例中,主體、Y3 Al5 O12 、Y4 Al2 O9 、Er2 O3 、Gd2 O3 、Er3 Al5 O12 、Gd3 Al5 O12 及由Y4 Al2 O9 和Y2 O3 -ZrO2 固溶體構成的陶瓷化合物中的每一個可以都具有不同的熱膨脹係數。兩個相鄰材料之間的熱膨脹係數不匹配愈大,則這些材料中之一者最終會破裂、剝離、或以其他方式喪失到另一種材料的結合的可能性愈高。第一和第二塗層354、356可以被以這樣一種方式形成,以最小化相鄰塗層之間(或第一塗層354和主體352之間)的熱膨脹係數不匹配。例如,主體352可以是氧化鋁,而EAG可以具有的熱膨脹係數最接近氧化鋁之熱膨脹係數、接著為YAG的熱膨脹係數、接著為附加化合物陶瓷塗層的熱膨脹係數。因此,在一個實施例中,第一塗層354可以是EAG,第二塗層356可以是YAG,而且附加塗層可以是化合物陶瓷。
在另一個實例中,在塗層疊層中的塗層可以是交替的兩個不同陶瓷層。例如,第一和第三塗層可以是YAG,並且第二和第四塗層可以是化合物陶瓷。這種交替塗層可以提供類似於上述在其中用於交替塗層的一種材料是非晶形的並且在交替塗層中使用的另一種材料是結晶或奈米結晶的情況下的那些優點。
在一些實施例中,在塗層疊層中的一個或更多個塗層是利用熱處理形成的過渡層。假使主體352是陶瓷主體,則可以進行高溫熱處理來促進陶瓷塗層(例如陶瓷塗層354)和主體352之間的相互擴散。此外,可以進行熱處理來促進相鄰塗層之間或厚塗層與薄塗層之間的相互擴散。過渡層可以是非多孔層、可以充當兩個陶瓷之間的擴散結合、而且可以在相鄰的陶瓷塗層之間提供改良的黏著。這可以有助於防止陶瓷塗層在電漿處理過程中破裂、剝落、或脫除。
熱處理可以是在高達約1200-2000 ℃、以0.5-5 ℃/分鐘的升溫速率進行長達1-12小時的持續時間的熱處理。冷卻可以被控制在0.5-5 ℃/分鐘的速率,或者可以是在環境條件下的自然冷卻。這可以在第一陶瓷塗層與一個或更多個相鄰陶瓷主體或第二陶瓷塗層之間產生相互擴散層。例如,假使陶瓷主體是Al2 O3 ,並且陶瓷塗層是由化合物陶瓷Y4 Al2 O9 和Y2 -xZrx O3 固溶體(Y2 O3 -ZrO2 固溶體)所組成的,則Y3 Al5 O12 界面層將被形成。同樣地,熱處理將使EAG過渡層在Er2 O3 和Al2 O3 之間形成。熱處理也將使YAG過渡層在Y2 O3 和Al2 O3 之間形成。熱處理也可以導致GAG在Gd2 O3 和Al2 O3 之間形成。在Al2 O3 上方氧化釔穩定的氧化鋯(YSZ)之熱處理可以形成Y4 Al2 O9 化合物陶瓷和Y2 -xZrx O3 固溶體之過渡層。其他過渡層可以被形成在其他相鄰的陶瓷塗層之間。
依據本文中描述的實施例,藉由使用陶瓷顆粒漿料進行SPS沉積,陶瓷塗層組成物的實例可以包括Y3 Al5 O12 、Y4 Al2 O9 、Er2 O3 、Gd2 O3 、La2 O3 、YAG、Er3 Al5 O12 、Gd3 Al5 O12 、由Y4 Al2 O9 和Y2 O3 -ZrO2 的固溶體(Y2 O3 -ZrO2 固溶體)構成的陶瓷化合物、或任何其他先前確認的陶瓷材料。其他基於Er及/或基於Gd的耐電漿稀土氧化物也可被用於形成陶瓷塗層(例如塗層218、304、354、及/或356)。
SPS沉積的陶瓷塗層也可以基於由任何上述陶瓷所形成的固溶體。關於由Y4 Al2 O9 和Y2 O3 -ZrO2 固溶體所構成的陶瓷化合物,在一個實施例中,陶瓷化合物包括62.93莫耳比例(莫耳%)的Y2 O3 、23.23莫耳%的ZrO2 及13.94莫耳%的Al2 O3 。在另一個實施例中,陶瓷化合物可以包括範圍在50-75莫耳%的Y2 O3 、範圍在10-30莫耳%的ZrO2 及範圍在10-30莫耳%的Al2 O3 。在另一個實施例中,陶瓷化合物可以包括範圍在40-100莫耳%的Y2 O3 、範圍在0-60莫耳%的ZrO2 、及範圍在0-10莫耳%的Al2 O3 。在另一個實施例中,陶瓷化合物可以包括範圍在40-60莫耳%的Y2 O3 、範圍在30-50莫耳%的ZrO2 、及範圍在10-20莫耳%的Al2 O3 。在另一個實施例中,陶瓷化合物可以包括範圍在40-50莫耳%的Y2 O3 、範圍在20-40莫耳%的ZrO2 、及範圍在20-40莫耳%的Al2 O3 。在另一個實施例中,陶瓷化合物可以包括範圍在70-90莫耳%的Y2 O3 、範圍在0-20莫耳%的ZrO2 、及範圍在10-20莫耳%的Al2 O3 。在另一個實施例中,陶瓷化合物可以包括範圍在60-80莫耳%的Y2 O3 、範圍在0-10莫耳%的ZrO2 、及範圍在20-40莫耳%的Al2 O3 。在另一個實施例中,陶瓷化合物可以包括範圍在40-60莫耳%的Y2 O3 、範圍在0-20莫耳%的ZrO2 、及範圍在30-40莫耳%的Al2 O3 。在另一個實施例中,陶瓷化合物可以包括範圍在30-60莫耳%的Y2 O3 、範圍在0-20莫耳%的ZrO2 、及範圍在30-60莫耳%的Al2 O3 。在另一個實施例中,陶瓷化合物可以包括範圍在20-40莫耳%的Y2 O3 、範圍在20-80莫耳%的ZrO2 、及範圍在0-60莫耳%的Al2 O3 。在其他實施例中,其他的分配也可被用於陶瓷化合物。
在一個實施例中,將包括Y2 O3 、ZrO2 、Er2 O3 、Gd2 O3 及SiO2 之組合的替代陶瓷化合物使用於陶瓷塗層。在一個實施例中,替代陶瓷化合物可以包括範圍在40-45莫耳%的Y2 O3 、範圍在0-10莫耳%的ZrO2 、範圍在35-40莫耳%的Er2 O3 、範圍在5-10莫耳%的Gd2 O3 及範圍在5-15莫耳%的SiO2 。在另一個實施例中,替代陶瓷化合物可以包括範圍在30-60莫耳%的Y2 O3 、範圍在0-20莫耳%的ZrO2 、範圍在20-50莫耳%的Er2 O3 、範圍在0-10莫耳%的Gd2 O3 及範圍在0-30莫耳%的SiO2 。在另一個實施例中,替代陶瓷化合物可以包括範圍在30-45莫耳%的Y2 O3 、範圍在5-15莫耳%的ZrO2 、範圍在25-60莫耳%的Er2 O3 、及範圍在0-25莫耳%的Gd2 O3 。在第一實例中,替代陶瓷化合物包括40莫耳%的Y2 O3 、5莫耳%的ZrO2 、35莫耳%的Er2 O3 、5莫耳%的Gd2 O3 及15莫耳%的SiO2 。在第二實例中,替代陶瓷化合物包括45莫耳%的Y2 O3 、5莫耳%的ZrO2 、35莫耳%的Er2 O3 、10莫耳%的Gd2 O3 及5莫耳%的SiO2 。在第三實例中,替代陶瓷化合物包括40莫耳%的Y2 O3 、5莫耳%的ZrO2 、40莫耳%的Er2 O3 、7莫耳%的Gd2 O3 及8莫耳%的SiO2 。在一個實施例中,陶瓷塗層包括範圍在70-75莫耳%的Y2 O3 及範圍在25-30莫耳%的ZrO2 。在進一步的實施例中,陶瓷塗層是名為YZ20的材料,該材料包括73.13莫耳%的Y2 O3 和26.87莫耳%的ZrO2 。在進一步的實施例中,陶瓷塗層是名為YEZ08的材料,該材料包括37莫耳%的Y2 O3 、8莫耳%的ZrO2 及55莫耳%的Er2 O3 。在進一步的實施例中,陶瓷塗層是名為YEZG10的材料,該材料包括40莫耳%的Y2 O3 、10莫耳%的ZrO2 、30莫耳%的Er2 O3 、及20莫耳%的Gd2 O3
任何前述的陶瓷塗層都可以包括微量的其他材料,例如ZrO2 、Al2 O3 、SiO2 、B2 O3 、Er2 O3 、Nd2 O3 、Nb2 O5 、CeO2 、Sm2 O3 、Yb2 O3 、或其他氧化物。在一個實施例中,相同的陶瓷材料不被使用於兩個相鄰的陶瓷塗層。然而,在另一個實施例中,相鄰的塗層可以由相同的陶瓷構成。
現在參照第4圖和第5圖,以展示在使用傳統的電漿噴塗沉積中分別以乾燥粉末和漿料的漿料電漿噴塗沉積製備陶瓷塗層的差異。
第4A圖和第4B圖為藉由電漿噴塗Y2 O3 乾燥粉末(「乾電漿噴塗」)所製備的電漿噴塗塗層之顯微照片,第4A圖和第4B圖顯示不同放大倍率的同一Y2 O3 塗層。第5A圖和第5B圖為依據一實施例藉由電漿噴塗Y2 O3 漿料所製備的漿料電漿噴塗塗層之顯微照片,第5A圖和第5B圖顯示不同放大倍率的同一Y2 O3 塗層。表1說明在表面粗糙度、多孔性、及耐腐蝕性(例如HCl冒泡時間)等方面,第5A圖和第5B圖的SPS沉積塗層優於第4A圖和第4B圖的乾電漿噴塗塗層之改良性質。 表1:乾燥電漿噴塗對漿料電漿噴塗的比較
性質 乾燥電漿噴塗的Y2 O3 漿料電漿噴塗的Y2 O3
粗糙度(µin) 高達270 低於100
多孔性(%) 3-5 低於0.8
HCl冒泡時間(小時) 長達4 至少8
第4A圖和第4B圖的乾電漿噴塗塗層是高度多孔的,並含有曝露底層基材的缺陷,而第5A圖和第5B圖的溶液噴塗塗層是較少孔的並具有最少的缺陷。在一些實施例中,SPS沉積的塗層(例如第5A圖和第5B圖的塗層)可以被沉積在具有乾電漿噴塗塗層(例如第4A圖和第4B圖的塗層)的基材上,以遮蔽缺陷並於最終提供較平滑的陶瓷塗層。
第6圖為圖示依據一實施例用於生產塗層的製程600之流程圖。在方塊602,提供基材。在一些實施例中,基材是晶圓(例如矽晶圓)。在一些實施例中,基材可以是如關於第1圖所述的適當腔室元件。例如,基材可以是任何的、但不限於蓋、噴嘴、靜電夾盤(例如ESC 150)、噴頭(例如噴頭130)、襯裡(例如外襯裡116或內襯裡118)或襯裡套組、或環(例如環146)。
在方塊604,將陶瓷顆粒漿料饋入電漿噴塗機中。該漿料可以被使用適當的流體管線(例如一個或更多個流體管線212)饋入電漿噴塗機(例如電漿噴塗裝置200)。該漿料可以含有具有組成物的陶瓷顆粒,該組成物包含Er2 O3 、Gd2 O3 、Gd3 Al5 O12 、YF3 、Nd2 O3 、Er4 Al2 O9 、Er3 Al5 O12 、ErAlO3 、Gd4 Al2 O9 、GdAlO3 、Nd3 Al5 O12 、Nd4 Al2 O9 、或NdAlO3 中之一者或更多者。該等顆粒的D50可以在約10奈米和10微米之間。該漿料可以含有溶劑,例如乙醇、甲醇、去離子水、乙腈、或上述溶劑之組合,而且該漿料的酸鹼度可以介於5和12之間。漿料中的顆粒濃度可以是約10 wt%至約50 wt%。
在方塊606中,電漿噴塗機產生被導向基材的陶瓷顆粒流,以在該基材上形成陶瓷塗層。當漿料進入由電漿噴塗機產生的電漿羽(例如電漿羽214)時,溶劑被蒸發並且顆粒流(例如顆粒流216)被推向基材(例如基材220)。撞擊在基材表面上的熔融陶瓷顆粒在基材上形成陶瓷塗層。產生的陶瓷塗層之組成物可以是Y3 Al5 O12 、Y4 Al2 O9 、Er2 O3 、Gd2 O3 、Gd3 Al5 O12 (GAG)、YF3 、Nd2 O3 、Er4 Al2 O9 、Er3 Al5 O12 (EAG)、ErAlO3 、Gd4 Al2 O9 、GdAlO3 、Nd3 Al5 O12 、Nd4 Al2 O9 、NdAlO3 、或由Y4 Al2 O9 和Y2 O3 -ZrO2 固溶體所組成的陶瓷化合物中之一者或更多者。
在一些實施例中,在進行SPS沉積之前遮罩可以已經被放在基材上方。例如,遮罩可以被放在距離基材短的距離(例如1-10 mm),該遮罩選擇性地阻擋陶瓷顆粒免於撞擊在基材的某些區域上。作為另一個實例,該遮罩可以是光阻劑層,該光阻劑層可以在稍後被剝除,以在基材上留下由陶瓷材料構成的特徵。這種遮蔽可以允許大尺度和小尺度的陶瓷特徵被沉積在基材上。例如,遮蔽基材可被用以在ESC表面上形成臺面。
在方塊608,基材被冷卻,同時陶瓷顆粒流形成陶瓷塗層。例如,冷卻流體管線(例如水的管線)可以通過基材下方或鄰近處,以在熱顆粒流撞擊到基材上時誘導基材和冷卻流體之間進行熱交換。在一些實施例中,冷卻基材可以促進陶瓷塗層形成。在其他實施例中,方塊608可以完全省略。
在方塊610,將陶瓷塗層加熱到約1200 ℃和約2000 ℃之間的溫度進行約1小時至約12小時。在一些實施例中,方塊610在電漿噴塗完成之後進行。基材可以在電漿噴塗機腔室中加熱(例如藉由使用位於基材鄰近處的熱元件加熱),或在單獨的加熱室中加熱。加熱陶瓷塗層可以有助於降低陶瓷塗層的孔隙率及表面粗糙度。在一些實施例中,方塊610可以完全省略。
第7圖為圖示依據一實施例用於生產多層塗層的製程700之流程圖。在方塊702,提供上面配置有第一陶瓷塗層的基材。第一陶瓷塗層可以是漿料電漿噴塗陶瓷塗層,或可以已被使用不同的沉積技術沉積。在一些實施例中,如關於第1圖所述,基材可以是適當的腔室元件。例如,基材可以是任何的、但不限於蓋、噴嘴、靜電夾盤(例如ESC 150)、噴頭(例如噴頭130)、襯裡(例如外襯裡116或內襯裡118)或襯裡套組、或環(例如環146)。
在方塊704,將陶瓷顆粒漿料饋入電漿噴塗機中。方塊704可以與關於第6圖所述的方塊604相同或相似。
在方塊706,電漿噴塗機產生被導向基材的陶瓷顆粒流,以在第一陶瓷塗層上形成第二陶瓷塗層。方塊706可以與關於第6圖所述的方塊606相同或相似,並且漿料可以是本文所述的任何適當漿料。在一些實施例中,第一陶瓷塗層的第一孔隙率大於0.5 %,並且第二陶瓷塗層的第二孔隙率小於或等於0.5 %。在一些實施例中,第一陶瓷塗層的第一表面粗糙度大於或等於100 µin,而且第二陶瓷塗層的第二表面粗糙度小於或等於100 µin。在一些實施例中,遮蔽(如關於第6圖中的方塊606所述)可被用以選擇性圖案化第一陶瓷塗層上的陶瓷特徵。
在一些實施例中,第一和第二陶瓷塗層具有相同的成分。在一些實施例中,第一和第二陶瓷塗層具有不同的成分。在一些實施例中,方塊704和706可以視需要進行許多次,以產生多層的塗層疊層。
在方塊708中,基材被冷卻,同時陶瓷顆粒流形成第二陶瓷塗層。方塊708可以以大致上與關於第6圖所述的方塊608相似的方式進行。在一些實施例中,方塊708可以完全省略。
在方塊710,陶瓷塗層被加熱到介於約1200 ℃和約2000 ℃之間的溫度進行約1小時至約12小時。方塊710可以以大致上與關於第6圖所述的方塊610相似的方式進行。在一些實施例中,方塊710可以完全省略。
前面的描述闡述很多的具體細節,例如特定系統、元件、方法等等的實例,以提供對本發明的若干實施例的良好理解。然而,對於所屬技術領域中具有通常知識者而言將顯而易見的是,可以在沒有這些具體細節的情況下實施本發明的至少一些實施例。在其他實例中,眾所周知的元件或方法沒有詳細描述或以簡單的方塊圖形式呈現,以避免不必要地模糊了本發明。因此,所闡述的具體細節僅僅是例示性的。特定的實施例可以與這些例示性細節不同,而且仍然被視為是在本揭示的範圍內。
貫穿本說明書,提及「一個實施例」或「一實施例」意指關聯該實施例所描述的特定特徵、結構、或特性被包括在至少一個實施例中。因此,貫穿本說明書,在不同的地方出現的片語「在一個實施例中」或「在一實施例中」不一定全都指同一實施例。此外,意圖使術語「或」之意為包含性的「或」而不是排他性的「或」。當術語「約」或「大約」被用在本文中時,意圖使術語「約」或「大約」之意為所呈現的標稱值在±10 %的精確內。
雖然以特定的順序圖示和描述本文中的方法之操作,但可以改變每個方法的操作順序,使得某些操作可以以相反的順序進行,或者使得某些操作可以被至少部分地與其他操作同時進行。在另一個實施例中,不同操作的指令或子操作可以以週期性的及/或交替的方式進行。
應當理解的是,意圖使以上的描述為說明性的而不是限制性的。在閱讀和理解以上的描述之後,許多其他的實施例對於所屬技術領域中具有通常知識者而言將是顯而易見的。因此,本發明的範圍應該參照所附申請專利範圍連同申請專利範圍所賦予的均等物之全部範圍來決定。
100:處理室 102:腔室主體 104:基座
106:內部體積
108:側壁
110:底部
116:外襯裡
118:內襯裡
126:排氣口
128:泵系統
130:噴頭
132:氣體輸送孔
133:GDP
136:陶瓷塗層
138:黏著劑
144:基材
146:環
148:基材支撐組件
150:靜電夾盤(ESC)
152:支座
158:氣體控制板
162:組裝板材
164:導熱基座
166:靜電定位盤
168:導管
170:導管
172:流體源
174:嵌入隔熱體 176:加熱元件 178:加熱器電源 180:夾持電極 182:夾盤電源 184:RF電源 186:RF電源 188:匹配電路 190:溫度感測器 192:溫度感測器 195:控制器 200:電漿噴塗裝置 202:殼體 204:陰極 206:噴嘴陽極 208:氣流 212:流體管線 214:電漿羽 216:顆粒流 218:陶瓷塗層 220:基材 300:物件 302:基座或主體 304:陶瓷塗層 350:物件 352:基座或主體 354:第一塗層 356:第二塗層 600:製程 602-610:方塊 700:製程 702-710:方塊
本文揭示的實施例是藉由在附圖的圖式中舉例的方式、而不是藉由限制的方式來說明,在附圖的圖式中類似的標號指示類似的元件。應當注意的是,在本揭示中不同地引用「一」或「一個」實施例不一定是引用相同的實施例,而且這樣的引用意指至少一個。
第1圖繪示依據一實施例的處理室之剖視圖;
第2圖繪示依據一實施例的電漿噴灑裝置之剖視圖;
第3A圖和第3B圖分別繪示依據一實施例具有一個和兩個塗層的例示性腔室元件之剖視圖;
第4A圖為電漿噴灑的塗層之顯微照片;
第4B圖為電漿噴灑的塗層之另一個顯微照片;
第5A圖為依據一實施例的漿料電漿噴灑的塗層之顯微照片;
第5B圖為依據一實施例的漿料電漿噴灑的塗層之另一個顯微照片;
第6圖為圖示依據一實施例用於生產塗層的製程之流程圖;以及
第7圖為圖示依據一實施例用於生產多層塗層的製程之流程圖。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
600:製程
602-610:方塊

Claims (17)

  1. 一種腔室元件,包含:一主體;一第一陶瓷塗層,形成在該主體上;以及一第二陶瓷塗層,形成在該第一陶瓷塗層的至少一部份上,該第一陶瓷塗層係配置以減少與該第二陶瓷塗層的晶格不匹配,其中該第二陶瓷塗層係使用一方法所製得,該方法包含:進料一漿料到一電漿噴灑器中,其中該漿料包括陶瓷顆粒;以及使用該電漿噴灑器產生一陶瓷顆粒流,該陶瓷顆粒流被導向該主體與該第一陶瓷塗層,其中該陶瓷顆粒流在與該第一陶瓷塗層接觸時在該第一陶瓷塗層上形成該第二陶瓷塗層,其中該第二陶瓷塗層包含Er2O3、Gd2O3、Gd3Al5O12、La2O3、Er4Al2O9、Er3Al5O12、ErAlO3、Gd4Al2O9、GdAlO3、Nd3Al5O12、Nd4Al2O9或NdAlO3,其中該第一陶瓷塗層係一非晶形塗層,且其中該第二陶瓷塗層係一耐電漿結晶塗層。
  2. 如請求項1所述之腔室元件,其中:該第一陶瓷塗層包含Er3Al5O12或Y3Al5O12;以 及該第二陶瓷塗層由Er2O3所組成。
  3. 如請求項1所述之腔室元件,其中該第二陶瓷塗層包含Gd2O3、Gd3Al5O12、La2O3、Gd4Al2O9或GdAlO3
  4. 如請求項1所述之腔室元件,其中該第二陶瓷塗層由下列至少一者所組成:Gd2O3、Gd3Al5O12、La2O3、Er4Al2O9、Er3Al5O12、ErAlO3、Gd4Al2O9、GdAlO3、Nd3Al5O12、Nd4Al2O9或NdAlO3
  5. 如請求項1所述之腔室元件,進一步包含:一塗層疊層,該塗層疊層包含複數個陶瓷塗層於該腔室元件上,該塗層疊層包含該第一陶瓷塗層與該第二陶瓷塗層,其中該塗層疊層包含兩個不同陶瓷化合物的交替的層,其中該兩個不同陶瓷化合物的一第一陶瓷化合物係選自:Gd2O3、Gd3Al5O12、La2O3、Er4Al2O9、Er3Al5O12、ErAlO3、Gd4Al2O9、GdAlO3、Nd3Al5O12、Nd4Al2O9以及NdAlO3
  6. 如請求項1所述之腔室元件,其中:該第二陶瓷塗層之一厚度小於或等於500微米;該第二陶瓷塗層之一表面粗糙度小於或等於100μ-inch;以及該第二陶瓷塗層之一孔隙率小於或等於0.8%。
  7. 如請求項6所述之腔室元件,其中:該第一陶瓷塗層之一孔隙率大於0.8%;以及該第一陶瓷塗層之一表面粗糙度大於100μ-inch。
  8. 如請求項1所述之腔室元件,進一步包含:一過渡層,形成於該第一陶瓷塗層與該第二陶瓷塗層之間。
  9. 如請求項1所述之腔室元件,其中該陶瓷顆粒的尺寸小於或等於15微米。
  10. 如請求項1所述之腔室元件,其中該腔室元件係選自由下列組成的一群組:一蓋、一噴嘴、一 靜電夾盤、一噴頭、一襯裡套組與一環。
  11. 一種腔室元件,包含:一基材;一第一電漿噴灑之陶瓷塗層,在該基材的至少一個表面上,該第一電漿噴灑之陶瓷塗層具有大於約0.8%的一第一孔隙率、約10至500微米的一第一厚度以及大於約100μ-inch的一第一表面粗糙度,其中該第一電漿噴灑之陶瓷塗層包含一非晶形陶瓷;以及一第二漿料電漿噴灑之耐電漿陶瓷塗層,在該第一電漿噴灑之陶瓷塗層上,該第二漿料電漿噴灑之耐電漿陶瓷塗層具有小於或等於0.8%的一第二孔隙率、約10至500微米的一第二厚度以及小於或等於100μ-inch的一第二表面粗糙度,其中該第二漿料電漿噴灑之耐電漿陶瓷塗層包含結晶或奈米結晶陶瓷,其中該第一電漿噴灑之陶瓷塗層係配置以減少與該第二漿料電漿噴灑之耐電漿陶瓷塗層的晶格不匹配,且其中該第二漿料電漿噴灑之耐電漿陶瓷塗層包含下列至少一者:Er2O3、Gd2O3、Gd3Al5O12、La2O3、Nd2O3、Er4Al2O9、Er3Al5O12、ErAlO3、Gd4Al2O9、GdAlO3、Nd3Al5O12、Nd4Al2O9或NdAlO3
  12. 如請求項11所述之腔室元件,其中:該第一電漿噴灑之陶瓷塗層包含Er3Al5O12或Y3Al5O12;以及該第二漿料電漿噴灑之耐電漿陶瓷塗層由Er2O3所組成。
  13. 如請求項11所述之腔室元件,其中該第二漿料電漿噴灑之耐電漿陶瓷塗層包含下列至少一者:Gd2O3、Gd3Al5O12、La2O3、Gd4Al2O9或GdAlO3
  14. 如請求項11所述之腔室元件,其中該第二漿料電漿噴灑之耐電漿陶瓷塗層由下列至少一者所組成:Gd2O3、Gd3Al5O12、La2O3、Er4Al2O9、Er3Al5O12、ErAlO3、Gd4Al2O9、GdAlO3、Nd3Al5O12、Nd4Al2O9或NdAlO3
  15. 如請求項11所述之腔室元件,進一步包含:一塗層疊層,該塗層疊層包含複數個陶瓷塗層於該腔室元件上,該塗層疊層包含該第一電漿噴灑之陶瓷塗層與該第二漿料電漿噴灑之耐電漿陶瓷塗層,其 中該塗層疊層包含兩個不同陶瓷化合物的交替的層,其中該兩個不同陶瓷化合物的一第一陶瓷化合物係選自:Gd2O3、Gd3Al5O12、La2O3、Er4Al2O9、Er3Al5O12、ErAlO3、Gd4Al2O9、GdAlO3、Nd3Al5O12、Nd4Al2O9以及NdAlO3
  16. 如請求項11所述之腔室元件,進一步包含:一過渡層,形成於該第一電漿噴灑之陶瓷塗層與該第二漿料電漿噴灑之耐電漿陶瓷塗層之間。
  17. 如請求項11所述之腔室元件,其中該腔室元件係選自由下列組成的一群組:一蓋、一噴嘴、一靜電夾盤、一噴頭、一襯裡套組與一環。
TW109108531A 2014-05-07 2015-05-06 耐電漿陶瓷塗層的漿料電漿噴塗與包含耐電漿陶瓷塗層的腔室元件 TWI754243B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201461989903P 2014-05-07 2014-05-07
US61/989,903 2014-05-07

Publications (2)

Publication Number Publication Date
TW202026269A TW202026269A (zh) 2020-07-16
TWI754243B true TWI754243B (zh) 2022-02-01

Family

ID=54367214

Family Applications (3)

Application Number Title Priority Date Filing Date
TW109108531A TWI754243B (zh) 2014-05-07 2015-05-06 耐電漿陶瓷塗層的漿料電漿噴塗與包含耐電漿陶瓷塗層的腔室元件
TW108118006A TWI715049B (zh) 2014-05-07 2015-05-06 耐電漿陶瓷塗層的漿料電漿噴塗
TW104114479A TWI704119B (zh) 2014-05-07 2015-05-06 耐電漿陶瓷塗層的漿料電漿噴塗

Family Applications After (2)

Application Number Title Priority Date Filing Date
TW108118006A TWI715049B (zh) 2014-05-07 2015-05-06 耐電漿陶瓷塗層的漿料電漿噴塗
TW104114479A TWI704119B (zh) 2014-05-07 2015-05-06 耐電漿陶瓷塗層的漿料電漿噴塗

Country Status (6)

Country Link
US (2) US10730798B2 (zh)
JP (1) JP6820103B2 (zh)
KR (1) KR102395205B1 (zh)
CN (1) CN105474363B (zh)
TW (3) TWI754243B (zh)
WO (1) WO2015171801A1 (zh)

Families Citing this family (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
KR101727848B1 (ko) * 2013-03-13 2017-04-17 가부시키가이샤 후지미인코퍼레이티드 용사용 슬러리, 용사 피막 및 용사 피막의 형성 방법
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US10468235B2 (en) 2013-09-18 2019-11-05 Applied Materials, Inc. Plasma spray coating enhancement using plasma flame heat treatment
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
EP3190205A4 (en) 2014-09-03 2017-10-11 Fujimi Incorporated Slurry for thermal spraying, thermal sprayed film and thermal sprayed film formation method
US20160341832A1 (en) * 2015-05-21 2016-11-24 DM Lighting Technologies Inc. Microchip Composite Structure of Ce:Yag and Production Method
US9957192B2 (en) * 2016-03-29 2018-05-01 Applied Materials, Inc. Low temperature fluoride glasses and glazes
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US11572617B2 (en) 2016-05-03 2023-02-07 Applied Materials, Inc. Protective metal oxy-fluoride coatings
US9850573B1 (en) * 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
CN106077663A (zh) * 2016-07-29 2016-11-09 安庆市德奥特汽车零部件制造有限公司 一种纳米钇铝石榴石纤维复合涂层内燃机用活塞环的制备方法
US10265725B2 (en) * 2016-12-02 2019-04-23 General Electric Company Coating system and method
US11067002B2 (en) 2016-12-06 2021-07-20 General Electric Company Gas turbine engine maintenance tool
US20180166311A1 (en) * 2016-12-12 2018-06-14 Applied Materials, Inc. New repair method for electrostatic chuck
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US20180240649A1 (en) * 2017-02-17 2018-08-23 Lam Research Corporation Surface coating for plasma processing chamber components
US10755900B2 (en) 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components
JP7284553B2 (ja) * 2017-09-21 2023-05-31 日本特殊陶業株式会社 溶射膜を備えた基材及びその製造方法
US11279656B2 (en) 2017-10-27 2022-03-22 Applied Materials, Inc. Nanopowders, nanoceramic materials and methods of making and use thereof
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
US11014853B2 (en) * 2018-03-07 2021-05-25 Applied Materials, Inc. Y2O3—ZrO2 erosion resistant material for chamber components in plasma environments
US10443126B1 (en) 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
WO2020009990A1 (en) * 2018-07-03 2020-01-09 Lam Research Corporation Method for conditioning a ceramic coating
US11667575B2 (en) * 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
US20200024735A1 (en) * 2018-07-18 2020-01-23 Applied Materials, Inc. Erosion resistant metal fluoride coatings deposited by atomic layer deposition
US11180847B2 (en) 2018-12-06 2021-11-23 Applied Materials, Inc. Atomic layer deposition coatings for high temperature ceramic components
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
JP7331762B2 (ja) 2019-04-12 2023-08-23 信越化学工業株式会社 溶射材料、その製造方法、及び溶射皮膜の形成方法
KR20220048033A (ko) * 2019-08-23 2022-04-19 램 리써치 코포레이션 저온 플라즈마 제트들을 사용하는 네트 유사 형상 (near netshape) 애디티브 제작 (additive manufacturing)
KR102371936B1 (ko) * 2019-12-04 2022-03-08 한국세라믹기술원 내플라즈마 침식성 및 기계적 특성이 우수한 반도체 부품의 코팅방법
CN112063956A (zh) * 2020-08-10 2020-12-11 暨南大学 一种悬浮液等离子喷涂高纯y2o3耐侵蚀涂层及其制备方法与应用
KR102356172B1 (ko) * 2021-08-24 2022-02-08 (주)코미코 내플라즈마성 코팅막의 제조방법
CN113862598A (zh) * 2021-09-01 2021-12-31 暨南大学 一种用于TBCs或EBCs的抗CMAS防护层及其制备方法以及由此得到的防护结构

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200412827A (en) * 2002-06-27 2004-07-16 Lam Res Corp Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
CN102041471A (zh) * 2009-10-14 2011-05-04 通用电气公司 陶瓷涂层及其制备方法
TW201350209A (zh) * 2012-04-27 2013-12-16 Applied Materials Inc 用於關鍵腔室組件的電漿噴灑塗佈製程改良

Family Cites Families (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4695439A (en) 1986-09-25 1987-09-22 Gte Products Corporation Yttrium oxide stabilized zirconium oxide
US4773928A (en) 1987-08-03 1988-09-27 Gte Products Corporation Plasma spray powders and process for producing same
US6447848B1 (en) 1995-11-13 2002-09-10 The United States Of America As Represented By The Secretary Of The Navy Nanosize particle coatings made by thermally spraying solution precursor feedstocks
US6949203B2 (en) 1999-12-28 2005-09-27 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
JP3672833B2 (ja) * 2000-06-29 2005-07-20 信越化学工業株式会社 溶射粉及び溶射被膜
JP2003073794A (ja) * 2001-06-18 2003-03-12 Shin Etsu Chem Co Ltd 耐熱性被覆部材
TWI234417B (en) 2001-07-10 2005-06-11 Tokyo Electron Ltd Plasma procesor and plasma processing method
US20030047464A1 (en) 2001-07-27 2003-03-13 Applied Materials, Inc. Electrochemically roughened aluminum semiconductor processing apparatus surfaces
JP4663927B2 (ja) * 2001-08-29 2011-04-06 信越化学工業株式会社 希土類含有酸化物部材
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US8067067B2 (en) 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US7112758B2 (en) 2003-01-10 2006-09-26 The University Of Connecticut Apparatus and method for solution plasma spraying
WO2005017226A1 (en) 2003-01-10 2005-02-24 University Of Connecticut Coatings, materials, articles, and methods of making thereof
US7220497B2 (en) 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US20060222777A1 (en) 2005-04-05 2006-10-05 General Electric Company Method for applying a plasma sprayed coating using liquid injection
US7672110B2 (en) 2005-08-29 2010-03-02 Applied Materials, Inc. Electrostatic chuck having textured contact surface
US7968205B2 (en) 2005-10-21 2011-06-28 Shin-Etsu Chemical Co., Ltd. Corrosion resistant multilayer member
WO2007142690A2 (en) 2005-11-04 2007-12-13 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
KR101344990B1 (ko) 2006-04-20 2013-12-24 신에쓰 가가꾸 고교 가부시끼가이샤 도전성 내플라즈마 부재
FR2900351B1 (fr) * 2006-04-26 2008-06-13 Commissariat Energie Atomique Procede de preparation d'une couche nanoporeuse de nanoparticules et couche ainsi obtenue
US20080029032A1 (en) 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
US7479464B2 (en) 2006-10-23 2009-01-20 Applied Materials, Inc. Low temperature aerosol deposition of a plasma resistive layer
KR101304413B1 (ko) 2006-10-25 2013-09-10 삼성디스플레이 주식회사 표시 장치 및 그의 제조 방법
US8097105B2 (en) 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
US20100107982A1 (en) * 2007-03-22 2010-05-06 Kabushiki Kaisha Toshiba Vacuum deposition apparatus part and vacuum deposition apparatus using the part
JP2008251765A (ja) * 2007-03-30 2008-10-16 Hitachi High-Technologies Corp プラズマエッチング装置
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
JP5154141B2 (ja) * 2007-05-21 2013-02-27 信越化学工業株式会社 希土類酸化物含有溶射基板及び積層板
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
JP5047741B2 (ja) * 2007-09-13 2012-10-10 コバレントマテリアル株式会社 耐プラズマ性セラミックス溶射膜
JP2009068067A (ja) * 2007-09-13 2009-04-02 Covalent Materials Corp 耐プラズマ性セラミックス溶射膜
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
JP2009161800A (ja) * 2007-12-28 2009-07-23 Riverstone Kogyo Kk 酸化ガドニウム溶射皮膜とその製造法
US20090214825A1 (en) * 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
JP5466831B2 (ja) 2008-04-28 2014-04-09 株式会社フェローテックセラミックス イットリア焼結体およびプラズマプロセス装置用部材
US8206829B2 (en) 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US9017765B2 (en) 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
JP5407324B2 (ja) 2008-12-24 2014-02-05 堺化学工業株式会社 酸化ジルコニウム分散液の製造方法
JP5669353B2 (ja) 2008-12-25 2015-02-12 株式会社フジミインコーポレーテッド 溶射用スラリー、溶射皮膜の形成方法、及び溶射皮膜
JP2010242204A (ja) * 2009-04-10 2010-10-28 Riverstone Kogyo Kk 衝撃焼結被覆法及び装置
KR20120036817A (ko) * 2009-05-01 2012-04-18 더 리젠츠 오브 더 유니버시티 오브 미시건 인-시투 플라즈마/레이저 하이브리드 장치 및 방법
EP2292357B1 (en) 2009-08-10 2016-04-06 BEGO Bremer Goldschlägerei Wilh.-Herbst GmbH & Co KG Ceramic article and methods for producing such article
WO2011066314A1 (en) 2009-11-25 2011-06-03 Green, Tweed Of Delaware, Inc. Methods of coating substrate with plasma resistant coatings and related coated substrates
US20110198034A1 (en) 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
FR2957358B1 (fr) 2010-03-12 2012-04-13 Snecma Methode de fabrication d'une protection de barriere thermique et revetement multicouche apte a former une barriere thermique
WO2011150311A1 (en) 2010-05-28 2011-12-01 Praxair Technology, Inc. Substrate supports for semiconductor applications
US20120196139A1 (en) 2010-07-14 2012-08-02 Christopher Petorak Thermal spray composite coatings for semiconductor applications
CA2806172C (en) 2010-07-23 2015-04-28 Rolls-Royce Corporation Thermal barrier coatings including cmas-resistant thermal barrier coating layers
US10720350B2 (en) 2010-09-28 2020-07-21 Kla-Tencore Corporation Etch-resistant coating on sensor wafers for in-situ measurement
US8916021B2 (en) 2010-10-27 2014-12-23 Applied Materials, Inc. Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof
JP2012128135A (ja) 2010-12-15 2012-07-05 Seiko Epson Corp 光学物品およびその製造方法
US20120258266A1 (en) * 2011-04-06 2012-10-11 Basf Corporation Coatings For Engine And Powertrain Components To Prevent Buildup Of Deposits
US20130048606A1 (en) 2011-08-31 2013-02-28 Zhigang Mao Methods for in-situ chamber dry clean in photomask plasma etching processing chamber
US20130071685A1 (en) 2011-09-21 2013-03-21 Iwaki Film Processing Co., Ltd. Product and method for manufacturing the product
CN104126028B (zh) 2011-12-19 2017-02-22 普莱克斯 S.T.技术有限公司 生产热障和环境障涂层的含水浆料及制备和施用其的方法
JP2013136814A (ja) * 2011-12-28 2013-07-11 Fujimi Inc セラミック溶射皮膜及びその製造方法
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US20150064406A1 (en) 2012-03-22 2015-03-05 Tocalo Co., Ltd. Method for forming fluoride spray coating, and fluoride spray coating covered member
US20130273313A1 (en) 2012-04-13 2013-10-17 Applied Materials, Inc. Ceramic coated ring and process for applying ceramic coating
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
JP2015521232A (ja) 2012-04-23 2015-07-27 ジ・ユニバーシティー・オブ・コネチカット 断熱層被膜を形成する方法、それにより形成される断熱層被膜、及びそれを備える物
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US9988702B2 (en) 2012-05-22 2018-06-05 Kabushiki Kaisha Toshiba Component for plasma processing apparatus and method for manufacturing component for plasma processing apparatus
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US20140037969A1 (en) 2012-08-03 2014-02-06 General Electric Company Hybrid Air Plasma Spray and Slurry Method of Environmental Barrier Deposition
JP6246567B2 (ja) 2012-11-22 2017-12-13 群馬県 複層皮膜付き基材およびその製造方法
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
US20140178641A1 (en) * 2012-12-21 2014-06-26 General Electric Company Methods of coating a surface and articles with coated surface
KR102164024B1 (ko) 2013-03-13 2020-10-12 가부시키가이샤 후지미인코퍼레이티드 용사용 분말, 용사 피막 및 용사 피막의 형성 방법
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
JP5576540B2 (ja) * 2013-08-09 2014-08-20 リバストン工業株式会社 微粉末セラミックス衝撃焼結被覆法
US10468235B2 (en) 2013-09-18 2019-11-05 Applied Materials, Inc. Plasma spray coating enhancement using plasma flame heat treatment
US20150126036A1 (en) 2013-11-05 2015-05-07 Tokyo Electron Limited Controlling etch rate drift and particles during plasma processing
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
JP5894198B2 (ja) 2014-01-06 2016-03-23 株式会社フジミインコーポレーテッド 溶射用スラリー及び溶射皮膜の形成方法
US9230780B2 (en) 2014-03-06 2016-01-05 Applied Materials, Inc. Hall effect enhanced capacitively coupled plasma source
US20170022595A1 (en) 2014-03-31 2017-01-26 Kabushiki Kaisha Toshiba Plasma-Resistant Component, Method For Manufacturing The Plasma-Resistant Component, And Film Deposition Apparatus Used For Manufacturing The Plasma-Resistant Component
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US20150311043A1 (en) 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US10385459B2 (en) 2014-05-16 2019-08-20 Applied Materials, Inc. Advanced layered bulk ceramics via field assisted sintering technology
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
JP5927656B2 (ja) 2014-11-08 2016-06-01 リバストン工業株式会社 皮膜付き基材、その製造方法、その皮膜付き基材を含む半導体製造装置部材
JP5987097B2 (ja) 2015-09-07 2016-09-06 株式会社フジミインコーポレーテッド 溶射皮膜
JP2017061735A (ja) 2015-09-25 2017-03-30 株式会社フジミインコーポレーテッド 溶射用スラリー
KR101916872B1 (ko) 2015-10-15 2018-11-08 아이원스 주식회사 반도체 공정 장비 부품의 코팅층 재생 방법 및 이에 따른 반도체 공정 장비 부품
JP6681168B2 (ja) 2015-10-20 2020-04-15 株式会社フジミインコーポレーテッド 溶射用スラリー、溶射皮膜および溶射皮膜の形成方法
US20170291856A1 (en) 2016-04-06 2017-10-12 Applied Materials, Inc. Solution precursor plasma spray of ceramic coating for semiconductor chamber applications

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200412827A (en) * 2002-06-27 2004-07-16 Lam Res Corp Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
CN102041471A (zh) * 2009-10-14 2011-05-04 通用电气公司 陶瓷涂层及其制备方法
TW201350209A (zh) * 2012-04-27 2013-12-16 Applied Materials Inc 用於關鍵腔室組件的電漿噴灑塗佈製程改良

Also Published As

Publication number Publication date
KR20170003519A (ko) 2017-01-09
TWI704119B (zh) 2020-09-11
US10730798B2 (en) 2020-08-04
TWI715049B (zh) 2021-01-01
KR102395205B1 (ko) 2022-05-04
JP6820103B2 (ja) 2021-01-27
CN105474363B (zh) 2021-05-07
TW201544484A (zh) 2015-12-01
TW202026269A (zh) 2020-07-16
JP2017515001A (ja) 2017-06-08
TW201940455A (zh) 2019-10-16
US20200325073A1 (en) 2020-10-15
WO2015171801A1 (en) 2015-11-12
US20150321964A1 (en) 2015-11-12
CN105474363A (zh) 2016-04-06

Similar Documents

Publication Publication Date Title
TWI754243B (zh) 耐電漿陶瓷塗層的漿料電漿噴塗與包含耐電漿陶瓷塗層的腔室元件
US20230167540A1 (en) Ion beam sputtering with ion assisted deposition for coatings on chamber components
TWI748928B (zh) 稀土氧化物系抗電漿腐蝕薄膜塗層
TWI706047B (zh) 具有離子輔助沉積的稀土氧化物頂部塗層之腔室部件及其製造方法
TWI656572B (zh) 用於腔室蓋與噴嘴上之稀土氧化物系塗層的離子輔助沉積
TWI706493B (zh) 用於製程環上之基於稀土金屬氧化物的薄膜塗層之離子輔助沉積
US20170291856A1 (en) Solution precursor plasma spray of ceramic coating for semiconductor chamber applications
US20230051800A1 (en) Methods and apparatus for plasma spraying silicon carbide coatings for semiconductor chamber applications