KR101645043B1 - 플라즈마 프로세싱 챔버, 플라즈마 프로세싱 콤포넌트 및 플라즈마 식각 챔버 프로세싱 콤포넌트 제조 방법 - Google Patents

플라즈마 프로세싱 챔버, 플라즈마 프로세싱 콤포넌트 및 플라즈마 식각 챔버 프로세싱 콤포넌트 제조 방법 Download PDF

Info

Publication number
KR101645043B1
KR101645043B1 KR1020107011697A KR20107011697A KR101645043B1 KR 101645043 B1 KR101645043 B1 KR 101645043B1 KR 1020107011697 A KR1020107011697 A KR 1020107011697A KR 20107011697 A KR20107011697 A KR 20107011697A KR 101645043 B1 KR101645043 B1 KR 101645043B1
Authority
KR
South Korea
Prior art keywords
plasma
silicon nitride
component
chamber
substrate
Prior art date
Application number
KR1020107011697A
Other languages
English (en)
Other versions
KR20100099137A (ko
Inventor
트래비스 알 테일러
무쿤드 스리니바산
바비 캐드코데얀
케이 와이 라마누잼
빌자나 미키젤
상화 우
Original Assignee
램 리써치 코포레이션
세라딘인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션, 세라딘인코포레이티드 filed Critical 램 리써치 코포레이션
Publication of KR20100099137A publication Critical patent/KR20100099137A/ko
Application granted granted Critical
Publication of KR101645043B1 publication Critical patent/KR101645043B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/515Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics
    • C04B35/58Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics based on borides, nitrides, i.e. nitrides, oxynitrides, carbonitrides or oxycarbonitrides or silicides
    • C04B35/584Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics based on borides, nitrides, i.e. nitrides, oxynitrides, carbonitrides or oxycarbonitrides or silicides based on silicon nitride
    • C04B35/593Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics based on borides, nitrides, i.e. nitrides, oxynitrides, carbonitrides or oxycarbonitrides or silicides based on silicon nitride obtained by pressure sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/32Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3205Alkaline earth oxides or oxide forming salts thereof, e.g. beryllium oxide
    • C04B2235/3206Magnesium oxides or oxide-forming salts thereof
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/30Constituents and secondary phases not being of a fibrous nature
    • C04B2235/34Non-metal oxides, non-metal mixed oxides, or salts thereof that form the non-metal oxides upon heating, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
    • C04B2235/3418Silicon oxide, silicic acids, or oxide forming salts thereof, e.g. silica sol, fused silica, silica fume, cristobalite, quartz or flint
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/70Aspects relating to sintered or melt-casted ceramic products
    • C04B2235/72Products characterised by the absence or the low content of specific components, e.g. alkali metal free alumina ceramics
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/70Aspects relating to sintered or melt-casted ceramic products
    • C04B2235/74Physical characteristics
    • C04B2235/77Density
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Abstract

플라즈마 식각 챔버 세정 사이의 평균 시간 및 챔버 부품 수명을 증가시키는 방법이 제공된다. 이온 충격 및/또는 이온화된 할로겐 가스에 노출된 적어도 하나의 소결된 실리콘 질화물 콤포넌트를 사용하면서, 챔버 내에서 반도체 기판을 플라즈마 식각한다. 소결된 실리콘 질화물 콤포넌트는 고순도 실리콘 질화물 및 실리콘 이산화물로 이루어지는 소결 보조제를 포함한다. 플라즈마 프로세싱 챔버는 소결된 실리콘 질화물 콤포넌트를 포함하여 제공된다. 플라즈마 프로세싱동안 실리콘 기판의 표면 상의 금속 오염을 감소시키는 방법에는, 하나 이상의 소결된 실리콘 질화물 콤포넌트를 포함하는 플라즈마 프로세싱 장치가 제공된다. 플라즈마 식각 챔버 내의 이온 충격 및/또는 플라즈마 침식에 노출된 콤포넌트를 제조하는 방법은, 고순도 실리콘 질화물 및 실리콘 이산화물로 이루어지는 분말 조성물을 형상화하고 형상화된 콤포넌트를 치밀화하는 것을 포함한다.

Description

플라즈마 프로세싱 챔버, 플라즈마 프로세싱 콤포넌트 및 플라즈마 식각 챔버 프로세싱 콤포넌트 제조 방법{A PLASMA PROCESSING CHAMBER, A PLASMA PROCESSING COMPONENT AND A METHOD OF MANUFACTURING A PLASMA ETCH CHAMBER PROCESSING COMPONENT}
플라즈마 프로세싱 장치는 식각, 물리 기상 증착 (PVD), 화학 기상 증착 (CVD), 이온 도금 및 레지스트 제거를 포함하는 기술에 의해 기판을 프로세싱하기 위해 사용된다. 플라즈마 프로세싱에 사용되는 플라즈마 프로세싱 장치의 일 종류는 상부 및 하부 전극을 포함하는 반응 챔버를 포함한다. 전극 사이의 RF 발생 플라즈마는, 반응 챔버 내에서 웨이퍼 기판 및 챔버 부품을 식각하는 에너지 이온 및 뉴트럴 종을 생성한다.
플라즈마 식각 챔버의 세정 사이의 평균 시간 (mean time) 과 챔버 부품 수명을 증가시키기 위한 방법이 제공된다. 이온 충격 (bombardment) 및/또는 이온화된 할로겐 가스에 노출된 적어도 하나의 소결된 실리콘 질화물 콤포넌트를 사용하면서, 반도체 기판을 챔버 내에서 한번에 한번씩 플라즈마 식각한다. 실리콘 질화물 콤포넌트는 약 80 중량% ~ 약 95 중량% 의 고순도 실리콘 질화물 및 약 5 중량% ~ 약 20 중량% 의 소결 보조제로 이루어진다. 소결 보조제는 고순도 실리콘 이산화물로 이루어진다.
플라즈마 프로세싱 챔버가 제공된다. 기판 홀더는 프로세싱 챔버의 내부에서 기판을 지지한다. 소결된 실리콘 질화물 콤포넌트는 기판에 인접하는 노출면을 가진다. 콤포넌트는 약 80 중량% ~ 약 95 중량% 의 고순도 실리콘 질화물 및 약 5 중량% ~ 약 20 중량% 의 소결 보조제로 이루어진다. 소결 보조제는 고순도 실리콘 이산화물로 이루어진다. 가스 공급부는 프로세싱 챔버의 내부에 프로세스 가스를 공급한다. 에너지원은 에너지를 프로세싱 챔버의 내부로 공급하고, 기판을 프로세싱하기 위한 플라즈마 상태로 프로세스 가스를 에너자이징한다. 콤포넌트는 기판 표면 상의 금속 오염을 플라즈마에 의한 프로세싱 동안 100 × 1010 atoms/㎠ 미만으로 최소화한다.
플라즈마 프로세싱 동안 실리콘 기판의 표면 상의 금속 오염을 감소시키는 방법이 제공된다. 실리콘 기판이 플라즈마 프로세싱 장치의 반응 챔버 내의 기판 지지체 상에 배치된다. 플라즈마 프로세싱 장치는 하나 이상의 소결된 실리콘 질화물 콤포넌트를 포함한다. 실리콘 질화물 콤포넌트는 약 80 중량% ~ 약 95 중량% 의 고순도 실리콘 질화물 및 약 5 중량% ~ 약 20 중량% 의 소결 보조제로 이루어진다. 소결 보조제는 고순도 실리콘 이산화물로 이루어진다. 프로세스 가스는 반응 챔버 내로 도입된다. 플라즈마는 프로세스 가스로부터 발생된다. 실리콘 기판은 플라즈마를 이용하여 프로세싱된다.
플라즈마 식각 챔버에서 이온 충격 및/또는 플라즈마 침식에 노출되는 플라즈마 식각 챔버 프로세싱 콤포넌트를 제조하는 방법이 제공된다. 약 80 중량% ~ 약 95 중량% 의 고순도 실리콘 질화물 및 약 5 중량% ~ 약 20 중량% 의 실리콘 이산화물로 이루어지는 분말 조성물이 혼합된다. 형상화된 콤포넌트는 분말 조성물로부터 형성된다. 형상화된 콤포넌트는 열 및 압력의 동시 적용에 의해 치밀화된다.
플라즈마 프로세싱 콤포넌트가 제공된다. 콤포넌트는 소결된 실리콘 질화물 콤포넌트를 포함하며, 콤포넌트는 약 80 중량% ~ 약 95 중량% 의 고순도 실리콘 질화물 및 약 5 중량% ~ 약 20 중량% 의 소결 보조제로 이루어진다. 소결 보조제는 고순도 실리콘 이산화물로 이루어진다.
도 1은 플라즈마 프로세싱 장치의 샤워헤드 전극 어셈블리 및 기판 지지체의 실시형태의 일부를 도시한다.
도 2a 및 도 2b는 핫 에지 링 (hot edge ring) 주위의 플라즈마 프로세싱 장치용 기판 지지체의 일부를 도시한다.
도 3 은 실리콘 질화물 콤포넌트 및 마그네슘 산화물 소결 보조제를 함유하는 프로세싱 챔버에서의 플라즈마 프로세싱 이후 실리콘 웨이퍼의 표면 상의 금속 오염을 도시한다.
도 4 는 실리콘 질화물 콤포넌트 및 실리콘 이산화물 소결 보조제를 함유하는 프로세싱 챔버에서의 플라즈마 프로세싱 이후 실리콘 웨이퍼의 표면 상의 금속 오염을 도시한다.
도 5a 및 도 5b는 소결 보조제로서 다양한 양의 실리콘 이산화물을 포함하는 실리콘 질화물 콤포넌트 및 석영의 마모율을 도시한다.
집적 회로 디바이스는 그 물리적 크기 및 그 동작 전압 모두가 계속해서 줄기 때문에, 그 관련 제조 수율이 입자 및 금속 불순물 오염에 보다 민감해진다. 결과적으로, 물리적 크기가 보다 작은 집적 회로 디바이스의 제조는, 미립자 및 금속 오염의 레벨이 이전에 허용되는 것으로 간주되었던 것보다 더 낮을 것을 요구한다.
집적 회로 디바이스의 제조는, 포토레지스트 마스크에 의해 정의되는, 선택된 층들을 식각할 수 있는 플라즈마 식각 챔버의 사용을 포함한다. 프로세싱 챔버는 프로세싱 가스 (즉, 식각 화학물질) 을 수용하도록 구성되며, 무선 주파수 (RF) 전력이 프로세싱 챔버의 하나 이상의 전극에 인가된다. 프로세싱 챔버 내측의 압력도 또한 특정 프로세스를 위해 제어된다. 원하는 RF 전력을 전극(들)에 인가할 때, 챔버 내의 프로세스 가스가 활성화되어 플라즈마가 생성된다. 이로써 플라즈마가 생성되어, 반도체 웨이퍼의 선택된 층들의 원하는 식각을 수행한다.
플라즈마 식각 조건은 플라즈마에 노출되는 프로세싱 챔버의 표면의 상당한 이온 충격을 생성한다. 이러한 이온 충격은, 플라즈마 화학물질 및/또는 식각 부산물과 조합되어, 프로세싱 챔버의 플라즈마 노출면의 상당한 침식, 부식 및 부식-침식을 생성할 수 있다. 그 결과, 표면 재료가 침식, 부식 및/또는 부식-침식을 포함하여, 물리적 및/또는 화학적 어택에 의해 제거된다. 이러한 어택은 짧은 부품 수명, 증가된 부품 비용, 미립자 오염, 온-웨이퍼 전이 금속 오염 및 프로세스 드리프트 (drift) 를 포함한 문제들을 야기한다. 상대적으로 수명이 짧은 부품을 보통 소모성으로 칭한다. 소모성 부품의 수명이 짧은 경우에는, 오너쉽의 비용이 높다. 소모성 부품 및 다른 부품의 침식은 플라즈마 프로세싱 챔버에서 미립자 오염을 발생시킨다.
또한, 집적 회로의 제조 동안 반도체 웨이퍼의 표면 상의 미립자 오염의 제어는, 신뢰할만한 디바이스를 달성하고 고수율을 획득하는데 필수적이다. 플라즈마 프로세싱 장치와 같은 프로세싱 장비는 미립자 오염원일 수도 있다. 식각 동작 동안의 웨이퍼 표면 상의 원하는 금속 오염 레벨은, 신세대 반도체 기술을 위해 공격적으로 감소되어야 한다. 금속에 대한 5 × 1010 atoms/㎠ 의 온-웨이퍼 금속 오염 사양 (specification) 이 플라즈마 식각 반응기에서의 최신식 반도체 디바이스 제조를 위해 현재 요구되고 있다. 금속 오염의 예는 알루미늄, 바륨, 칼슘, 세륨, 크로뮴, 구리, 갈륨, 인듐, 철, 리튬, 마그네슘, 니켈, 칼륨, 나트륨, 스트론튬, 주석, 티타늄, 바나듐, 이트륨, 아연 또는 지르코늄을 포함한다.
도 1 은, 반도체 기판, 예를 들어, 실리콘 웨이퍼가 프로세싱되는, 플라즈마 프로세싱 장치의 샤워헤드 전극 어셈블리 (10) 의 예시적인 실시형태를 도시한다. 샤워헤드 전극 어셈블리 (10) 는 상부 전극 (12), 상부 전극 (12) 에 의해 확보되는 백킹 부재 (14), 및 열 제어판 (16) 을 포함하는 샤워헤드 전극을 포함한다. 하부 전극 및 정전기 클램핑 전극 (예를 들어, 정전척) 을 포함하는 기판 지지체 (18) (그 일부만이 도 1에 도시됨) 는 플라즈마 프로세싱 장치의 진공 프로세싱 챔버 내의 상부 전극 (12) 아래에 배치된다. 플라즈마 프로세싱 처리되는 기판 (20) 은 기판 지지체 (18) 의 상부 지지체 표면 (22) 상에 정전기적으로 클램핑된다.
도시된 실시형태에서, 샤워헤드 전극의 상부 전극 (12) 은 내부 전극 부재 (24), 및 옵션인 외부 전극 부재 (26) 를 포함한다. 내부 전극 부재 (24) 는 바람직하게 원통형 플레이트 (예를 들어, 실리콘으로 구성된 플레이트) 이다. 내부 전극 부재 (24) 는, 플레이트가 실리콘으로 형성되는 경우, 프로세싱되는 웨이퍼보다 더 작거나, 동일하거나, 또는 더 큰 직경, 예를 들어, 12 인치 (300 mm) 까지의 직경을 가질 수 있다. 바람직한 실시형태에서, 샤워헤드 전극 어셈블리 (10) 는 직경 300mm 이상의 반도체 웨이퍼와 같은, 큰 기판을 프로세싱하기에 충분히 크다. 300 mm 웨이퍼에 있어서, 상부 전극 (12) 은 적어도 직경이 300 mm 이다. 하지만, 샤워헤드 전극 어셈블리는 다른 웨이퍼 크기 또는 비원형 구조를 가지는 기판을 프로세싱하기 위해 크기 조절될 수 있다.
도시된 실시형태에서, 내부 전극 부재 (24) 는 기판 (20) 보다 더 넓다. 300 mm 웨이퍼를 프로세싱하기 위해서는, 외부 전극 부재 (26) 가 제공되어, 상부 전극 (12) 의 직경을 약 15 인치에서 약 17 인치까지 확장한다. 외부 전극 부재 (26) 는 연속 부재 (예를 들어, 연속하는 폴리-실리콘 링), 또는 분절된 (segmented) 부재 (예를 들어, 실리콘으로 구성된 세그먼트와 같이, 링 구조로 배열된 2-6개의 분리된 세그먼트를 포함함) 일 수 있다. 다중-세그먼트, 외부 전극 부재 (26) 를 포함하는 상부 전극 (12) 의 실시형태에서, 세그먼트는 서로 오버랩하여 플라즈마에 대한 노출로부터 기저의 본딩 재료를 보호하는 에지를 가지는 것이 바람직하다.
내부 전극 부재 (24) 는, 상부 전극 (12) 및 기판 지지체 (18) 사이에 위치한 플라즈마 프로세싱 챔버 내의 공간으로 프로세스 가스를 주입하기 위해서, 백킹 부재 (14) 에 형성된 다중 가스 통로 (30) 를 통해 연장되고 그리고 이에 상응하는, 다중 가스 통로 (28) 를 포함하는 것이 바람직하다. 백킹 부재 (14) 는 다중 플레넘 (32) 을 포함하여, 프로세스 가스를 내부 전극 부재 (24) 및 백킹 부재 (14) 내의 가스 통로 (28 및 30) 로 각각 분배한다.
실리콘은 내부 전극 부재 (24) 및 외부 전극 부재 (26) 의 플라즈마 노출면으로 바람직한 재료이다. 고순도, 단결정 실리콘은 플라즈마 프로세싱 동안 기판의 오염을 최소화하고, 또한 플라즈마 프로세싱동안 평활하게 마모되어, 입자를 최소화한다. 상부 전극 (12) 의 플라즈마 노출면으로 사용될 수 있는 대안의 재료는, 예를 들어, SiC 또는 AlN 을 포함한다.
도시된 실시형태에서, 백킹 부재 (14) 는 백킹판 (34) 및 백킹판 (34) 의 주변 둘레로 연장되는 백킹링 (36) 을 포함한다. 실시형태에서, 내부 전극 부재 (24) 는 백킹판 (34) 과 공-연장이고 (co-extensive), 외부 전극 부재 (26) 는 주위의 백킹링 (36) 과 공-연장이다. 하지만, 단일의 백킹판이 내부 전극 부재 (24) 및 분절된 외부 전극 부재 (26) 를 지지하기 위해 사용될 수 있도록, 백킹판 (34) 이 내부 전극 부재 (24) 뒤로 연장될 수도 있다. 내부 전극 부재 (24) 및 외부 전극 부재 (26) 는 바람직하게 본딩 재료에 의해 백킹 부재 (14) 에 부착된다.
백킹판 (30) 및 백킹링 (36) 은, 플라즈마 프로세싱 챔버에서 반도체 기판을 프로세싱하기 위해 사용되는 프로세스 가스와 화학적으로 양립가능하고, 전기적으로 및 열적으로 도전성인 재료로 제조되는 것이 바람직하다. 백킹 부재 (14) 를 제조하기 위해 사용될 수 있는 적합한 재료의 예는 알루미늄, 알루미늄 합금, 그라파이트 및 SiC 를 포함한다.
상부 전극 (12) 은, 열적 응력을 수용하고, 상부 전극 (12) 및 백킹판 (34) 과 백킹링 (36) 사이에 열 및 전기적 에너지를 전달하는, 열적으로 및 전기적으로 도전성인 적합한 탄성 본딩 재료를 이용하여 백킹판 (34) 및 백킹링 (36) 에 부착될 수 있다. 전극 어셈블리의 표면을 같이 본딩하기 위한 탄성체의 사용은, 예를 들어, 공동 소유된 (commonly-owned) 미국 특허 No. 6,073,577 에 기재되어 있으며, 이는 그 전체가 참조로서 본 명세서에 통합된다.
300 mm 웨이퍼와 같은 큰 기판을 프로세싱하기 위한 용량 결합형 RF 플라즈마 반응기에 있어서는, 그라운드 전극에 추가하여 2차 그라운드도 또한 사용될 수 있다. 예를 들어, 기판 지지체 (18) 는 하나 이상의 주파수에서 RF 에너지가 공급되는 하부 전극을 포함할 수 있고, 프로세스 가스는 접지된 상부 전극인 샤워헤드 전극 (12) 을 통해서 챔버의 내부로 공급될 수 있다. 기판 지지체 (18) 의 하부 전극의 바깥쪽으로 배치된, 2차 그라운드는, 프로세싱될 기판 (20) 을 포함하는 면에서 일반적으로 연장되지만 핫 에지 링 (38) 에 의해 분리되는, 전기적으로 접지된 부분을 포함할 수 있다. 핫 에지 링 (38) 은 플라즈마 발생동안 가열되는 전기적으로 도전성 또는 반도전성 재료일 수 있다.
추가적으로, 플라즈마 컨파인먼트 링 어셈블리 (40) 는 백킹판 (34) 및 백킹링 (36) 의 바깥쪽에 제공될 수 있다. 플라즈마 컨파인먼트 링 어셈블리 (40) 및 2차 그라운드는 상부 전극 (12) 및 기판 지지체 (18) 사이에 배치된 공간에서 플라즈마를 한정하는 것을 도울 수 있다. RF 용량 결합형 플라즈마 반응기에서 사용되는 플라즈마 컨파인먼트 링 및 2차 그라운드의 상세한 논의는 공동 양도된 (commonly assigned) 미국 특허 Nos. 5,534,751 및 6,744,212 에서 찾을 수 있으며, 양 특허는 참조로서 본 명세서에 통합된다. 한정된 플라즈마에 의해서, 챔버 벽에 의해 야기되는 오염이 없거나 거의 없다. 즉, 한정된 플라즈마는 비한정된 플라즈마에 의해 제공되지 않는 청결의 레벨을 제공한다. 예를 들어, 컨파인먼트 링 어셈블리 (40) 는 석영으로 구성될 수 있다.
도 2a 및 도 2b는 핫 에지 링 (38) 주위의 도 1의 영역 A의 확대도이다. 기판 (20) 상의 식각 속도 균일성을 제어하고 기판 중심에서의 식각 속도를 기판 에지에서의 속도와 매칭하기 위해, 기판 바운더리 조건은 바람직하게 기판 에지의 화학적 노출, 공정 압력 및 RF 전계 강도와 관련하여 기판을 가로지르는 연속성을 확보하기 위해 설계된다. 현 설계에서, 핫 에지 링 (38) 은, 기판 (20) 둘레에 맞도록 적용되었다. 기판 오염을 최소화하기 위해서, 핫 에지 링 (38) 은 웨이퍼 자체와 상용가능한 재료로 제작된다. 예로써, 핫 에지 링 재료는 실리콘, 그라파이트, 실리콘 카바이드 등을 포함할 수 있다.
핫 에지 링 (38) 은 기판 지지체 (18) 의 외주변 상에 배치된 커플링 링 (42) 위에 놓인다. 커플링 링 (42) 의 재료는 기판 (20) 의 에지에서의 RF 전계 강도를 템퍼링하여 식각 속도 균일성을 개선하기 위해 선택된다. 예를 들어, 커플링 링 (42) 은 세라믹 (예를 들어, 석영) 또는 도전성 재료로 제조될 수 있다.
핫 에지 링 (38) 의 주위에는 유전체 재료로 구성되는 핫 에지 링 커버 (44) 가 있다. 핫 에지 링 커버 (44) 는, 기판 (20) 상부의 영역에서 플라즈마를 한정하고 석영으로 구성될 수 있는, 포커스 링 (46) 위에 놓인다. 핫 에지 링 커버 (44) 의 다른 주위에는 그라운드 링 커버 (48) 가 있다. 핫 에지 링 커버 (44) 는 플라즈마에 의한 어택으로부터 그라운드 연장부 (ground extension) 를 보호한다. 예를 들어, 핫 에지 링 커버 (44) 및 그라운드 링 커버 (48) 는 석영으로 구성될 수 있다. 그라운드 연장부 (50) 는 알루미늄으로 구성될 수 있다.
도 2b에 도시된 바와 같이, 도전성 핀 (52) 및 핀 슬리브 (54) 는 핫 에지 링 (38) 및 커플링 링 (42) 내부에 포함될 수 있다. 도전성 핀 (52) 은 플라즈마 프로세싱 동안, 플라즈마의 전반적인 특성을 결정하기 위해서 사용되는, 기판 지지체 (18) 의 정전척에서 RF 바이어스 전압을 모니터링한다. 예를 들어, 도전성 핀 (52) 은 실리콘 카바이드 또는 임의의 도전성 재료로 구성될 수 있다. 핀 슬리브 (54) 는 도전성 핀 (52) 을 둘러싸고 도전성 핀 (52) 을 전기적으로 격리시킨다. 예를 들어, 핀 슬리브 (54) 는 석영으로 구성될 수 있다.
석영은 소모성 부품의 내부 표면의 재료로 사용될 수 있다. 하지만, 석영은 플라즈마 챔버에 존재하는 소모성 재료의 최단 RF 수명을 가진다. 소모성 재료의 교체 및 관련된 플라즈마 챔버의 세정 사이의 평균 시간 (MTBC, Mean Time Between Cleanings) 은 특수 애플리케이션이다. 현재, Lam Research Corporation (Fremont, California) 에 의해 제작된 2300 EXELAN FLEX® 유전체 식각 시스템을 이용한, 고 애스펙트비 콘택 (HARC, High Aspect Ratio Contact) 애플리케이션에 대한 MTBC 는 약 250 RF hours 에서의 석영 콤포넌트의 교체에 의해 좌우된다. 전술한 측면에서, 보다 내침식적이고, 프로세싱되는 웨이퍼 표면의 오염 (예를 들어, 입자 및 금속 불순물) 을 최소화하는 것을 돕는, 소모성 부품을 가지는 고밀도 플라즈마 프로세싱 챔버에 대한 필요성이 있다.
실리콘 질화물은 공동 소유된 미국 특허 No. 5,993,594 에 기재된 바와 같이, 플라즈마 프로세싱 챔버에서 사용하기 위한 분배판, 라이너 (liner), 및 포커스 링과 같은 플라즈마 프로세싱 콤포넌트에 적합한 재료로 입증되어 왔다.
그러한 실리콘 질화물 콤포넌트는 다양한 방법으로 제조될 수 있다. 예를 들어, 실리콘 질화물은, 알파 실리콘 질화물의 높은 비율을 포함하는 분말을 사용하여 1500℃ 초과의 온도에서 고온 가압될 수 있다. 그러한 온도에서의 고온 가압동안, 알파 상 (alpha phase) 은 베타-변형으로 변환되고, 변환 및 치밀화 (densification) 는 압력, 온도 및 출발 분말의 알파/베타 상의 비율에 의존한다. 실리콘 질화물의 소결 보조제는 MgO, Y2O3, CeO2, ZrO2, Al2O3, Y3Al5O12 (이트륨 알루미늄 가넷 또는 YAG) 및 가능하게는 다른 희토 산화물을 포함할 수 있다. 치밀화는 무가압 (pressureless) 소결, 고온 가압, 고온 등방 가압 (HIP-ing, hot isostatic pressing) 또는 가스압 소결과 같은 소결 공정에 의해 수행될 수 있다. 고온 등방 가압된 실리콘 질화물과 비교하여, 가스압 소결된 재료는 고 애스펙트비 그레인을 가진 더 굵은 (coarser) 구조를 나타낼 수 있는 반면, 가압된 재료는 더 미세하고, 더 균일한 크기의 (equiaxed) 구조를 가질 수 있다. 가스압 소결은 2 MPa 까지의 질소 가스압을 사용하여 수행될 수 있으며, 여기서 질소 가스는 실리콘 질화물의 열분해를 억제하고, 보다 높은 소결 온도가 치밀화에 적용되게 한다. 고온 가압된 실리콘 질화물은, 그라파이트 인덕션 가열된 다이에서 15 ~ 30 MPa 의 가압하에서 1 ~ 4 시간 동안 1650℃ ~ 1850℃ 범위의 온도로 열 및 일축 압력을 가함으로써 형성될 수 있다. 다른 기술은, 실리콘 질화물의 형상화된 콤포넌트를 0.1 MPa 의 질소 분위기 하에서의 1700℃ ~ 1800℃에서 연소하는 것을 수반한다. 또 다른 기술은, 그 형상화 이전에 MgO 또는 Y2O3 와 같은 첨가제를 실리콘에 첨가한 후 질소 분위기 하에서의 약 1100℃ ~ 약 1450℃ 의 온도 범위에서의 질화를 포함한다. 금속 산화물 소결 보조제 (예를 들어, MgO) 는 치밀한 실리콘 질화물 콤포넌트의 형성을 용이하게 하지만, 플라즈마 프로세싱 동안 실리콘 웨이퍼의 표면 상의 금속 오염의 허용가능하지 않은 레벨을 잠재적으로 도입할 수 있다.
약 1 중량% MgO 소결 보조제를 포함하는, 고온 가압에 의해 형성되는 실리콘 질화물 콤포넌트는 2300 EXELAN FLEX® 유전체 식각 시스템에 설치되어, 플라즈마 프로세싱 이후의 각종 금속 오염물의 표면 농도를 결정한다. 이 재료는 충분히 치밀하며, 고순도 Si3N4 분말을 Mg-함유 화합물과 블렌딩한 후 1600℃ 이상의 온도의 그라파이트 다이에서 고온 가압하여 제조된다. 고온 가압 이후, 콤포넌트는 고온 가압된 블랭크로부터 접지되고, 식각 챔버 사용을 위해 세정된다.
2300 EXELAN FLEX? 유전체 식각 시스템에서의 테스트는, 실리콘 웨이퍼의 표면 상의 금속 원소의 레벨을 결정하기 이전에 약 50시간 동안 약 1 중량% MgO 를 이용하여 실리콘 질화물 콤포넌트를 플라즈마 환경 처리하는 것을 포함한다. 블랭크 포토레지스트 코팅된 실리콘 웨이퍼는 약 5분 동안 고 애스펙트비 콘택 (HARC) 식각 레시피에 노출된다. HARC 식각 레시피에 있어서, 약 100 SCCM C4F8/약 50 SCCM C4F6/약 100 SCCM CH2F2/약 50 SCCM O2/약 1,000 SCCM Ar 의 가스 혼합물이 챔버 압력 약 35 mTorr 및 약 40 mTorr 인 공정 챔버로 전달된다. 약 5,000 와트 ~ 약 6,000 와트의 RF 전력을 인가하여, C4F8/C4F6/CH2F2/O2/Ar 프로세스 가스를 이용하여 플라즈마를 발생시킨다. 완료 이후, 테스트 웨이퍼를 제거하고, 웨이퍼리스 오토클린 (WAC, Waferless AutoClean) 챔버 세정 레시피를 약 30초 동안 수행한다. WAC 세정 레시피에 있어서, 약 300 SCCM N2 및 약 3,000 SCCM O2 를 챔버 압력이 약 600 mTorr 인 공정 챔버로 전달한다. 약 700 와트의 RF 전력을 인가하여, N2/O2 프로세스 가스를 이용하여 플라즈마를 발생시켜 프로세싱 챔버의 내부로부터 폴리머 증착물을 제거한다. HARC 식각 레시피에 이어지는 WAC 레시피의 시퀀스는, 실리콘 질화물 콤포넌트가 약 50시간 동안 플라즈마 환경에 노출될 때까지 반복된다. HARC 식각 레시피 및 WAC 챔버 세정 레시피는, 석영이 이들 플라즈마 프로세싱 조건 하에서 침식되기 쉽기 때문에 선택된다.
실리콘 질화물 콤포넌트가 플라즈마 환경에서 약 50 RF hours 노출된 이후, 블랭크 비코팅된 (blank uncoated) 300 mm 실리콘 테스트 웨이퍼가, 약 5 분 동안 HARC 식각 레스피를 이용하여 플라즈마 프로세싱 처리된다. 플라즈마 프로세싱 이후, 실리콘 웨이퍼의 표면이 묽은 질산 (HNO3) 으로 린싱되고, 각종 금속 오염물의 표면 농도 (atoms/㎠) 에 대해 유도 결합형 플라즈마 질량 분광법 (ICP-MS) 에 의해 분석된다. 도 3은 플라즈마 노출된 실리콘 질화물계 콤포넌트와 MgO 소결 보조제를 포함하는 식각 시스템에서 플라즈마 프로세싱되는 블랭크 300 mm 실리콘 웨이퍼에 대한 각종 금속 오염물의 표면 농도를 나타낸다.
상술한 바와 같이, 알루미늄을 제외하고, 실리콘 웨이퍼의 표면 상의 금속 원소에 대한 오염 레벨은 이상적으로 5 × 1010 atoms/㎠ 이하이다. 도 3에서 볼 수 있는 바와 같이, 마그네슘의 표면 오염은 100 × 1010 atoms/㎠ 을 초과한다. 즉, 소정의 애플리케이션에 대해서, 1 중량% MgO 소결 보조제와 함께 실리콘 질화물 콤포넌트의 사용은, 실리콘 웨이퍼의 표면의 마그네슘 및 다른 오염의 보다 높은 레벨에 기인하여, 완전히 만족스러운 결과보다 덜 할 수 있다.
실리콘 웨이퍼의 표면 상의 금속 오염을 감소시키기 위한 하나의 접근은, 알루미늄, 바륨, 칼슘, 세륨, 크로뮴, 구리, 갈륨, 인듐, 철, 리튬, 마그네슘, 니켈, 칼륨, 나트륨, 스트론튬, 주석, 티타늄, 바나듐, 이트륨, 아연 또는 지르코늄의 의도적인 첨가없이 소결 보조제를 사용하는 것이다. 소결 보조제로서 고순도 실리콘 이산화물을 포함하는 고순도 실리콘 질화물계 플라즈마 프로세싱 콤포넌트는, 실리콘 웨이퍼의 표면 상의 금속 원소에 대한 오염 레벨을 감소시킨다는 것이 결정되었다.
10 중량% 실리콘 이산화물 소결 보조제를 포함하는, 고온 등방 가압에 의해 형성된 실리콘 질화물 콤포넌트는 2300 EXELAN FLEX? 유전체 식각 시스템에 설치되어 플라즈마 프로세싱 이후 각종 금속 오염물의 표면 농도를 결정한다. 실리콘 질화물 콤포넌트를 제작하는 공정 단계는: (1) 90 부 (중량%) 의 고순도 실리콘 질화물 분말을 10 부 (중량%) 의 고순도 실리콘 이산화물 분말과 알코올 용매에서 블렌딩하는 단계; (2) 알코올 용매를 증발시켜 건조 분말 혼합물을 형성하는 단계; (3) 분말 혼합물을 다이 세트에 로딩하고 혼합된 분말을 약 100 MPa ~ 약 120 MPa 에서 일축 건조 가압 처리하거나 저온 등방 가압 (CIP, Cold Isostatic Pressing) 하여, 녹색체 프리폼 (즉, 비연소된 세라믹체) 을 형성하는 단계; 및 (4) 약 1750℃ ~ 약 1900℃ 의 온도 및 약 175 MPa ~ 약 225 MPa 의 인가된 압력에서 글라스 인캡슐레이션 (glass encapsulation) 기술을 이용하여 프리폼을 고온 등방 가압 (HIP-ing) 하는 단계이다.
일축 건조 가압 또는 저온 등방 가압에서, 녹색체 프리폼의 밀도는 이론적 밀도의 45% 이상이다. 고온 등방 가압 이후, 실리콘 질화물 콤포넌트는, 광학 미세구조에 근거하여, 이론적 밀도의 약 95% 이상의 밀도를 가지는 것으로 결정되며, 여기서 실리콘 질화물 콤포넌트는 포어 (pore) 가 없다. 실리콘 이산화물 소결 보조제를 포함하는 실리콘 질화물 콤포넌트는 5000 ppm 미만, 바람직하게는 1000 ppm 미만, 가장 바람직하게는 100 ppm 미만의 총 금속 오염을 가진다. 금속 오염은 바륨, 칼슘, 세륨, 크로뮴, 구리, 갈륨, 인듐, 철, 리튬, 마그네슘, 니켈, 칼륨, 나트륨, 스트론튬, 주석, 티타늄, 바나듐, 이트륨, 아연 및 지르코늄을 포함한다.
이미 설명한 바와 같이, 2300 EXELAN FLEX? 유전체 식각 시스템에서의 테스트는, 실리콘 웨이퍼의 표면 상에 금속 원소의 레벨을 결정하기 이전에, 실리콘 질화물 콤포넌트를 10 중량% 의 실리콘 이산화물 소결 보조제를 이용하여 약 50시간 동안 플라즈마 환경 처리하는 것을 포함한다. 블랭크 포토레지스트 코팅된 실리콘 웨이퍼는 고 애스펙트비 콘택 (HARC) 식각 레시피에 약 5 분 동안 노출된다. 완료 이후, 테스트 웨이퍼가 제거되고 웨이퍼리스 오토클린 (WAC) 챔버 세정 레시피가 약 30초 동안 수행된다. 이 시퀀스는, 실리콘 질화물 콤포넌트가 약 50시간 동안 플라즈마 환경에 노출될 때까지 반복된다.
플라즈마 프로세싱 이후, 실리콘 웨이퍼의 표면은 묽은 질산 (HNO3) 으로 희석되고, 각종 금속 오염물의 표면 농도 (atoms/㎠) 에 대해 유도 결합형 플라즈마 질량 분광법 (ICP-MS) 에 의해 분석된다. 도 4에서 보는 바와 같이, 마그네슘의 표면 오염은 5 × 1010 atoms/㎠ 미만이다. 추가로, 칼슘, 리튬 및 나트륨이 5 × 1010 atoms/㎠ 오염 레벨을 초과하지만, 이들 금속의 레벨은 약 22 × 1010 atoms/㎠ 미만이다. 도 3 및 도 4의 결과와 비교하여, 10% SiO2 소결 보조제를 포함하는 실리콘 질화물 콤포넌트는 1 % MgO 를 포함하는 실리콘 질화물 콤포넌트에 비해 상당한 진보를 제공한다.
SiO2 소결 보조제를 포함하는, 고온 등방 가압에 의해 형성된 실리콘 질화물 콤포넌트도 또한 그 석영 카운트파트와 비교하여 불화탄소/수소화불화탄소 및 산소/질소 플라즈마에 노출되는 동안 우수한 내마모성을 나타낸다. 또한, 약 10 중량% 의 SiO2 소결 보조제를 포함하는 실리콘 질화물이 최저 마모율을 나타낸다는 것이 결정된다. 약 5 중량%, 약 10 중량%, 약 20 중량% 및 약 35 중량% 의 SiO2 소결 보조제를 포함하는 실리콘 질화물계 콤포넌트 (예를 들어, 핀 슬리브) 는 글라스 인캡슐레이션된 고온 등방 가압에 의해 형성된다. 다이아몬드 그라인딩 이후, 재료는 내성 (tolerances) 이 없어지고, 세정 이후, 각 콤포넌트는 개별적으로 2300 EXELAN FLEX? 유전체 식각 시스템에 배치되고, 총 플라즈마 노출이 약 18 RF hours 이 될 때까지 약 5분 동안의 HARC 식각 레시피 다음 약 30초 동안의 WAC 레시피에 교대로 노출된다. 테스트 동안, 핀 슬리브 콤포넌트는 이온 충격 및/또는 이온화된 할로겐 가스로 처리된다. 핀 슬리브의 마모율은, 도 5a에 도시된 바와 같이, y 방향의 치수 변화를 측정함으로써 결정된다. 핀 슬리브는, 도 5a에 도시된 바와 같이, 핀 슬리브의 높이가 새로운 핀 슬리브 높이의 50% 까지 마모되었을 때, 교체된다. 일 실시형태에서, 핀 슬리브의 높이는 약 5 mm ~ 약 15 mm 의 범위일 수 있다.
도 5b에 도시된 바와 같이, 약 5 중량% ~ 약 20 중량% 의 SiO2 를 포함하는 실리콘 질화물 핀 슬리브 콤포넌트는, 플라즈마 프로세싱 동안, 약 8 ㎛/RF hour 미만의 개선된 마모율을 제공한다. 석영 콤포넌트 (즉, 100% SiO2) 는 약 13 ㎛/RF hour 에서 최고의 마모율을 나타낸다. 하지만, 약 10 중량% 의 SiO2 를 포함하는 실리콘 질화물 콤포넌트는 약 6 ㎛/RF hour 에서 적어도 2배의 마모율 감소를 나타낸다. 즉, 석영 소모성 콤포넌트를 약 5 중량% ~ 약 20 중량% 의 SiO2 (예를 들어, 약 8 중량% ~ 약 12 중량%, 약 9 중량% ~ 약 11 중량%, 약 10 중량%) 를 포함하는 실리콘 질화물 콤포넌트로 교체하는 것이, 약 250 RF hours 에서 약 800 RF hours ~ 약 1,000 RF hours 까지 수명을 2배 초과시킬 수 있다.
전술한 내용은 그 구체적인 실시형태를 참조하여 상세히 기재된 한편, 청구항의 범위를 벗어나지 않으면서 여러가지 변화 및 변경이 가해질 수 있고 그 등가물이 채용된다는 것이, 당업자에게 명백하다.

Claims (25)

  1. 플라즈마 식각 챔버의 세정 사이의 평균 시간 (mean time) 및 챔버 부품 수명을 증가시키는 방법으로서,
    이온 충격, 이온화된 할로겐 가스 또는 이온 충격 및 이온화된 할로겐 가스에 노출된 적어도 하나의 소결된 실리콘 질화물 콤포넌트를 사용하면서 상기 플라즈마 식각 챔버 내에서 반도체 기판을 한번에 하나씩 플라즈마 식각하는 단계를 포함하며,
    상기 소결된 실리콘 질화물 콤포넌트는 80 중량% ~ 85 중량% 의 실리콘 질화물 및 15 중량% ~ 20 중량% 의 소결 보조제로 이루어지고, 상기 소결 보조제는 실리콘 이산화물로 이루어지는, 플라즈마 식각 챔버의 세정 사이의 평균 시간 및 챔버 부품 수명을 증가시키는 방법.
  2. 제 1 항에 있어서,
    상기 반도체 기판을 플라즈마 식각하는 단계 이전에 석영 콤포넌트를 상기 소결된 실리콘 질화물 콤포넌트로 교체하는 단계를 더 포함하는, 플라즈마 식각 챔버의 세정 사이의 평균 시간 및 챔버 부품 수명을 증가시키는 방법.
  3. 제 1 항에 있어서,
    상기 반도체 기판을 플라즈마 식각하는 단계 이전에 실리콘 질화물 콤포넌트를 상기 소결된 실리콘 질화물 콤포넌트로 교체하는 단계를 더 포함하는, 플라즈마 식각 챔버의 세정 사이의 평균 시간 및 챔버 부품 수명을 증가시키는 방법.
  4. 제 1 항에 있어서,
    상기 소결된 실리콘 질화물 콤포넌트는 핀 슬리브, 컨파인먼트 링, 핫 에지 링 커버 또는 그라운드 커버 링 중 적어도 하나인, 플라즈마 식각 챔버의 세정 사이의 평균 시간 및 챔버 부품 수명을 증가시키는 방법.
  5. 제 1 항에 있어서,
    상기 플라즈마 식각 단계는, 불화탄소 식각 가스, 수소화불화탄소 식각 가스 또는 불화탄소 식각 가스 및 수소화불화탄소 식각 가스를 사용하여 유전체 재료 내에 개구를 식각하는 단계를 포함하는, 플라즈마 식각 챔버의 세정 사이의 평균 시간 및 챔버 부품 수명을 증가시키는 방법.
  6. 제 1 항에 있어서,
    상기 실리콘 질화물 및 상기 실리콘 이산화물은 1000 ppm ~ 5000 ppm, 100 ppm ~ 1000 ppm 또는 100 ppm 미만의 금속 불순물을 가지며,
    상기 금속 불순물은 바륨, 칼슘, 세륨, 크로뮴, 구리, 갈륨, 인듐, 철, 리튬, 마그네슘, 니켈, 칼륨, 나트륨, 스트론튬, 주석, 티타늄, 바나듐, 이트륨, 아연 및 지르코늄을 포함하고;
    상기 소결된 실리콘 질화물 콤포넌트는 이론적 밀도의 95% 이상의 밀도를 가지거나 기공이 없는, 플라즈마 식각 챔버의 세정 사이의 평균 시간 및 챔버 부품 수명을 증가시키는 방법.
  7. 제 1 항에 있어서,
    상기 세정 사이의 평균 시간은, 유전체 재료를 식각하는 동안 800 RF hours ~ 1,000 RF hours 인, 플라즈마 식각 챔버의 세정 사이의 평균 시간 및 챔버 부품 수명을 증가시키는 방법.
  8. 제 1 항에 있어서,
    상기 반도체 기판을 상기 플라즈마 식각 챔버로부터 제거하는 단계; 및
    상기 플라즈마 식각 챔버의 내부를 플라즈마 세정하는 단계를 더 포함하고,
    상기 플라즈마 세정하는 단계는, 산소 가스, 질소 가스 또는 산소 가스 및 질소 가스로 플라즈마를 생성하여 상기 플라즈마 식각 챔버의 내부로부터 폴리머 증착물을 제거하는 단계를 포함하고,
    상기 플라즈마 세정하는 단계는 하나의 기판을 식각한 이후 및 다른 기판을 식각하기 이전에 수행되는, 플라즈마 식각 챔버의 세정 사이의 평균 시간 및 챔버 부품 수명을 증가시키는 방법.
  9. 플라즈마 프로세싱 챔버로서,
    상기 플라즈마 프로세싱 챔버의 내부에 기판을 지지하기 위한 기판 홀더;
    상기 기판에 인접하는 노출면을 가지는 소결된 실리콘 질화물 콤포넌트로서, 상기 소결된 실리콘 질화물 콤포넌트는 80 중량% ~ 85 중량% 의 실리콘 질화물 및 15 중량% ~ 20 중량% 의 소결 보조제로 이루어지고, 상기 소결 보조제는 실리콘 이산화물로 이루어지는, 상기 소결된 실리콘 질화물 콤포넌트;
    상기 플라즈마 프로세싱 챔버의 내부로 프로세스 가스를 공급하는 가스 공급부; 및
    상기 플라즈마 프로세싱 챔버의 내부로 에너지를 공급하고, 상기 기판을 프로세싱하기 위해 상기 프로세스 가스를 플라즈마 상태로 에너자이징하는 에너지원으로서, 상기 소결된 실리콘 질화물 콤포넌트가 상기 기판 표면 상의 금속 오염물을 상기 플라즈마에 의한 프로세싱 동안 100 × 1010 atoms/㎠ 미만으로 최소화하는, 상기 에너지원을 포함하는, 플라즈마 프로세싱 챔버.
  10. 제 9 항에 있어서,
    상기 소결된 실리콘 질화물 콤포넌트는 상기 기판 표면 상의 금속 오염물을 상기 플라즈마에 의한 프로세싱 동안 50 × 1010 atoms/㎠ 미만으로 최소화하고,
    상기 소결된 실리콘 질화물 콤포넌트는 80 중량% ~ 93 중량% 의 실리콘 질화물 및 7 중량% ~ 20 중량% 의 소결 보조제로 이루어지는, 플라즈마 프로세싱 챔버.
  11. 제 9 항에 있어서,
    상기 소결된 실리콘 질화물 콤포넌트는 상기 기판 표면 상의 금속 오염물을 상기 플라즈마에 의한 프로세싱 동안 10 × 1010 atoms/㎠ 미만으로 최소화하는, 플라즈마 프로세싱 챔버.
  12. 제 9 항에 있어서,
    상기 소결된 실리콘 질화물 콤포넌트는 상기 기판 표면 상의 금속 오염물을 상기 플라즈마에 의한 프로세싱 동안 5 × 1010 atoms/㎠ 미만으로 최소화하는, 플라즈마 프로세싱 챔버.
  13. 제 9 항에 있어서,
    상기 금속 오염물은 바륨, 칼슘, 세륨, 크로뮴, 구리, 갈륨, 인듐, 철, 리튬, 마그네슘, 니켈, 칼륨, 나트륨, 스트론튬, 주석, 티타늄, 바나듐, 이트륨, 아연 및 지르코늄을 포함하는, 플라즈마 프로세싱 챔버.
  14. 제 9 항에 있어서,
    상기 프로세스 가스는 불화탄소, 수소화불화탄소 또는 불화탄소 및 수소화불화탄소를 포함하는, 플라즈마 프로세싱 챔버.
  15. 플라즈마 프로세싱 동안 실리콘 기판 표면 상의 금속 오염물을 감소시키는 방법으로서,
    플라즈마 프로세싱 장치의 반응 챔버 내의 기판 지지체 상에 실리콘 기판을 배치하는 단계로서, 상기 플라즈마 프로세싱 장치는 하나 이상의 소결된 실리콘 질화물 콤포넌트를 포함하며, 상기 실리콘 질화물 콤포넌트는 80 중량% ~ 85 중량% 의 실리콘 질화물 및 15 중량% ~ 20 중량% 의 소결 보조제로 이루어지고, 상기 소결 보조제는 실리콘 이산화물로 이루어지는, 상기 실리콘 기판을 배치하는 단계;
    상기 반응 챔버 내로 프로세스 가스를 도입하는 단계;
    상기 프로세스 가스로부터 플라즈마를 생성하는 단계; 및
    상기 실리콘 기판을 플라즈마로 프로세싱하는 단계를 포함하는, 금속 오염물 감소 방법.
  16. 제 15 항에 있어서,
    상기 프로세스 가스는 불화탄소, 수소화불화탄소 또는 불화탄소 및 수소화불화탄소를 포함하는, 금속 오염물 감소 방법.
  17. 제 15 항에 있어서,
    상기 하나 이상의 소결된 실리콘 질화물 콤포넌트는 핀 슬리브, 컨파인먼트 링, 핫 에지 링 커버 또는 그라운드 커버 링인, 금속 오염물 감소 방법.
  18. 제 15 항에 있어서,
    상기 실리콘 기판은, 상기 실리콘 기판을 상기 플라즈마로 프로세싱한 이후 5 × 1010 atoms/㎠ 미만의 금속 표면 농도를 가지는, 금속 오염물 감소 방법.
  19. 제 18 항에 있어서,
    상기 금속은 바륨, 칼슘, 세륨, 구리, 갈륨, 인듐, 철, 리튬, 마그네슘, 니켈, 칼륨, 나트륨, 스트론튬, 주석, 티타늄, 바나듐, 이트륨, 아연 또는 지르코늄을 포함하는, 금속 오염물 감소 방법.
  20. 제 15 항에 있어서,
    상기 실리콘 기판을 프로세싱하는 단계는 식각 단계를 포함하는, 금속 오염물 감소 방법.
  21. 플라즈마 식각 챔버에서 이온 충격, 플라즈마 침식 또는 이온 충격 및 플라즈마 침식에 노출된 플라즈마 식각 챔버 프로세싱 콤포넌트를 제조하는 방법으로서,
    80 중량% ~ 85 중량% 의 실리콘 질화물 및 15 중량% ~ 20 중량% 의 실리콘 이산화물로 이루어지는 분말 조성물을 혼합하는 단계;
    상기 분말 조성물로부터 형상화된 콤포넌트를 형성하는 단계; 및
    상기 형상화된 콤포넌트를 열 및 압력을 동시에 가하면서 치밀화하는 단계를 포함하고,
    상기 분말 조성물을 혼합하는 단계는,
    상기 실리콘 질화물 및 상기 실리콘 이산화물을 알코올 용매에서 블렌딩하는 단계; 및
    상기 알코올 용매를 증발시켜 건조 분말 혼합물을 형성하는 단계를 더 포함하고,
    상기 형상화된 콤포넌트를 형성하는 단계는,
    상기 건조 분말 혼합물을 다이 세트에 로딩하는 단계; 및
    상기 분말 혼합물을 100 MPa ~ 120 MPa 의 압력에서 일축 가압 또는 저온 등방 가압 (cold isostatic pressing) 으로 처리하여 녹색체 프리폼 (pre-form) 을 형성하는 단계를 더 포함하며, 그리고
    상기 형상화된 콤포넌트를 열 및 압력을 동시에 가하면서 치밀화하는 단계는,
    1750℃ ~ 1900℃ 의 온도, 175 MPa ~ 225 MPa 의 압력에서 60 분 ~ 120 분 동안 글라스 인캡슐레이션 (glass encapsulation) 을 이용하여 상기 녹색체 프리폼을 고온 등방 가압 (hot isostatic pressing) 하는 단계를 더 포함하는, 플라즈마 식각 챔버 프로세싱 콤포넌트 제조 방법.
  22. 삭제
  23. 제 21 항에 있어서,
    상기 녹색체 프리폼 (pre-form) 은 이론적 밀도의 45% 의 최소 밀도를 가지는, 플라즈마 식각 챔버 프로세싱 콤포넌트 제조 방법.
  24. 플라즈마 프로세싱 콤포넌트로서,
    소결된 실리콘 질화물 콤포넌트를 포함하고,
    상기 콤포넌트는 80 중량% ~ 85 중량% 의 실리콘 질화물 및 15 중량% ~ 20 중량% 의 소결 보조제로 이루어지며, 상기 소결 보조제는 실리콘 이산화물로 이루어지고,
    상기 소결된 실리콘 질화물 콤포넌트는 기판 표면 상의 금속 오염물을 상기 플라즈마 프로세싱 콤포넌트를 포함하는 플라즈마 프로세싱 장치에서의 플라즈마에 의한 프로세싱 동안 50 × 1010 atoms/㎠ 미만으로 최소화하는, 플라즈마 프로세싱 콤포넌트.
  25. 제 24 항에 있어서,
    상기 콤포넌트는 핀 슬리브, 컨파인먼트 링, 핫 에지 링 커버 또는 그라운드 커버 링인, 플라즈마 프로세싱 콤포넌트.
KR1020107011697A 2007-10-31 2008-10-27 플라즈마 프로세싱 챔버, 플라즈마 프로세싱 콤포넌트 및 플라즈마 식각 챔버 프로세싱 콤포넌트 제조 방법 KR101645043B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US111307P 2007-10-31 2007-10-31
US61/001,113 2007-10-31

Publications (2)

Publication Number Publication Date
KR20100099137A KR20100099137A (ko) 2010-09-10
KR101645043B1 true KR101645043B1 (ko) 2016-08-02

Family

ID=40591690

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107011697A KR101645043B1 (ko) 2007-10-31 2008-10-27 플라즈마 프로세싱 챔버, 플라즈마 프로세싱 콤포넌트 및 플라즈마 식각 챔버 프로세싱 콤포넌트 제조 방법

Country Status (6)

Country Link
US (1) US8622021B2 (ko)
JP (1) JP5567486B2 (ko)
KR (1) KR101645043B1 (ko)
CN (1) CN101889329B (ko)
TW (1) TWI433199B (ko)
WO (1) WO2009058235A2 (ko)

Families Citing this family (132)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4833687B2 (ja) * 2006-02-27 2011-12-07 株式会社日立ハイテクノロジーズ プラズマ処理装置
US7541094B1 (en) * 2006-03-03 2009-06-02 Quantum Global Technologies, Llc Firepolished quartz parts for use in semiconductor processing
WO2009058235A2 (en) * 2007-10-31 2009-05-07 Lam Research Corporation High lifetime consumable silicon nitride-silicon dioxide plasma processing components
US20090221150A1 (en) * 2008-02-29 2009-09-03 Applied Materials, Inc. Etch rate and critical dimension uniformity by selection of focus ring material
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
JP2010199475A (ja) * 2009-02-27 2010-09-09 Tokyo Electron Ltd プラズマ処理装置のクリーニング方法及び記憶媒体
WO2010101191A1 (ja) * 2009-03-03 2010-09-10 東京エレクトロン株式会社 載置台構造、成膜装置、及び、原料回収方法
JP2011003730A (ja) * 2009-06-18 2011-01-06 Mitsubishi Materials Corp プラズマ処理装置用シリコンリング
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8920564B2 (en) * 2010-07-02 2014-12-30 Applied Materials, Inc. Methods and apparatus for thermal based substrate processing with variable temperature capability
JP5787526B2 (ja) * 2011-01-17 2015-09-30 イビデン株式会社 電子部品位置決め用治具
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
WO2012152307A1 (de) * 2011-05-06 2012-11-15 Osram Opto Semiconductors Gmbh Bauelementträgerverbund mit einer bauelementträgerbereiche abtrennenden grabenstruktur und verfahren zur herstellung einer mehrzahl von bauelementträgerbereichen
US8747538B2 (en) * 2011-09-20 2014-06-10 Chung-Hou Tony Hsiao Photovoltaic ingot mold release
US9682398B2 (en) * 2012-03-30 2017-06-20 Applied Materials, Inc. Substrate processing system having susceptorless substrate support with enhanced substrate heating control
JP5970268B2 (ja) * 2012-07-06 2016-08-17 株式会社日立ハイテクノロジーズ プラズマ処理装置および処理方法
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9017513B2 (en) * 2012-11-07 2015-04-28 Lam Research Corporation Plasma monitoring probe assembly and processing chamber incorporating the same
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US8883029B2 (en) * 2013-02-13 2014-11-11 Lam Research Corporation Method of making a gas distribution member for a plasma processing chamber
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US20150001180A1 (en) * 2013-06-28 2015-01-01 Applied Materials, Inc. Process kit for edge critical dimension uniformity control
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
JP6422262B2 (ja) * 2013-10-24 2018-11-14 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9580360B2 (en) * 2014-04-07 2017-02-28 Lam Research Corporation Monolithic ceramic component of gas delivery system and method of making and use thereof
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10570257B2 (en) 2015-11-16 2020-02-25 Applied Materials, Inc. Copolymerized high temperature bonding component
KR102504290B1 (ko) 2015-12-04 2023-02-28 삼성전자 주식회사 수소 플라스마 어닐링 처리 준비 방법, 수소 플라스마 어닐링 처리 방법, 및 수소 플라스마 어닐링 장치
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
JP7055040B2 (ja) * 2018-03-07 2022-04-15 東京エレクトロン株式会社 被処理体の載置装置及び処理装置
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US20200161506A1 (en) * 2018-11-21 2020-05-21 Osram Opto Semiconductors Gmbh Method for Producing a Ceramic Converter Element, Ceramic Converter Element, and Optoelectronic Component
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
USD979524S1 (en) 2020-03-19 2023-02-28 Applied Materials, Inc. Confinement liner for a substrate processing chamber
USD943539S1 (en) 2020-03-19 2022-02-15 Applied Materials, Inc. Confinement plate for a substrate processing chamber
US11380524B2 (en) 2020-03-19 2022-07-05 Applied Materials, Inc. Low resistance confinement liner for use in plasma chamber

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000026166A (ja) * 1998-07-07 2000-01-25 Kyocera Corp 高純度窒化珪素質耐食性部材及びその製造方法
JP2001501379A (ja) * 1996-09-30 2001-01-30 ラム リサーチ コーポレイション パーティクル制御方法及びプラズマ処理チャンバー

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5860676A (ja) * 1981-09-30 1983-04-11 日本特殊陶業株式会社 窒化珪素焼結体及びその製造方法
US4761134B1 (en) * 1987-03-30 1993-11-16 Silicon carbide diffusion furnace components with an impervious coating thereon
EP0493089B1 (en) * 1990-12-25 1998-09-16 Ngk Insulators, Ltd. Wafer heating apparatus and method for producing the same
US5800618A (en) * 1992-11-12 1998-09-01 Ngk Insulators, Ltd. Plasma-generating electrode device, an electrode-embedded article, and a method of manufacturing thereof
JP3066783B2 (ja) * 1992-11-16 2000-07-17 東京エレクトロン株式会社 電極材料及びそれを用いたプラズマ処理装置
JPH08133840A (ja) * 1994-10-31 1996-05-28 Kyocera Corp 半導体製造装置用セラミックス
JP2777076B2 (ja) * 1995-01-27 1998-07-16 京セラ株式会社 高周波用低損失誘電体材料
US5534751A (en) 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US6120640A (en) * 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
US6073577A (en) 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US6362110B1 (en) * 2000-03-30 2002-03-26 Lam Research Corporation Enhanced resist strip in a dielectric etcher using downstream plasma
US6506254B1 (en) * 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6890861B1 (en) 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6620520B2 (en) * 2000-12-29 2003-09-16 Lam Research Corporation Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
JP2002226274A (ja) * 2001-01-25 2002-08-14 Ngk Insulators Ltd 耐蝕性セラミック材料、その製造方法および半導体製造用製品
US6830622B2 (en) * 2001-03-30 2004-12-14 Lam Research Corporation Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
KR100798179B1 (ko) * 2001-04-27 2008-01-24 교세라 가부시키가이샤 웨이퍼 가열장치
US20080264564A1 (en) * 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US6744212B2 (en) 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
JP2003253449A (ja) * 2002-02-27 2003-09-10 Sumitomo Electric Ind Ltd 半導体/液晶製造装置
JP3840990B2 (ja) * 2002-03-05 2006-11-01 住友電気工業株式会社 半導体/液晶製造装置
US6780787B2 (en) * 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
US20030219986A1 (en) * 2002-05-22 2003-11-27 Applied Materials, Inc. Substrate carrier for processing substrates
US7311797B2 (en) * 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
TWI247551B (en) * 2003-08-12 2006-01-11 Ngk Insulators Ltd Method of manufacturing electrical resistance heating element
US7119032B2 (en) * 2004-08-23 2006-10-10 Air Products And Chemicals, Inc. Method to protect internal components of semiconductor processing equipment using layered superlattice materials
JP4467453B2 (ja) * 2004-09-30 2010-05-26 日本碍子株式会社 セラミックス部材及びその製造方法
US7579067B2 (en) * 2004-11-24 2009-08-25 Applied Materials, Inc. Process chamber component with layered coating and method
JP4590363B2 (ja) * 2005-03-16 2010-12-01 日本碍子株式会社 ガス供給部材及びそれを用いた処理装置
JP2007046141A (ja) * 2005-08-12 2007-02-22 Ngk Insulators Ltd 加熱装置
WO2007023976A1 (ja) * 2005-08-22 2007-03-01 Tocalo Co., Ltd. 耐損傷性等に優れる溶射皮膜被覆部材およびその製造方法
WO2007055185A1 (ja) * 2005-11-08 2007-05-18 Tohoku University シャワープレート及びシャワープレートを用いたプラズマ処理装置
CN100483750C (zh) * 2005-12-15 2009-04-29 无锡尚德太阳能电力有限公司 基于丝网印刷工艺的背面点接触硅太阳电池的制造方法
US20080029032A1 (en) * 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
US7696117B2 (en) * 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
WO2009058235A2 (en) * 2007-10-31 2009-05-07 Lam Research Corporation High lifetime consumable silicon nitride-silicon dioxide plasma processing components
JP5358543B2 (ja) * 2009-09-17 2013-12-04 日本碍子株式会社 セラミックスヒーター及びその製造方法
US8652981B2 (en) * 2010-01-21 2014-02-18 Ceradyne, Inc. Dense silicon nitride body having high strength, high Weibull modulus and high fracture toughness

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001501379A (ja) * 1996-09-30 2001-01-30 ラム リサーチ コーポレイション パーティクル制御方法及びプラズマ処理チャンバー
JP2000026166A (ja) * 1998-07-07 2000-01-25 Kyocera Corp 高純度窒化珪素質耐食性部材及びその製造方法

Also Published As

Publication number Publication date
TW200939285A (en) 2009-09-16
CN101889329A (zh) 2010-11-17
JP5567486B2 (ja) 2014-08-06
WO2009058235A3 (en) 2009-06-18
TWI433199B (zh) 2014-04-01
WO2009058235A2 (en) 2009-05-07
CN101889329B (zh) 2012-07-04
US20110021031A1 (en) 2011-01-27
KR20100099137A (ko) 2010-09-10
US8622021B2 (en) 2014-01-07
JP2011503845A (ja) 2011-01-27

Similar Documents

Publication Publication Date Title
KR101645043B1 (ko) 플라즈마 프로세싱 챔버, 플라즈마 프로세싱 콤포넌트 및 플라즈마 식각 챔버 프로세싱 콤포넌트 제조 방법
EP1145273B1 (en) Low contamination high density plasma etch chambers and methods for making the same
US6123791A (en) Ceramic composition for an apparatus and method for processing a substrate
US8216640B2 (en) Method of making showerhead for semiconductor processing apparatus
KR200476554Y1 (ko) 경사진 상부면을 가진 고온 에지 링
KR100504614B1 (ko) 반도체 처리를 위한 가스 분산장치
JP2008511175A (ja) プラズマチャンバ内部で使用するためのイットリア絶縁体リング
TW201535466A (zh) 電漿處理室中具有能延伸彈性密封件的使用壽命之適當尺寸的邊緣環
JP2003513434A (ja) プラズマリアクターにおけるダイヤモンドがコーティングされたパーツ
JP5043439B2 (ja) 遊離炭素を取り除くために扱われた半導体基板処理装置の炭化シリコン部品
US20220204410A1 (en) Controlled porosity yttrium oxide for etch applications
KR20210033541A (ko) 프로세싱 챔버들을 위한 코팅 재료
JP4623794B2 (ja) アルミナ質耐食部材及びプラズマ装置
KR20230104663A (ko) 플라즈마 프로세싱 챔버를 위한 스파크 플라즈마 소결된 (spark plasma sinter) 컴포넌트
KR20230062621A (ko) 큰 치수의 산화이트륨 소결체

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20190712

Year of fee payment: 4