TWI433199B - 電漿處理構件、其製造方法、具有其之電漿室、及增加腔室零件使用壽命與減少汙染物之方法 - Google Patents
電漿處理構件、其製造方法、具有其之電漿室、及增加腔室零件使用壽命與減少汙染物之方法 Download PDFInfo
- Publication number
- TWI433199B TWI433199B TW097142006A TW97142006A TWI433199B TW I433199 B TWI433199 B TW I433199B TW 097142006 A TW097142006 A TW 097142006A TW 97142006 A TW97142006 A TW 97142006A TW I433199 B TWI433199 B TW I433199B
- Authority
- TW
- Taiwan
- Prior art keywords
- plasma
- chamber
- weight
- tantalum nitride
- substrate
- Prior art date
Links
- 238000012545 processing Methods 0.000 title claims description 74
- 238000000034 method Methods 0.000 title claims description 44
- 238000011109 contamination Methods 0.000 title claims description 17
- 238000004519 manufacturing process Methods 0.000 title claims description 11
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 claims description 67
- 239000000758 substrate Substances 0.000 claims description 64
- 229910052751 metal Inorganic materials 0.000 claims description 44
- 239000002184 metal Substances 0.000 claims description 44
- 238000005245 sintering Methods 0.000 claims description 38
- 239000007789 gas Substances 0.000 claims description 35
- 239000000356 contaminant Substances 0.000 claims description 34
- 238000004140 cleaning Methods 0.000 claims description 20
- 230000008569 process Effects 0.000 claims description 18
- 229910000420 cerium oxide Inorganic materials 0.000 claims description 17
- BMMGVYCKOGBVEV-UHFFFAOYSA-N oxo(oxoceriooxy)cerium Chemical compound [Ce]=O.O=[Ce]=O BMMGVYCKOGBVEV-UHFFFAOYSA-N 0.000 claims description 17
- 238000001020 plasma etching Methods 0.000 claims description 17
- 239000000203 mixture Substances 0.000 claims description 16
- 239000000843 powder Substances 0.000 claims description 15
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 15
- 239000010453 quartz Substances 0.000 claims description 14
- 238000005530 etching Methods 0.000 claims description 13
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 12
- XEEYBQQBJWHFJM-UHFFFAOYSA-N Iron Chemical compound [Fe] XEEYBQQBJWHFJM-UHFFFAOYSA-N 0.000 claims description 12
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 claims description 12
- 238000001513 hot isostatic pressing Methods 0.000 claims description 12
- 238000009832 plasma treatment Methods 0.000 claims description 12
- 239000004065 semiconductor Substances 0.000 claims description 12
- 229910052715 tantalum Inorganic materials 0.000 claims description 11
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 claims description 11
- 239000011777 magnesium Substances 0.000 claims description 10
- FYYHWMGAXLPEAU-UHFFFAOYSA-N Magnesium Chemical compound [Mg] FYYHWMGAXLPEAU-UHFFFAOYSA-N 0.000 claims description 9
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 claims description 9
- 229910052749 magnesium Inorganic materials 0.000 claims description 9
- 229910052788 barium Inorganic materials 0.000 claims description 8
- DSAJWYNOEDNPEQ-UHFFFAOYSA-N barium atom Chemical compound [Ba] DSAJWYNOEDNPEQ-UHFFFAOYSA-N 0.000 claims description 8
- 238000009694 cold isostatic pressing Methods 0.000 claims description 8
- 230000003628 erosive effect Effects 0.000 claims description 8
- OYPRJOBELJOOCE-UHFFFAOYSA-N Calcium Chemical compound [Ca] OYPRJOBELJOOCE-UHFFFAOYSA-N 0.000 claims description 7
- DGAQECJNVWCQMB-PUAWFVPOSA-M Ilexoside XXIX Chemical compound C[C@@H]1CC[C@@]2(CC[C@@]3(C(=CC[C@H]4[C@]3(CC[C@@H]5[C@@]4(CC[C@@H](C5(C)C)OS(=O)(=O)[O-])C)C)[C@@H]2[C@]1(C)O)C)C(=O)O[C@H]6[C@@H]([C@H]([C@@H]([C@H](O6)CO)O)O)O.[Na+] DGAQECJNVWCQMB-PUAWFVPOSA-M 0.000 claims description 7
- WHXSMMKQMYFTQS-UHFFFAOYSA-N Lithium Chemical compound [Li] WHXSMMKQMYFTQS-UHFFFAOYSA-N 0.000 claims description 7
- 229910052791 calcium Inorganic materials 0.000 claims description 7
- 239000011575 calcium Substances 0.000 claims description 7
- 150000002500 ions Chemical class 0.000 claims description 7
- 229910052744 lithium Inorganic materials 0.000 claims description 7
- 229910052708 sodium Inorganic materials 0.000 claims description 7
- 239000011734 sodium Substances 0.000 claims description 7
- VYZAMTAEIAYCRO-UHFFFAOYSA-N Chromium Chemical compound [Cr] VYZAMTAEIAYCRO-UHFFFAOYSA-N 0.000 claims description 6
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 claims description 6
- GYHNNYVSQQEPJS-UHFFFAOYSA-N Gallium Chemical compound [Ga] GYHNNYVSQQEPJS-UHFFFAOYSA-N 0.000 claims description 6
- ZLMJMSJWJFRBEC-UHFFFAOYSA-N Potassium Chemical compound [K] ZLMJMSJWJFRBEC-UHFFFAOYSA-N 0.000 claims description 6
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 claims description 6
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 claims description 6
- HCHKCACWOHOZIP-UHFFFAOYSA-N Zinc Chemical compound [Zn] HCHKCACWOHOZIP-UHFFFAOYSA-N 0.000 claims description 6
- QCWXUUIWCKQGHC-UHFFFAOYSA-N Zirconium Chemical compound [Zr] QCWXUUIWCKQGHC-UHFFFAOYSA-N 0.000 claims description 6
- 238000006243 chemical reaction Methods 0.000 claims description 6
- 229910052804 chromium Inorganic materials 0.000 claims description 6
- 239000011651 chromium Substances 0.000 claims description 6
- 229910052802 copper Inorganic materials 0.000 claims description 6
- 239000010949 copper Substances 0.000 claims description 6
- 229910052733 gallium Inorganic materials 0.000 claims description 6
- 229910052738 indium Inorganic materials 0.000 claims description 6
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 claims description 6
- 229910052742 iron Inorganic materials 0.000 claims description 6
- 229910052759 nickel Inorganic materials 0.000 claims description 6
- 229910052757 nitrogen Inorganic materials 0.000 claims description 6
- 229910052700 potassium Inorganic materials 0.000 claims description 6
- 239000011591 potassium Substances 0.000 claims description 6
- 229910052718 tin Inorganic materials 0.000 claims description 6
- 229910052719 titanium Inorganic materials 0.000 claims description 6
- 239000010936 titanium Substances 0.000 claims description 6
- 229910052720 vanadium Inorganic materials 0.000 claims description 6
- 229910052725 zinc Inorganic materials 0.000 claims description 6
- 239000011701 zinc Substances 0.000 claims description 6
- 229910052726 zirconium Inorganic materials 0.000 claims description 6
- 238000002156 mixing Methods 0.000 claims description 5
- 229910052758 niobium Inorganic materials 0.000 claims description 5
- 239000010955 niobium Substances 0.000 claims description 5
- GUCVJGMIXFAOAE-UHFFFAOYSA-N niobium atom Chemical compound [Nb] GUCVJGMIXFAOAE-UHFFFAOYSA-N 0.000 claims description 5
- 229910052712 strontium Inorganic materials 0.000 claims description 5
- CIOAGBVUUVVLOB-UHFFFAOYSA-N strontium atom Chemical compound [Sr] CIOAGBVUUVVLOB-UHFFFAOYSA-N 0.000 claims description 5
- LFQSCWFLJHTTHZ-UHFFFAOYSA-N Ethanol Chemical compound CCO LFQSCWFLJHTTHZ-UHFFFAOYSA-N 0.000 claims description 4
- 239000002904 solvent Substances 0.000 claims description 4
- 229910052792 caesium Inorganic materials 0.000 claims description 3
- TVFDJXOCXUVLDH-UHFFFAOYSA-N caesium atom Chemical compound [Cs] TVFDJXOCXUVLDH-UHFFFAOYSA-N 0.000 claims description 3
- 239000003989 dielectric material Substances 0.000 claims description 3
- 229910052732 germanium Inorganic materials 0.000 claims description 3
- 239000011521 glass Substances 0.000 claims description 3
- 229910052736 halogen Inorganic materials 0.000 claims description 3
- 150000002367 halogens Chemical class 0.000 claims description 3
- 238000000465 moulding Methods 0.000 claims description 3
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 2
- BCZWPKDRLPGFFZ-UHFFFAOYSA-N azanylidynecerium Chemical compound [Ce]#N BCZWPKDRLPGFFZ-UHFFFAOYSA-N 0.000 claims description 2
- 238000005538 encapsulation Methods 0.000 claims description 2
- 238000001704 evaporation Methods 0.000 claims description 2
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 claims description 2
- 238000011068 loading method Methods 0.000 claims description 2
- 239000001301 oxygen Substances 0.000 claims description 2
- 229910052760 oxygen Inorganic materials 0.000 claims description 2
- 229920000642 polymer Polymers 0.000 claims description 2
- 238000003826 uniaxial pressing Methods 0.000 claims 6
- LEONUFNNVUYDNQ-UHFFFAOYSA-N vanadium atom Chemical compound [V] LEONUFNNVUYDNQ-UHFFFAOYSA-N 0.000 claims 3
- 230000000452 restraining effect Effects 0.000 claims 1
- 235000012431 wafers Nutrition 0.000 description 25
- 239000000463 material Substances 0.000 description 20
- CPLXHLVBOLITMK-UHFFFAOYSA-N Magnesium oxide Chemical compound [Mg]=O CPLXHLVBOLITMK-UHFFFAOYSA-N 0.000 description 18
- 229910004298 SiO 2 Inorganic materials 0.000 description 9
- 239000000395 magnesium oxide Substances 0.000 description 9
- 239000002245 particle Substances 0.000 description 7
- 238000012360 testing method Methods 0.000 description 6
- 229910052782 aluminium Inorganic materials 0.000 description 5
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 5
- 230000008878 coupling Effects 0.000 description 5
- 238000010168 coupling process Methods 0.000 description 5
- 238000005859 coupling reaction Methods 0.000 description 5
- 238000007731 hot pressing Methods 0.000 description 5
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 4
- 238000009472 formulation Methods 0.000 description 4
- 229910002804 graphite Inorganic materials 0.000 description 4
- 239000010439 graphite Substances 0.000 description 4
- 238000001095 inductively coupled plasma mass spectrometry Methods 0.000 description 4
- 229920002120 photoresistant polymer Polymers 0.000 description 4
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 3
- JNDMLEXHDPKVFC-UHFFFAOYSA-N aluminum;oxygen(2-);yttrium(3+) Chemical compound [O-2].[O-2].[O-2].[Al+3].[Y+3] JNDMLEXHDPKVFC-UHFFFAOYSA-N 0.000 description 3
- 229910052787 antimony Inorganic materials 0.000 description 3
- WATWJIUSRGPENY-UHFFFAOYSA-N antimony atom Chemical compound [Sb] WATWJIUSRGPENY-UHFFFAOYSA-N 0.000 description 3
- 238000000280 densification Methods 0.000 description 3
- 229910052707 ruthenium Inorganic materials 0.000 description 3
- 239000000126 substance Substances 0.000 description 3
- GPPXJZIENCGNKB-UHFFFAOYSA-N vanadium Chemical compound [V]#[V] GPPXJZIENCGNKB-UHFFFAOYSA-N 0.000 description 3
- 229910019901 yttrium aluminum garnet Inorganic materials 0.000 description 3
- GRYLNZFGIOXLOG-UHFFFAOYSA-N Nitric acid Chemical compound O[N+]([O-])=O GRYLNZFGIOXLOG-UHFFFAOYSA-N 0.000 description 2
- 239000000919 ceramic Substances 0.000 description 2
- 238000005229 chemical vapour deposition Methods 0.000 description 2
- 239000004020 conductor Substances 0.000 description 2
- 238000005260 corrosion Methods 0.000 description 2
- 230000007797 corrosion Effects 0.000 description 2
- 238000013461 design Methods 0.000 description 2
- YBMRDBCBODYGJE-UHFFFAOYSA-N germanium dioxide Chemical compound O=[Ge]=O YBMRDBCBODYGJE-UHFFFAOYSA-N 0.000 description 2
- 239000012535 impurity Substances 0.000 description 2
- NFFIWVVINABMKP-UHFFFAOYSA-N methylidynetantalum Chemical compound [Ta]#C NFFIWVVINABMKP-UHFFFAOYSA-N 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 229910017604 nitric acid Inorganic materials 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 238000003825 pressing Methods 0.000 description 2
- 229910003468 tantalcarbide Inorganic materials 0.000 description 2
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 1
- 229910000838 Al alloy Inorganic materials 0.000 description 1
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 1
- 239000000654 additive Substances 0.000 description 1
- 230000000996 additive effect Effects 0.000 description 1
- AJXBBNUQVRZRCZ-UHFFFAOYSA-N azanylidyneyttrium Chemical compound [Y]#N AJXBBNUQVRZRCZ-UHFFFAOYSA-N 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- CETPSERCERDGAM-UHFFFAOYSA-N ceric oxide Chemical compound O=[Ce]=O CETPSERCERDGAM-UHFFFAOYSA-N 0.000 description 1
- 229910000422 cerium(IV) oxide Inorganic materials 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 230000003749 cleanliness Effects 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 239000013078 crystal Substances 0.000 description 1
- 230000001419 dependent effect Effects 0.000 description 1
- 229910003460 diamond Inorganic materials 0.000 description 1
- 239000010432 diamond Substances 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 229920001971 elastomer Polymers 0.000 description 1
- 239000000806 elastomer Substances 0.000 description 1
- 230000005611 electricity Effects 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 238000010304 firing Methods 0.000 description 1
- 229940119177 germanium dioxide Drugs 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 230000005596 ionic collisions Effects 0.000 description 1
- 238000005304 joining Methods 0.000 description 1
- 229910052746 lanthanum Inorganic materials 0.000 description 1
- FZLIPJUXYLNCLC-UHFFFAOYSA-N lanthanum atom Chemical compound [La] FZLIPJUXYLNCLC-UHFFFAOYSA-N 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 239000011812 mixed powder Substances 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 229910003465 moissanite Inorganic materials 0.000 description 1
- 230000007935 neutral effect Effects 0.000 description 1
- 238000005121 nitriding Methods 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 239000011148 porous material Substances 0.000 description 1
- 238000001272 pressureless sintering Methods 0.000 description 1
- 229910001404 rare earth metal oxide Inorganic materials 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 238000011160 research Methods 0.000 description 1
- 229910052710 silicon Inorganic materials 0.000 description 1
- 239000010703 silicon Substances 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 238000005979 thermal decomposition reaction Methods 0.000 description 1
- 230000008646 thermal stress Effects 0.000 description 1
- 238000012546 transfer Methods 0.000 description 1
- 230000009466 transformation Effects 0.000 description 1
- 229910052723 transition metal Inorganic materials 0.000 description 1
- 150000003624 transition metals Chemical class 0.000 description 1
Classifications
-
- C—CHEMISTRY; METALLURGY
- C04—CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
- C04B—LIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
- C04B35/00—Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
- C04B35/515—Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics
- C04B35/58—Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics based on borides, nitrides, i.e. nitrides, oxynitrides, carbonitrides or oxycarbonitrides or silicides
- C04B35/584—Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics based on borides, nitrides, i.e. nitrides, oxynitrides, carbonitrides or oxycarbonitrides or silicides based on silicon nitride
- C04B35/593—Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics based on borides, nitrides, i.e. nitrides, oxynitrides, carbonitrides or oxycarbonitrides or silicides based on silicon nitride obtained by pressure sintering
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32458—Vessel
- H01J37/32467—Material
-
- C—CHEMISTRY; METALLURGY
- C04—CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
- C04B—LIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
- C04B2235/00—Aspects relating to ceramic starting mixtures or sintered ceramic products
- C04B2235/02—Composition of constituents of the starting material or of secondary phases of the final product
- C04B2235/30—Constituents and secondary phases not being of a fibrous nature
- C04B2235/32—Metal oxides, mixed metal oxides, or oxide-forming salts thereof, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
- C04B2235/3205—Alkaline earth oxides or oxide forming salts thereof, e.g. beryllium oxide
- C04B2235/3206—Magnesium oxides or oxide-forming salts thereof
-
- C—CHEMISTRY; METALLURGY
- C04—CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
- C04B—LIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
- C04B2235/00—Aspects relating to ceramic starting mixtures or sintered ceramic products
- C04B2235/02—Composition of constituents of the starting material or of secondary phases of the final product
- C04B2235/30—Constituents and secondary phases not being of a fibrous nature
- C04B2235/34—Non-metal oxides, non-metal mixed oxides, or salts thereof that form the non-metal oxides upon heating, e.g. carbonates, nitrates, (oxy)hydroxides, chlorides
- C04B2235/3418—Silicon oxide, silicic acids or oxide forming salts thereof, e.g. silica sol, fused silica, silica fume, cristobalite, quartz or flint
-
- C—CHEMISTRY; METALLURGY
- C04—CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
- C04B—LIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
- C04B2235/00—Aspects relating to ceramic starting mixtures or sintered ceramic products
- C04B2235/70—Aspects relating to sintered or melt-casted ceramic products
- C04B2235/72—Products characterised by the absence or the low content of specific components, e.g. alkali metal free alumina ceramics
-
- C—CHEMISTRY; METALLURGY
- C04—CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
- C04B—LIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
- C04B2235/00—Aspects relating to ceramic starting mixtures or sintered ceramic products
- C04B2235/70—Aspects relating to sintered or melt-casted ceramic products
- C04B2235/74—Physical characteristics
- C04B2235/77—Density
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Ceramic Engineering (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- Manufacturing & Machinery (AREA)
- Physics & Mathematics (AREA)
- Materials Engineering (AREA)
- Structural Engineering (AREA)
- Organic Chemistry (AREA)
- Drying Of Semiconductors (AREA)
- Ceramic Products (AREA)
- Cleaning Or Drying Semiconductors (AREA)
- Chemical Vapour Deposition (AREA)
Description
本申請案在美國專利法35 U.S.C. 119下主張美國臨時申請案第61/001,113號的優先權,標題為「HIGH LIFETIME CONSUMABLE SILICON NITRIDE-SILICON DIOXIDE PLASMA PROCESSING COMPONENTS」,該優先權案於2007年10月31日申請,其整體內容藉由參考文獻方式加以合併。
本發明係關於一種電漿處理構件,尤其係關於一種高使用壽命消耗性氮化矽、二氧化矽電漿處理構件。
電漿處理設備慣於利用下列技術來處理基板,包含:蝕刻、物理氣相沉積(PVD,physical vapor deposition)、化學氣相沉積(CVD,chemical vapor deposition)、離子植入以及光阻移除。用於電漿處理的其中一種電漿處理設備類型包含反應室,此反應室包含上部與下部電極。在該兩電極間由RF(radio frequency)所產生的電漿可產生用以蝕刻晶圓基板以及反應室內之腔室零件的高能離子以及中性物質。
本發明係提供一種增加電漿蝕刻室之清理間隔平均時間以及腔室零件使用壽命的方法。半導體基板在電漿蝕刻室中個別進行電漿蝕刻時,同時使用至少一曝露至離子撞擊及/或游離化鹵素氣體的燒結氮化矽構件。此氮化矽構件係由介於約重量百分比80%與約重量百分比95%之間的高純度氮化矽以及介於約重量百分比5%與約重量百分比20%之間的燒結助劑所組成。此燒結助劑係由高純度二氧化矽所組成。
本發明係提供一種電漿處理室。一基板載台將基板支撐在處理室的內部之中。一燒結氮化矽構件具有鄰接於基板的曝露表面。此構件係由介於約重量百分比80%與約重量百分比95%之間的高純度氮化矽以及介於約重量百分比5%與約重量百分比20%之間的燒結助劑所組成。此燒結助劑係由高純度二氧化矽所組成。一氣體供應源將處理氣體供應至處理室的內部。一能量源將能量供應進入處理室的內部,並且將處理氣體激發成用以處理基板的電漿狀態。在以電漿進行處理時,此構件即將基板表面上的金屬污染物降至最低,以低於100×1010
原子/cm2
。
本發明係提供一種在電漿處理期間減少矽基板表面上之金屬污染物的方法。將一矽基板放置在電漿處理設備之反應室中的基板支撐部上。此電漿處理設備包含一個以上的燒結氮化矽構件。此氮化矽構件係由介於約重量百分比80%與約重量百分比95%之間的高純度氮化矽以及介於約重量百分比5%與約重量百分比20%之間的燒結助劑所組成。此燒結助劑係由高純度二氧化矽所組成。將處理氣體導入反應室內。電漿便從處理氣體產生。該矽基板便以此電漿來進行處理。
本發明係提供一種電漿蝕刻室之處理構件的製造方法,此處理構件在電漿蝕刻室中曝露至離子撞擊及/或電漿沖蝕。將由介於約重量百分比80%與約重量百分比95%之間的高純度氮化矽以及介於約重量百分比5%與約重量百分比20%之間的二氧化矽所組成的粉末組成物加以混合。從該粉末組成物可形成成型構件。同步施加熱與壓力而使該成型構件緻密化。
本發明係提供一種電漿處理構件。此構件包含燒結氮化矽構件,其中此構件係由介於約重量百分比80%與約重量百分比95%之間的高純度氮化矽以及介於約重量百分比5%與約重量百分比20%之間的燒結助劑所組成。此燒結助劑係由高純度二氧化矽所組成。
隨著積體電路裝置在實體尺寸以及操作電壓方面持續縮減,其相關製造良率則變得更容易受到顆粒以及金屬雜質污染的影響。因此,在製造具有更小實體尺寸的積體電路裝置時,其顆粒與金屬雜質污染等級則需低於先前被認為可接受的程度。
積體電路裝置的製造包含電漿蝕刻室的使用,其能夠蝕刻由光阻所界定之選擇層。當施加無線射頻(RF,radio frequency)功率至處理室之一個以上的電極時,處理室係用以接收處理氣體(即蝕刻化學品)。處理室內部的壓力亦為了特定處理而加以控制。在將期望的RF功率施加至電極之後,腔室中的處理氣體會被活化,以致產生電漿。如此產生之電漿則可進行半導體晶圓之選擇層的期望蝕刻。
電漿蝕刻狀態會造成曝露至電漿之處理室表面的巨大離子撞擊。此種與電漿化學品及/或蝕刻副產物結合的離子撞擊會產生處理室之電漿曝露表面的巨大沖蝕(erosion)、腐蝕(corrosion)以及腐蝕-沖蝕(corrosion-erosion)。因此,表面材料會被包含沖蝕、腐蝕以及腐蝕-沖蝕的物理及/或化學侵蝕所移除。此種侵蝕會引起包含零件使用壽命短、增加零件成本、顆粒污染、晶圓上傳送的(on-wafer transition)金屬污染以及處理漂移(process drift)的問題。使用壽命相當短的零件通常被稱為消耗品。假使消耗性零件之使用壽命短時,則持有成本便會提高。消耗品以及其他零件的沖蝕會在電漿處理室中產生顆粒污染。
此外,為了獲得可靠的裝置以及高良率,於積體電路製造期間,控制半導體晶圓表面上的顆粒污染係非常重要的。例如電漿處理設備的處理裝置可也是顆粒污染的一個來源。新世代之半導體技術乃積極降低在電漿室中進行蝕刻操作期間在晶圓表面上的期望金屬污染等級。目前電漿蝕刻反應器之最先進半導體裝置製造對於金屬之晶圓上金屬污染規範為5×1010
原子/cm2
。金屬污染物的範例包含:鋁、鋇、鈣、鈰、鉻、銅、鎵、銦、鐵、鋰、鎂、鎳、鉀、鈉、鍶、錫、鈦、釩、釔、鋅或鋯。
圖1顯示一示範實施例,說明用於電漿處理設備之噴淋頭電極組件10,該電漿處理設備中係處理例如矽晶圓之半導體基板。噴淋頭電極組件10包含一含有上部電極12之噴淋頭電極;固定在上部電極12的支撐構件14;以及熱控制板16。包含下部電極以及靜電箝制電極(例如靜電夾頭)的基板支撐部18(圖1僅顯示其一部分)係位於電漿處理設備之真空處理室中的上部電極12下方。接受電漿處理的基板20被靜電箝制在基板支撐部18的上支撐表面22上。
在說明的實施例中,噴淋頭電極的上部電極12包含內部電極構件24以及可選用的外部電極構件26。內部電極構件24較佳係圓柱形板件(例如由矽所構成的板件)。內部電極構件24可具有小於、等於、或大於待處理之晶圓的直徑,若此板件係由矽所製造時,則例如可大至12英吋(300mm)。在一較佳實施例中,噴淋頭電極組件10係大得足以處理大的基板,例如具有300mm以上之直徑的半導體晶圓。針對300mm的晶圓而言,上部電極12的直徑至少為300mm。然而,噴淋頭電極組件10可依尺寸製作,以處理其他晶圓尺寸或具有非圓形構造的基板。
在說明的實施例中,內部電極構件24係寬於基板20。為了處理300mm的晶圓,而設置外部電極構件26,以將上部電極12的直徑從約15英吋擴充至約17英吋。外部電極構件26可為連續構件(例如連續多晶矽環),或為分段構件(舉例而言,包含排列成環形構造的2-6個分離段部,例如由矽所構成的段部)。在包含多段外部電極構件26之上部電極12的實施例中,這些段部較佳係具有互相重疊的邊緣,以保護下層接合材料免於曝露至電漿。
內部電極構件24較佳係包含多個延伸於其中之氣體流道28,並與形成在支撐構件14內的多個氣體流道30一致,以將處理氣體注入電漿處理室中位於上部電極12與基板支撐部18之間的空間內。支撐構件14包含多個充氣部32,以將處理氣體分配至分別位於內部電極構件24與支撐構件14內的氣體流道28與30。
對於內部電極構件24以及外部電極構件26的電漿曝露表面而言,矽係較佳的材料。高純度的單晶矽可在電漿處理期間使基板的污染降至最低,並且亦可在電漿處理期間平穩磨耗,藉以將顆粒降至最低。可用於上部電極12之電漿曝露表面的替代材料包含例如SiC或AlN。
在說明的實施例中,支撐構件14包含:支撐板34;以及支撐環36,其係圍繞支撐板34的周緣而延伸。在本實施例中,內部電極構件24與支撐板34為共同延伸,而外部電極構件26與環繞的支撐環36為共同延伸。然而,支撐板34可延伸超過內部電極構件24,以致單一支撐板34可用於支撐內部電極構件24以及分段外部電極構件26。內部電極構件24與外部電極構件26較佳係藉由接合材料而接附於支撐構件14。
支撐板34以及支撐環36較佳係由與在電漿處理室中用於處理半導體基板之處理氣體化學性相容、並且可導電與導熱的材料所製造。可用以製造支撐構件14的示範適合材料包含鋁、鋁合金、石墨以及SiC。
上部電極12可利用合適之導熱與導電的彈性接合材料而接附至支撐板34以及支撐環36,此彈性接合材料可適應熱應力,並且可傳遞上部電極12與支撐板34以及支撐環36之間的熱與電能。用以將電極組件之表面接合在一起的彈性體的使用係例如說明於共同擁有的美國專利第6,073,577號中,其整體內容藉由參考文獻方式合併於此。
在用以處理例如300mm晶圓的電容耦合式RF電漿反應器中,除了接地電極以外,吾人亦可使用次級接地(secondary ground)。例如,基板支撐部18可包含被以一種以上頻率供應RF能量的下部電極,處理氣體可透過為一接地上部電極的上部電極12而供應至腔室的內部。位於基板支撐部18之下部電極外部的次級接地可包含一電性接地部,此電性接地部大致沿著包含待處理基板20但被熱邊緣環38所隔開的一平面而延伸。熱邊緣環38可為在電漿產生期間被加熱的導電或半導電材料。
此外,電漿約束環組件40係設置於支撐板34以及支撐環36的外部。電漿約束環組件40以及次級接地可協助將電漿限制在上部電極12與基板支撐部18之間的空間內。用於RF電容耦合式電漿反應器之電漿約束環以及次級接地的詳細討論可參考共同讓與的美國專利第5,534,751以及6,744,212號,茲將上述兩專利案以參考文獻方式加以合併。有了受約束的電漿,腔壁所引起的污染便變得稀少甚或沒有。因此,受約束電漿可提供的潔淨度等級是未約束電漿所無法提供的。例如,約束環組件40可由石英所構成。
圖2A與2B係在圖1中圍繞熱邊緣環38之區域A的放大圖。為了控制在基板20上的蝕刻速率均勻度以及使基板中央的蝕刻速率與基板邊緣的蝕刻速率相配合,基板邊界條件較佳係設計成可確保整個基板之基板邊緣之化學曝露、處理壓力、以及RF場強度的連續性。在目前的設計中,熱邊緣環38用以安裝在基板20的周圍。為了將基板污染降至最低,熱邊緣環38係由能與晶圓本身相容的材料所製造。舉例而言,熱邊緣環材料可包含矽、石墨、碳化矽等等。
熱邊緣環38上覆於耦合環42,此耦合環係位於基板支撐部18的外周緣上。選擇用於耦合環42的材料係用以逐漸減弱基板20邊緣的RF場強度而增加蝕刻速率均勻度。例如,耦合環42可由陶瓷(例如石英)或導電材料所製造。
熱邊緣環覆套44圍繞著熱邊緣環38,此熱邊緣環覆套係由介電材料所構成。熱邊緣環覆套44上覆於聚焦環46,此聚焦環用以將電漿約束在基板20上方的區域內,並且其可由石英所構成。又,接地環覆套48圍繞著熱邊緣環覆套44。接地環覆套48可保護接地延伸部分50免於被電漿攻擊。例如,熱邊緣環覆套44以及接地環覆套48可由石英所構成。接地延伸部分50可由鋁所構成。
如圖2B所示,導電插銷52以及插銷套54可被容納在熱邊緣環38以及耦合環42內。導電插銷52可在電漿處理期間監測基板支撐部18之靜電夾頭的RF偏壓,此用以判定整體的電漿特性。例如,導電插銷52可由碳化矽或其他導電材料所構成。插銷套54圍繞著導電插銷52並且使導電插銷52成為電絕緣。例如,插銷套54可由石英所構成。
石英可被使用作為消耗性零件之內表面的材料。然而,在電漿室之消耗性材料中,石英具有最短的RF使用壽命。消耗性材料的替代品以及相關的電漿室清理間隔平均時間(MTBC,mean time between cleanings)端視其應用而定。現今,使用由Lam Research Corporation(Fremont,California)所製造之2300 EXELAN FLEX介電蝕刻系統之高寬高比接點(HARC,high aspect ratio contact)應用的MTBC被要求替換具有約250RF小時壽命的石英構件。鑑於上述觀點,吾人亟需具有更佳抗沖蝕性且可促進將處理晶圓表面之污染物(例如顆粒以及金屬雜質)降至最少之消耗性零件的高密度電漿處理室。
氮化矽已被證明可作為適用於電漿處理構件的材料,例如用於電漿處理室的氣體分配板、襯墊、以及聚焦環,如共同擁有的美國專利第5,993,594號所述。
此種氮化矽構件可以各種方法加以製造。例如,氮化矽可使用含有高百分比之α-氮化矽的粉末,而在高於1500℃的溫度下進行熱壓製。在此種溫度下進行熱壓製時,α相會轉變成β-變形(β-modification),而轉變以及緻密化(densification)係取決於壓力、溫度以及起始粉末的α/β相比例。用於氮化矽的燒結助劑(sintering aids)可包含MgO、Y2
O3
、CeO2
、ZrO2
、Al2
O3
、Y3
Al5
O12
(釔鋁石榴石,YAG(yttrium aluminum garnet))以及其他可能的稀土氧化物。吾人可藉由例如無壓(pressureless)燒結、熱壓製、熱等靜壓製(HIP-ing,hot isostatic pressing)或氣壓燒結的燒結處理而實現緻密化。相較於經熱等靜壓製的氮化矽,經氣壓燒結的材料可呈現具有高寬高比晶粒的較粗糙結構,而經壓製的材料可具有更細緻、更為等軸(equiaxed)的結構。氣壓燒結可使用上至2MPa的氮氣壓力而實施,於其中氮氣可抑制氮化矽的熱分解,並且可允許待用於緻密化的更高燒結溫度。吾人可藉由在石墨誘導熱模(graphite induction heated dies)中加熱並施加單軸(uniaxial)壓力,也就是在15至30MPa的壓力下、並將溫度控制在至1650℃至1850℃的範圍內持續進行1至4小時,如此而形成熱壓製氮化矽。另一種技術包含在0.1MPa的氮氣壓下,以1700℃至1800℃燒製(firing)已成型的氮化矽構件。另一種技術包含在進行成型之前,將例如MgO或Y2
O3
的添加物添加至矽,接著在氮氣壓下,以約1100℃與約1450℃之間的溫度範圍內進行氮化。雖然金屬氧化燒結助劑(例如MgO)可促進緻密氮化矽構件的形成,但其可能會在電漿處理期間,將不可接受的金屬污染等級引進矽晶圓的表面上。
將由熱壓製所形成之含有約重量百分比1%之MgO燒結助劑的氮化矽構件置入2300 EXELAN FLEX介電蝕刻系統,以判定在電漿處理之後,各種金屬污染物的表面濃度。此種材料為充分緻密並且可藉由將高純度Si3
N4
粉末與含Mg化合物進行掺合,然後在石墨模中以高於1600℃的溫度進行熱壓製而生產。在熱壓製之後,從經熱壓製的毛坯(blank)研磨成這些構件並且加以清理以提供蝕刻室使用。
在2300 EXELAN FLEX介電蝕刻系統中的測試包含在判定矽晶圓表面上的金屬元素含量之前,使具有約重量百分比1%MgO的氮化矽構件置放於電漿環境經過大約50小時。將塗佈空白光阻的矽晶圓曝露至高寬高比接點(HARC)蝕刻配方經過大約5分鐘。關於HARC蝕刻配方,將約100SCCM C4
F8
/約50SCCM C4
F6
/約100SCCM CH2
F2
/約50SCCM O2
/約1000SCCM Ar的氣體混合物輸送至處於約35mTorr至約40mTorr之腔室壓力的處理室。施加介於約5000瓦特與約6000瓦特之間的RF功率,以自C4
F8
/C4
F6
/CH2
F2
/O2
/Ar處理氣體產生電漿。在完成之後,移除測試晶圓並且執行無晶圓自動清理(WAC,waferless autoclean)室的清理配方大約30秒。關於WAC清理配方,係將約300SCCM N2
以及約3000SCCM O2
輸送至具有約600mTorr之腔室壓力的處理室。施加約700瓦特的RF功率,以利用N2
/O2
處理氣體產生電漿,而自處理室的內部移除高分子沉積物。重複此種HARC蝕刻配方接著WAC配方的順序,直到氮化矽構件曝露至電漿環境經過大約50小時為止。由於石英在這些電漿處理條件下容易受到沖蝕,所以選擇該等HARC蝕刻配方以及WAC室清理配方。
在氮化矽構件曝露至電漿環境經過大約50RF小時之後,使空白未塗佈的300mm測試用矽晶圓接受使用HA-RC蝕刻配方的電漿處理經過大約5分鐘。在電漿處理之後,以經稀釋的硝酸(HNO3
)沖洗矽晶圓的表面,並且藉由感應耦合式電漿質譜儀(ICP-MS,inductively coupled plasma mass spectroscopy)來分析各種金屬污染物的表面濃度(原子/cm2
)。圖3顯示在具有MgO燒結助劑之電漿曝露氮化矽基構件的蝕刻系統中,經過電漿處理之300mm空白矽晶圓之各種金屬污染物的表面濃度。
如上所述,除了鋁以外,在矽晶圓表面上的金屬元素污染物含量理想上為5×1010
原子/cm2
以下。吾人可在圖3中觀察到鎂的表面污染物超過100×1010
原子/cm2
。因此,對於某些應用而言,由於矽晶圓的表面具有較高的鎂與其他污染物含量,所以使用具有重量百分比1%之MgO燒結助劑的氮化矽構件會產生不如完全令人滿意的結果。
一種用以降低矽晶圓表面上之金屬污染物的方法係使用沒有故意添加鋁、鋇、鈣、鈰、鉻、銅、鎵、銦、鐵、鋰、鎂、鎳、鉀、鈉、鍶、錫、鈦、釩、釔、鋅或鋯的燒結助劑。吾人已可判定含有作為燒結助劑之高純度二氧化矽的高純度氮化矽基電漿處理構件會使矽晶圓表面上的金屬元素污染物含量降低。
將由熱等靜壓製所形成、含有重量百分比10%之二氧化矽燒結助劑的氮化矽構件置入2300 EXELAN FLEX介電蝕刻系統,以判定在電漿處理之後,各種金屬污染物的表面濃度。用以製造氮化矽構件的處理步驟為:(1)將90重量部(重量百分比%)的高純度氮化矽粉末與10重量部(重量百分比%)的高純度二氧化矽粉末在酒精溶劑中進行掺合;(2)使酒精溶劑蒸發以形成乾粉末混合物;(3)將粉末混合物裝載於模組具中,並且使該混合粉末接受介於約100MPa與約120MPa之間的單軸乾壓製或冷等靜壓製(CIP,cold isostatic pressing),以形成坯體預製件(green body pre-form),即未燒製的陶瓷體;以及(4)以介於約1750℃與約1900℃之間的溫度以及施加介於約175MPa與約225MPa之間的壓力,使用玻璃封裝(glass encapsulation)技術對預製件以大約60分鐘至大約120分鐘的時間進行熱等靜壓製(HIP-ing)。
在單軸乾壓製或冷等靜壓製中,坯體預製件的密度不小於理論密度的45%。在熱等靜壓製之後,根據該氮化矽構件不具孔隙的光學微結構來看,可判定氮化矽構件具有理論密度之約95%以上的密度。含有二氧化矽燒結助劑的氮化矽構件具有低於5000ppm的總金屬污染物,較佳係低於1000ppm,最佳係低於100ppm。金屬污染物包含:鋇、鈣、鈰、鉻、銅、鎵、銦、鐵、鋰、鎂、鎳、鉀、鈉、鍶、錫、鈦、釩、釔、鋅以及鋯。
如上所述,在2300 EXELAN FLEX介電蝕刻系統中的測試包含在判定矽晶圓表面上的金屬元素含量之前,使具有重量百分比10%之二氧化矽燒結助劑的氮化矽構件置放於電漿環境中經過大約50小時。將塗佈空白光阻的矽晶圓曝露至高寬高比接點(HARC)蝕刻配方經過大約5分鐘。在完成之後,移除測試晶圓並且執行無晶圓自動清理(WAC)室清理配方大約30秒。重複此種順序直到氮化矽構件曝露至電漿環境經過大約50小時為止。
在電漿處理之後,以經稀釋的硝酸(HNO3
)沖洗矽晶圓的表面,並且藉由感應耦合式電漿質譜儀(ICP-MS)分析各種金屬污染物的表面濃度(原子/cm2
)。如圖4所示,鎂的表面污染物係低於5×1010
原子/cm2
。此外,雖然鈣、鋰以及鈉超過5×1010
原子/cm2
的污染等級,但這些金屬的含量係低於22×1010
原子/cm2
。比較圖3與圖4,含有10%之SiO2
燒結助劑的氮化矽構件可提供優於含有1% MgO之氮化矽構件的明顯改善。
相較於對應的石英構件,由熱等靜壓製所形成、含有SiO2
燒結助劑的氮化矽構件在曝露至氟碳化物/氫氟碳化物以及氧/氮電漿期間,亦可表現出較優的耐磨耗性。再者,吾人可判定含有約重量百分比10%之SiO2
燒結助劑的氮化矽會表現出最低的磨耗率。吾人可藉由玻璃封裝熱等靜壓製來形成含有約重量百分比5%、約重量百分比10%、約重量百分比20%以及約重量百分比35%之SiO2
燒結助劑的氮化矽基構件(例如插銷套)。在鑽石研磨之後,使此材料接近公差,並且在清理之後,將每一個構件個別置入2300 EXELAN FLEX介電蝕刻系統,並且交替曝露至HARC蝕刻配方大約5分鐘然後至WAC配方大約30秒,直到總電漿曝露為大約18RF小時為止。在進行測試時,插銷套構件會遭遇到離子撞擊及/或游離化的鹵素氣體。如圖5A所示,吾人可藉由量測y方向的尺寸變化而判定插銷套的磨耗率。如圖5A所示,當插銷套的高度已磨耗至新插銷套的50%時更換插銷套。在一實施例中,插銷套的高度可分佈介於約5mm與約15mm之間。
如圖5B所示,含有約重量百分比5%至約重量百分比20%之SiO2
的氮化矽插銷套構件可在電漿處理期間提供改善的磨耗率,低於約8μm/RF小時。石英構件(即100% SiO2
)顯現出的最高磨耗率約13μm/RF小時。然而,含有約重量百分比10%之SiO2
的氮化矽構件可表現出至少減少兩倍的磨耗率,約6μm/RF小時。因此,以含有介於約重量百分比5%與約重量百分比20%之間之SiO2
(例如介於約重量百分比8%與約重量百分比12%之間、介於約重量百分比9%與約重量百分比11%之間,約重量百分比10%)的氮化矽構件替代石英消耗性構件,可令使用壽命從約250RF小時加倍至介於約800RF小時與約1000RF小時之間。
雖然上述內容已參考特定實施例進行詳細說明,但熟習本項技藝者可明白在不離開請求項之範圍的情況下,可進行各種變化以及修改並且利用其等效設計。
10...噴淋頭電極組件
12...上部電極
14...支撐構件
16...熱控制板
18...基板支撐部
20...基板
22...上支撐表面
24...內部電極構件
26...外部電極構件
28...氣體流道
30...氣體流道
32...充氣部
34...支撐板
36...支撐環
38...熱邊緣環
40...電漿約束環組件
42...耦合環
44...熱邊緣環覆套
46...聚焦環
48...接地環覆套
50...接地延伸部分
52...導電插銷
54...插銷套
圖1顯示電漿處理設備之噴淋頭電極組件以及基板支撐部之實施例的一部分;
圖2A-2B顯示電漿處理設備之圍繞熱邊緣環之基板支撐部的一部分;
圖3顯示在包含氮化矽構件以及氧化鎂燒結助劑的處理室中進行電漿處理之後矽晶圓表面上的金屬污染物;
圖4顯示在包含氮化矽構件以及二氧化矽燒結助劑的處理室中進行電漿處理之後矽晶圓表面上的金屬污染物;及
圖5A與5B顯示石英構件以及含有各種含量之二氧化矽以作為燒結助劑之氮化矽構件的磨耗率。
10...噴淋頭電極組件
12...上部電極
14...支撐構件
16...熱控制板
18...基板支撐部
20...基板
22...上支撐表面
24...內部電極構件
26...外部電極構件
28...氣體流道
30...氣體流道
32...充氣部
34...支撐板
36...支撐環
38...熱邊緣環
40...電漿約束環組件
Claims (25)
- 一種增加電漿蝕刻室之清理間隔平均時間以及腔室零件使用壽命的方法,其步驟包含:在該電漿蝕刻室中對個別半導體基板進行電漿蝕刻,同時使用至少一曝露至離子撞擊及/或游離化鹵素氣體的燒結氮化矽構件,該氮化矽構件係由介於約重量百分比80%與約重量百分比95%之間的高純度氮化矽以及介於約重量百分比5%與約重量百分比20%之間的燒結助劑所組成,該燒結助劑係由高純度二氧化矽所組成;其中該氮化矽構件具有低於100ppm之總金屬污染物;且該氮化矽構件已接受單軸壓製或冷等靜壓製,後接熱等靜壓製。
- 如申請專利範圍第1項之增加電漿蝕刻室之清理間隔平均時間以及腔室零件使用壽命的方法,其步驟更包含在對該半導體基板進行電漿蝕刻之前,以該燒結氮化矽構件替代一石英構件。
- 如申請專利範圍第1項之增加電漿蝕刻室之清理間隔平均時間以及腔室零件使用壽命的方法,其步驟更包含在對該半導體基板進行電漿蝕刻之前,以該燒結氮化矽構件替代一氮化矽構件。
- 如申請專利範圍第1項之增加電漿蝕刻室之清理間隔平均時間以及腔室零件使用壽命的方法,其中該構件為下列至少其中之一:插銷套、約束環、熱邊緣環覆套或接地環覆套。
- 如申請專利範圍第1項之增加電漿蝕刻室之清理間隔平均時間以及腔室零件使用壽命的方法,其中該電漿蝕刻的步驟包含使用氟碳化物及/或氫氟碳化物蝕刻氣體,在一介電材料中蝕刻開口。
- 如申請專利範圍第1項之增加電漿蝕刻室之清理間隔平均時間 以及腔室零件使用壽命的方法,其中該金屬污染物包含:鋇、鈣、鈰、鉻、銅、鎵、銦、鐵、鋰、鎂、鎳、鉀、鈉、鍶、錫、鈦、釩、釔、鋅以及鋯;以及該氮化矽構件具有理論密度之約95%以上的密度及/或不具有孔隙。
- 如申請專利範圍第1項之增加電漿蝕刻室之清理間隔平均時間以及腔室零件使用壽命的方法,其中在蝕刻一介電材料時,該清理間隔之平均時間為介於約800 RF小時與約1000 RF小時之間。
- 如申請專利範圍第1項之增加電漿蝕刻室之清理間隔平均時間以及腔室零件使用壽命的方法,其步驟更包含:從該電漿蝕刻室移除該半導體基板;及對該電漿蝕刻室的內部進行電漿清理,其中該電漿清理包含以氧氣及/或氮氣產生電漿,而從該電漿蝕刻室的內部移除高分子沉積物,且該電漿清理係在蝕刻一基板之後而在蝕刻另一基板之前執行。
- 一種電漿處理室,包含:一基板載台,用以將一基板支撐在該處理室的內部之中;一燒結氮化矽構件,具有一鄰接該基板的曝露表面,其中該構件係由介於約重量百分比80%與約重量百分比95%之間的高純度氮化矽以及介於約重量百分比5%與約重量百分比20%之間的燒結助劑所組成,該燒結助劑係由高純度二氧化矽所組成;一氣體供應源,用以將處理氣體供應至該處理室的內部;及一能量源,用以將能量供應進入該處理室的內部,並且將該處理氣體激發成用以處理該基板的電漿狀態,其中在以該電漿進行處理時,該構件使該基板表面上的金屬污染物降至最低,以低於100×1010 原子/cm2 ;其中該構件具有低於100ppm之總金屬污染物;且該構件已接受單軸壓製或冷等靜壓製,後接熱等靜壓製。
- 如申請專利範圍第9項之電漿處理室,其中在以該電漿進行處理時,該構件使該基板表面上的金屬污染物降至最低,以低於50×1010 原子/cm2 ;以及該構件係由介於約重量百分比80%與約重量百分比93%之間的高純度氮化矽以及介於約重量百分比7%與約重量百分比20%之間的燒結助劑所組成。
- 如申請專利範圍第9項之電漿處理室,其中在以該電漿進行處理時,該構件使該基板表面上的金屬污染物降至最低,以低於10×1010 原子/cm2 。
- 如申請專利範圍第9項之電漿處理室,其中在以該電漿進行處理時,該構件使該基板表面上的金屬污染物降至最低,以低於5×1010 原子/cm2 。
- 如申請專利範圍第9項之電漿處理室,其中該金屬污染物包含:鋇、鈣、鈰、鉻、銅、鎵、銦、鐵、鋰、鎂、鎳、鉀、鈉、鍶、錫、鈦、釩、釔、鋅以及鋯。
- 如申請專利範圍第9項之電漿處理室,其中該處理氣體包含氟碳化物及/或氫氟碳化物。
- 一種在電漿處理期間減少矽基板表面上之金屬污染物的方法,其步驟包含:將一矽基板放置在一電漿處理設備之一反應室中的一基板支撐部上,該電漿處理設備包含一個以上的燒結氮化矽構件,該氮化矽構件係由介於約重量百分比80%與約重量百分比95%之間的高純度氮化矽以及介於約重量百分比5%與約重量百分比20%之間的燒結助劑所組成,該燒結助劑係由高純度二氧化矽所組成;將一處理氣體導入該反應室內; 從該處理氣體產生電漿;及以該電漿處理該矽基板;其中該氮化矽構件具有低於100ppm之總金屬污染物;且該氮化矽構件已接受單軸壓製或冷等靜壓製,後接熱等靜壓製。
- 如申請專利範圍第15項之在電漿處理期間減少矽基板表面上之金屬污染物的方法,其中該處理氣體包含氟碳化物及/或氫氟碳化物。
- 如申請專利範圍第15項之在電漿處理期間減少矽基板表面上之金屬污染物的方法,其中該一個以上的氮化矽構件為插銷套、約束環、熱邊緣環覆套或接地環覆套。
- 如申請專利範圍第15項之在電漿處理期間減少矽基板表面上之金屬污染物的方法,其中在以該電漿處理該矽基板之後,該矽基板具有低於5×1010 原子/cm2 的金屬表面濃度。
- 如申請專利範圍第18項之在電漿處理期間減少矽基板表面上之金屬污染物的方法,其中該金屬污染物包含:鋇、鈣、鈰、鉻、銅、鎵、銦、鐵、鋰、鎂、鎳、鉀、鈉、鍶、錫、鈦、釩、釔、鋅或鋯。
- 如申請專利範圍第15項之在電漿處理期間減少矽基板表面上之金屬污染物的方法,其中該矽基板的處理包含蝕刻。
- 一種電漿蝕刻室之處理構件的製造方法,該構件在一電漿蝕刻室中曝露至離子撞擊及/或電漿沖蝕,該方法之步驟包含:將由介於約重量百分比80%與約重量百分比95%之間的高純度氮化矽以及介於約重量百分比5%與約重量百分比20%之間的 二氧化矽所組成的粉末組成物進行混合;從該粉末組成物形成一成型構件;及以熱與壓力的同步施加,使該成型構件進行緻密化;其中該構件具有低於100ppm之總金屬污染物;且該構件已接受單軸壓製或冷等靜壓製,後接熱等靜壓製。
- 如申請專利範圍第21項之電漿蝕刻室之處理構件的製造方法,其中混合該粉末組成物的步驟更包含:在一酒精溶劑中掺合該高純度氮化矽以及該高純度二氧化矽;及使該酒精溶劑蒸發,以形成一乾粉末混合物;其中形成該成型構件的步驟更包含:將該乾粉末混合物裝載於一模組具中;及以介於約100MPa與約120MPa之間的壓力,使該粉末混合物接受單軸壓製或冷等靜壓製,而形成一坯體預製件(green body pre-form);及其中以該熱與壓力的同步施加而使該成型構件進行緻密化的步驟更包含:以介於約1750℃與約1900℃之間的溫度以及介於約175MPa與約225MPa之間的壓力,使用玻璃封裝(glass encapsulation)對該坯體預製件進行熱等靜壓製大約60分鐘至大約120分鐘。
- 如申請專利範圍第22項之電漿蝕刻室之處理構件的製造方法,其中該坯體預製件具有理論密度之45%的最小密度。
- 一種電漿處理構件,包含:一燒結氮化矽構件,其中該構件係由介於約重量百分比80%與約重量百分比95%之間的高純度氮化矽以及介於約重量百分比5%與約重量百分比20%之間的燒結助劑所組成,該燒結助劑係由高純度二氧化矽所組成,且 其中該氮化矽構件具有低於100ppm之總金屬污染物;且其中該氮化矽構件已接受單軸壓製或冷等靜壓製,後接熱等靜壓製。
- 如申請專利範圍第24項之電漿處理構件,其中該構件為插銷套、約束環、熱邊緣環覆套或接地環覆套。
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US111307P | 2007-10-31 | 2007-10-31 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW200939285A TW200939285A (en) | 2009-09-16 |
TWI433199B true TWI433199B (zh) | 2014-04-01 |
Family
ID=40591690
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW097142006A TWI433199B (zh) | 2007-10-31 | 2008-10-31 | 電漿處理構件、其製造方法、具有其之電漿室、及增加腔室零件使用壽命與減少汙染物之方法 |
Country Status (6)
Country | Link |
---|---|
US (1) | US8622021B2 (zh) |
JP (1) | JP5567486B2 (zh) |
KR (1) | KR101645043B1 (zh) |
CN (1) | CN101889329B (zh) |
TW (1) | TWI433199B (zh) |
WO (1) | WO2009058235A2 (zh) |
Families Citing this family (133)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP4833687B2 (ja) * | 2006-02-27 | 2011-12-07 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置 |
US7541094B1 (en) * | 2006-03-03 | 2009-06-02 | Quantum Global Technologies, Llc | Firepolished quartz parts for use in semiconductor processing |
CN101889329B (zh) * | 2007-10-31 | 2012-07-04 | 朗姆研究公司 | 长寿命可消耗氮化硅-二氧化硅等离子处理部件 |
US20090221150A1 (en) * | 2008-02-29 | 2009-09-03 | Applied Materials, Inc. | Etch rate and critical dimension uniformity by selection of focus ring material |
US8869741B2 (en) * | 2008-12-19 | 2014-10-28 | Lam Research Corporation | Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber |
JP2010199475A (ja) * | 2009-02-27 | 2010-09-09 | Tokyo Electron Ltd | プラズマ処理装置のクリーニング方法及び記憶媒体 |
WO2010101191A1 (ja) * | 2009-03-03 | 2010-09-10 | 東京エレクトロン株式会社 | 載置台構造、成膜装置、及び、原料回収方法 |
JP2011003730A (ja) * | 2009-06-18 | 2011-01-06 | Mitsubishi Materials Corp | プラズマ処理装置用シリコンリング |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US8920564B2 (en) * | 2010-07-02 | 2014-12-30 | Applied Materials, Inc. | Methods and apparatus for thermal based substrate processing with variable temperature capability |
JP5787526B2 (ja) * | 2011-01-17 | 2015-09-30 | イビデン株式会社 | 電子部品位置決め用治具 |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
JP5805306B2 (ja) * | 2011-05-06 | 2015-11-04 | オスラム オプト セミコンダクターズ ゲゼルシャフト ミット ベシュレンクテル ハフツングOsram Opto Semiconductors GmbH | 複数の構成素子支持領域を分離する溝構造を備えている構成素子支持体結合体及び複数の構成素子支持体領域の製造方法 |
US8747538B2 (en) * | 2011-09-20 | 2014-06-10 | Chung-Hou Tony Hsiao | Photovoltaic ingot mold release |
US9682398B2 (en) | 2012-03-30 | 2017-06-20 | Applied Materials, Inc. | Substrate processing system having susceptorless substrate support with enhanced substrate heating control |
JP5970268B2 (ja) * | 2012-07-06 | 2016-08-17 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置および処理方法 |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) * | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US9017513B2 (en) * | 2012-11-07 | 2015-04-28 | Lam Research Corporation | Plasma monitoring probe assembly and processing chamber incorporating the same |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US8883029B2 (en) * | 2013-02-13 | 2014-11-11 | Lam Research Corporation | Method of making a gas distribution member for a plasma processing chamber |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US20150001180A1 (en) * | 2013-06-28 | 2015-01-01 | Applied Materials, Inc. | Process kit for edge critical dimension uniformity control |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
JP6422262B2 (ja) * | 2013-10-24 | 2018-11-14 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9580360B2 (en) | 2014-04-07 | 2017-02-28 | Lam Research Corporation | Monolithic ceramic component of gas delivery system and method of making and use thereof |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9478434B2 (en) | 2014-09-24 | 2016-10-25 | Applied Materials, Inc. | Chlorine-based hardmask removal |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10570257B2 (en) | 2015-11-16 | 2020-02-25 | Applied Materials, Inc. | Copolymerized high temperature bonding component |
KR102504290B1 (ko) | 2015-12-04 | 2023-02-28 | 삼성전자 주식회사 | 수소 플라스마 어닐링 처리 준비 방법, 수소 플라스마 어닐링 처리 방법, 및 수소 플라스마 어닐링 장치 |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9852889B1 (en) | 2016-06-22 | 2017-12-26 | Lam Research Corporation | Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
JP7055040B2 (ja) * | 2018-03-07 | 2022-04-15 | 東京エレクトロン株式会社 | 被処理体の載置装置及び処理装置 |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US20200161506A1 (en) * | 2018-11-21 | 2020-05-21 | Osram Opto Semiconductors Gmbh | Method for Producing a Ceramic Converter Element, Ceramic Converter Element, and Optoelectronic Component |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
USD943539S1 (en) | 2020-03-19 | 2022-02-15 | Applied Materials, Inc. | Confinement plate for a substrate processing chamber |
USD979524S1 (en) | 2020-03-19 | 2023-02-28 | Applied Materials, Inc. | Confinement liner for a substrate processing chamber |
US11380524B2 (en) | 2020-03-19 | 2022-07-05 | Applied Materials, Inc. | Low resistance confinement liner for use in plasma chamber |
CN115249606A (zh) * | 2021-04-28 | 2022-10-28 | 中微半导体设备(上海)股份有限公司 | 等离子体处理装置、下电极组件及其形成方法 |
Family Cites Families (41)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS5860676A (ja) * | 1981-09-30 | 1983-04-11 | 日本特殊陶業株式会社 | 窒化珪素焼結体及びその製造方法 |
US4761134B1 (en) * | 1987-03-30 | 1993-11-16 | Silicon carbide diffusion furnace components with an impervious coating thereon | |
DE69130205T2 (de) * | 1990-12-25 | 1999-03-25 | Ngk Insulators, Ltd., Nagoya, Aichi | Heizungsapparat für eine Halbleiterscheibe und Verfahren zum Herstellen desselben |
US5800618A (en) * | 1992-11-12 | 1998-09-01 | Ngk Insulators, Ltd. | Plasma-generating electrode device, an electrode-embedded article, and a method of manufacturing thereof |
JP3066783B2 (ja) * | 1992-11-16 | 2000-07-17 | 東京エレクトロン株式会社 | 電極材料及びそれを用いたプラズマ処理装置 |
JPH08133840A (ja) | 1994-10-31 | 1996-05-28 | Kyocera Corp | 半導体製造装置用セラミックス |
JP2777076B2 (ja) | 1995-01-27 | 1998-07-16 | 京セラ株式会社 | 高周波用低損失誘電体材料 |
US5534751A (en) * | 1995-07-10 | 1996-07-09 | Lam Research Corporation | Plasma etching apparatus utilizing plasma confinement |
US5993594A (en) | 1996-09-30 | 1999-11-30 | Lam Research Corporation | Particle controlling method and apparatus for a plasma processing chamber |
US6120640A (en) * | 1996-12-19 | 2000-09-19 | Applied Materials, Inc. | Boron carbide parts and coatings in a plasma reactor |
US6073577A (en) * | 1998-06-30 | 2000-06-13 | Lam Research Corporation | Electrode for plasma processes and method for manufacture and use thereof |
JP2000026166A (ja) * | 1998-07-07 | 2000-01-25 | Kyocera Corp | 高純度窒化珪素質耐食性部材及びその製造方法 |
US6362110B1 (en) * | 2000-03-30 | 2002-03-26 | Lam Research Corporation | Enhanced resist strip in a dielectric etcher using downstream plasma |
US6890861B1 (en) * | 2000-06-30 | 2005-05-10 | Lam Research Corporation | Semiconductor processing equipment having improved particle performance |
US6506254B1 (en) * | 2000-06-30 | 2003-01-14 | Lam Research Corporation | Semiconductor processing equipment having improved particle performance |
US6620520B2 (en) * | 2000-12-29 | 2003-09-16 | Lam Research Corporation | Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof |
US6805952B2 (en) * | 2000-12-29 | 2004-10-19 | Lam Research Corporation | Low contamination plasma chamber components and methods for making the same |
JP2002226274A (ja) * | 2001-01-25 | 2002-08-14 | Ngk Insulators Ltd | 耐蝕性セラミック材料、その製造方法および半導体製造用製品 |
US6830622B2 (en) * | 2001-03-30 | 2004-12-14 | Lam Research Corporation | Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof |
KR100798179B1 (ko) * | 2001-04-27 | 2008-01-24 | 교세라 가부시키가이샤 | 웨이퍼 가열장치 |
US6744212B2 (en) * | 2002-02-14 | 2004-06-01 | Lam Research Corporation | Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions |
US20080264564A1 (en) * | 2007-04-27 | 2008-10-30 | Applied Materials, Inc. | Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas |
JP2003253449A (ja) * | 2002-02-27 | 2003-09-10 | Sumitomo Electric Ind Ltd | 半導体/液晶製造装置 |
JP3840990B2 (ja) * | 2002-03-05 | 2006-11-01 | 住友電気工業株式会社 | 半導体/液晶製造装置 |
US6780787B2 (en) * | 2002-03-21 | 2004-08-24 | Lam Research Corporation | Low contamination components for semiconductor processing apparatus and methods for making components |
US20030219986A1 (en) * | 2002-05-22 | 2003-11-27 | Applied Materials, Inc. | Substrate carrier for processing substrates |
US7311797B2 (en) * | 2002-06-27 | 2007-12-25 | Lam Research Corporation | Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor |
TWI247551B (en) * | 2003-08-12 | 2006-01-11 | Ngk Insulators Ltd | Method of manufacturing electrical resistance heating element |
US7119032B2 (en) * | 2004-08-23 | 2006-10-10 | Air Products And Chemicals, Inc. | Method to protect internal components of semiconductor processing equipment using layered superlattice materials |
JP4467453B2 (ja) * | 2004-09-30 | 2010-05-26 | 日本碍子株式会社 | セラミックス部材及びその製造方法 |
US7579067B2 (en) * | 2004-11-24 | 2009-08-25 | Applied Materials, Inc. | Process chamber component with layered coating and method |
JP4590363B2 (ja) * | 2005-03-16 | 2010-12-01 | 日本碍子株式会社 | ガス供給部材及びそれを用いた処理装置 |
JP2007046141A (ja) * | 2005-08-12 | 2007-02-22 | Ngk Insulators Ltd | 加熱装置 |
KR101021459B1 (ko) * | 2005-08-22 | 2011-03-15 | 도카로 가부시키가이샤 | 내손상성 등이 우수한 용사 피막 피복 부재 및 그 제조방법 |
JP4993610B2 (ja) * | 2005-11-08 | 2012-08-08 | 国立大学法人東北大学 | シャワープレート及びシャワープレートを用いたプラズマ処理装置 |
CN100483750C (zh) * | 2005-12-15 | 2009-04-29 | 无锡尚德太阳能电力有限公司 | 基于丝网印刷工艺的背面点接触硅太阳电池的制造方法 |
US20080029032A1 (en) * | 2006-08-01 | 2008-02-07 | Sun Jennifer Y | Substrate support with protective layer for plasma resistance |
US7696117B2 (en) * | 2007-04-27 | 2010-04-13 | Applied Materials, Inc. | Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas |
CN101889329B (zh) * | 2007-10-31 | 2012-07-04 | 朗姆研究公司 | 长寿命可消耗氮化硅-二氧化硅等离子处理部件 |
JP5358543B2 (ja) * | 2009-09-17 | 2013-12-04 | 日本碍子株式会社 | セラミックスヒーター及びその製造方法 |
US8652981B2 (en) * | 2010-01-21 | 2014-02-18 | Ceradyne, Inc. | Dense silicon nitride body having high strength, high Weibull modulus and high fracture toughness |
-
2008
- 2008-10-27 CN CN2008801146836A patent/CN101889329B/zh active Active
- 2008-10-27 WO PCT/US2008/012173 patent/WO2009058235A2/en active Application Filing
- 2008-10-27 JP JP2010532026A patent/JP5567486B2/ja active Active
- 2008-10-27 US US12/740,091 patent/US8622021B2/en active Active
- 2008-10-27 KR KR1020107011697A patent/KR101645043B1/ko active IP Right Grant
- 2008-10-31 TW TW097142006A patent/TWI433199B/zh active
Also Published As
Publication number | Publication date |
---|---|
WO2009058235A3 (en) | 2009-06-18 |
KR101645043B1 (ko) | 2016-08-02 |
US8622021B2 (en) | 2014-01-07 |
CN101889329B (zh) | 2012-07-04 |
KR20100099137A (ko) | 2010-09-10 |
US20110021031A1 (en) | 2011-01-27 |
WO2009058235A2 (en) | 2009-05-07 |
JP2011503845A (ja) | 2011-01-27 |
CN101889329A (zh) | 2010-11-17 |
JP5567486B2 (ja) | 2014-08-06 |
TW200939285A (en) | 2009-09-16 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI433199B (zh) | 電漿處理構件、其製造方法、具有其之電漿室、及增加腔室零件使用壽命與減少汙染物之方法 | |
US6352611B1 (en) | Ceramic composition for an apparatus and method for processing a substrate | |
US8293335B2 (en) | Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components | |
TWI279397B (en) | Erosion resistant process chamber components | |
EP1145273B1 (en) | Low contamination high density plasma etch chambers and methods for making the same | |
JP2008511175A (ja) | プラズマチャンバ内部で使用するためのイットリア絶縁体リング | |
JP2003513434A (ja) | プラズマリアクターにおけるダイヤモンドがコーティングされたパーツ | |
US20220204410A1 (en) | Controlled porosity yttrium oxide for etch applications | |
TWI769013B (zh) | 包含鋁酸鎂尖晶石之陶瓷燒結體 | |
JP7567048B2 (ja) | 大寸法の焼結された酸化イットリウム体 | |
KR20230093045A (ko) | 플라즈마 프로세싱 챔버에서 사용하기 위한 마그네슘 알루미늄 옥시나이트라이드 컴포넌트 | |
JP4623794B2 (ja) | アルミナ質耐食部材及びプラズマ装置 | |
US20200270747A1 (en) | Method for fabricating chamber parts | |
JP2005281054A (ja) | 酸化アルミニウム質焼結体及びその製造方法並びにこれを用いた半導体、液晶製造装置部材 |