JP2008511175A - プラズマチャンバ内部で使用するためのイットリア絶縁体リング - Google Patents

プラズマチャンバ内部で使用するためのイットリア絶縁体リング Download PDF

Info

Publication number
JP2008511175A
JP2008511175A JP2007529917A JP2007529917A JP2008511175A JP 2008511175 A JP2008511175 A JP 2008511175A JP 2007529917 A JP2007529917 A JP 2007529917A JP 2007529917 A JP2007529917 A JP 2007529917A JP 2008511175 A JP2008511175 A JP 2008511175A
Authority
JP
Japan
Prior art keywords
ring
yttria
plasma
dielectric
worn
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2007529917A
Other languages
English (en)
Inventor
ババク カドゥホダヤン,
ラージンダー ディーンドサ,
ユエホン フー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2008511175A publication Critical patent/JP2008511175A/ja
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/50Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on rare-earth compounds
    • C04B35/505Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on rare-earth compounds based on yttrium oxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/70Aspects relating to sintered or melt-casted ceramic products
    • C04B2235/72Products characterised by the absence or the low content of specific components, e.g. alkali metal free alumina ceramics
    • C04B2235/725Metal content
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/70Aspects relating to sintered or melt-casted ceramic products
    • C04B2235/72Products characterised by the absence or the low content of specific components, e.g. alkali metal free alumina ceramics
    • C04B2235/728Silicon content
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/70Aspects relating to sintered or melt-casted ceramic products
    • C04B2235/74Physical characteristics
    • C04B2235/77Density
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/70Aspects relating to sintered or melt-casted ceramic products
    • C04B2235/96Properties of ceramic products, e.g. mechanical properties such as strength, toughness, wear resistance
    • C04B2235/9669Resistance against chemicals, e.g. against molten glass or molten salts
    • C04B2235/9692Acid, alkali or halogen resistance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/0203Protection arrangements
    • H01J2237/0206Extinguishing, preventing or controlling unwanted discharges
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49718Repairing
    • Y10T29/49721Repairing with disassembling
    • Y10T29/4973Replacing of defective part

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Manufacturing & Machinery (AREA)
  • Analytical Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Structural Engineering (AREA)
  • Materials Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

装置と接地延長部の間のアーキングを最小限に抑えると共に、平均クリーニング間隔(MTBC)を増加させるために、プラズマ処理装置で使用されるイットリア絶縁体リングが提供される。イットリア絶縁体リングは、エッジリングと接地延長部の間とともに、接地延長部とプラズマ発生区域との間、又は、装置のチャンバの間隙に配置され得る。石英リングと比べて、イットリア絶縁体リングは、反応性が減少され誘電率が増加される結果としてRF結合が改善されるので、半導体基板の均一性も改善することができる。
【選択図】図1A

Description

本発明は、プラズマチャンバ内部で使用するためのイットリア絶縁体リングに関する。
プラズマ処理装置は、エッチング、物理蒸着法(PVD)、化学蒸着法(CVD)、イオン注入及びアッシング又はレジスト除去等の技術によって、半導体基板を処理するために使用される。1つのタイプのプラズマ処理装置は、高周波(RF)容量結合プラズマリアクタを含む。RF容量結合プラズマリアクタは、プラズマが2つの電極間の間隙内で形成され、電極の1つがRF電極であり、他方の電極が接地されている、エッチングプロセスに使用されてもよい。下側電極は、半導体ウエハを取り囲むシリコンのホットエッジ、ホットエッジリングを取り囲む石英の絶縁体リング、ホットエッジリングの下方にある誘電体結合リング、及びプラズマリアクタ内のプラズマに暴露されない1つ又は複数の誘電体結合リング等、様々な導電材料又は誘電材料を含んでもよい。
米国特許第5,534,751号 米国特許公開公報2003/0151371A1 米国特許第6,391,787B1号 米国特許第5,805,408号 米国特許第5,998,932号 米国特許第6,013,984号 米国特許第6,039,836号 米国特許第6,475、336号
プラズマエッチチャンバ等のプラズマチャンバ内に載置されるように構成されたイットリア絶縁体リングが提供される。
また、基板支持体、上側電極及び下側電極を含むプラズマ処理装置であって、上側電極及び下側電極がそれらの間に間隙を形成するように互いに離間して向かい合い、基板支持体が、下側電極、基板支持体表面を形成する静電チャック、静電チャックを取り囲むエッジリング、基板支持体の周辺部上の接地延長部(ground extension)、及び接地延長部の上側表面に重なるイットリア絶縁体リングを含む、プラズマ処理装置が提供される。
さらに、既に使用済みの絶縁体リングをプラズマチャンバから除去する工程と、絶縁体リングをイットリア(Y)のみから成る絶縁体リングと交換する工程とを含む、プラズマチャンバ内の絶縁体リングを交換する方法が提供される。
さらに、半導体基板をプラズマチャンバ内に装填する工程と、プロセスガスをプラズマチャンバの内部に供給し、プロセスガスをプラズマ状態に励起し、半導体基板にプラズマエッチングを施す工程と、半導体基板をプラズマチャンバから除去する工程とを含む、イットリアのみから成る絶縁体リングを収容するプラズマチャンバ内で半導体基板にプラズマエッチングを施す方法が提供される。
半導体基板の大きさが増大するにしたがって、プロセス均一性に対する要件に対応し、チャンバ内で使用される消耗部品に関する問題に対応するために、プラズマ処理チャンバの設計における改善が必要とされる。例えば、ウエハの大きさが増大するにしたがって、ウエハ全体にわたって均一なエッチングを達成することがより困難になり、特に、ドープされた又はドープされていない酸化シリコン、例えば、二酸化シリコン、フッ素化酸化シリコン(FSG)、リン酸ホウ素シリケートガラス(BPSG)、リン酸シリケートガラス(PSG)、TEOS蒸着酸化シリコン、有機及び無機の低誘電率材料等の誘電材料にエッチングを施すことが一層困難である。そのようなウエハ材料にエッチングを施すため、プロセスガスをプラズマ状態に励起する電極に供給される電力レベルを増加させることが必要な場合があり、その結果、消耗部品をより頻繁に交換することが必要となり、ウエハ全体にわたるエッチング速度均一性に悪影響を及ぼす可能性がある。
300mmウエハ等の大型基板を処理するRF容量結合プラズマリアクタでは、接地電極に加えて第2のアースも使用されうる。例えば、基板支持体は、1つ又は複数の周波数でRFエネルギーが供給される下側電極を含むことができ、プロセスガスは、接地された上側電極であるシャワヘッド電極を介してチャンバの内部に供給することができ、また、接地延長部は下側電極の外側に配置することができる。第2のアースは、処理される半導体を含む平面内で一般に延びるが、エッジリングによってそれから分離されている、電気的に接地された部分を含むことができる。エッジリングは、プラズマ発生の間加熱されるようになる導電性又は半導電性の材料のもの、すなわちホットエッジリング(hot edge ring)であることができる。さらに、プラズマ閉じ込めリングアセンブリをシャワヘッド電極の外側に提供して、上側電極と下側電極の間の間隙にプラズマを閉じ込める助けとすることができる。第2のアースは、プラズマ閉じ込めリングアセンブリが間隙内にプラズマを閉じ込めるのを助けることができる。RF容量結合プラズマリアクタで使用されるプラズマ閉じ込めリング及び第2のアースの詳細な説明は、同一出願人による米国特許第5,534,751号及び米国特許公開公報2003/0151371A1に見出すことができ、これらの両方を参考文献として本明細書に組み込む。
以下の詳細な説明では、本願の一部を形成する添付の図面が参照される。図面は、例示のため、本発明が実施されてもよい特定の実施形態を示す。他の実施形態が利用されてもよく、本発明の範囲から逸脱することなく構造上の変更が行われてもよいことが理解されるべきである。
一般的には、プラズマリアクタの真空チャンバの壁は、処理されている半導体基板と親和性のない材料で作られている。閉じ込めプラズマのため、チャンバの壁によって引き起こされる汚染はほとんど又は全くない。したがって、閉じ込めプラズマは、閉じ込められていないプラズマでは提供されないレベルの清浄度を提供する。300mmのエッチング用途のために閉じ込めプラズマを発生させることは、エッチングプロセスの間に適用されるRF電力がより高く、ガス流量速度がより高いため、困難である。以下の実施形態は300mmの用途に適用可能であるが、本明細書に記載される装置及び方法は300mmの用途に限定されないことが、本開示の利益を有する当業者には理解されるであろう。本明細書に記載される装置及び方法は、高いRF電力レベルを用いる高いガス流量環境でのプラズマの閉じ込めを必要とする用途に使用されるように構成されてもよい。高いガス流量速度とは約1500sccm以上の流量速度を指し、高いRF電力レベルとは、プラズマ体積が約2W/cm以上の電力レベルを指す。
好ましい一実施形態では、プラズマ処理装置は、RF発生器に動作可能に結合された第1電極、第2電極、少なくとも1つの閉じ込めリング、及びプラズマ境界から電荷を排出させるための接地延長部を含む。プラズマ処理装置は、プラズマ処理装置によってプラズマ状態に変換されたガスを受け入れるように構成される。例示としてであって限定するものではないが、プラズマ処理チャンバに送り込まれるガス流量速度は、1500sccm以上であることができる。あるいは、チャンバ内へのプロセスガスの流量速度は1500sccm未満であることができる。
第1電極は、好ましくは、半導体基板を受け入れるように構成され、基板を支持するように構成された関連する第1電極領域を有する。第1電極は、好ましくは、RF電力を第1電極に供給する少なくとも1つの電源に動作可能に接続される。第2電極は、プラズマが発生される間隙によって第1電極から分離される。第2電極は、第1電極に供給されるRF電力のための完全な電気回路を提供するように構成される。さらに、第2電極は、第1電極領域とは大きさが異なっていてもよい第2電極領域を有する。好ましい一実施形態では、第2電極領域は第1電極領域よりも大きい。プラズマ処理装置内でプラズマを発生させるために、RF電力が第1の電極に供給され、その結果、プロセスガスが次に、半導体ウエハを処理するためプラズマ状態に変換される。例示としてであって限定するものではないが、プラズマ体積2W/cm以上のRF電力レベルを印加することができ、又は、RF電力レベルはプラズマ体積2W/cm未満であることができる。少なくとも1つの閉じ込めリングが、第1電極領域及び第2電極領域の近傍に設けられ、少なくとも1つの閉じ込めリングはプラズマを間隙内に閉じ込めるのを助けるように構成される。
接地延長部は、第1電極に隣接し、1つ又は複数の誘電体フィラーリング(filler ring)等の誘電材料によって第1電極から分離される。接地延長部は、プラズマ境界から電荷を排出し、閉じ込めウィンドウ(confinement window)を増大させることができる接地された導電性表面を含む。用語「閉じ込めウィンドウ」は、閉じ込めプラズマをその中で維持することができるプロセスパラメータ空間を指す。特に、プラズマの封じ込められた動作が可能なRF電力及びガス流量の範囲を指す。接地延長部は、同一出願人による米国出願2003/0151371で説明されるように、様々な構成を有することができる。好ましくは容量結合が処理チャンバ内でプラズマを発生させるのに使用されるが、本発明の装置及び方法は、誘導結合プラズマ発生に使用されるもの等、他のプラズマ発生源に使用されるように構成されてもよいことが、当業者には理解されるであろう。好ましい容量結合システムは、多周波電源を利用して、プラズマを発生させるためにガスに印加される高電位を生成する。例えば、電源は、ラム リサーチ コーポレーション製のエッチングシステムに含まれる、2MHz及び27MHzで動作する二周波電源であることができる。処理チャンバ内でプラズマを発生させることができる他の電源も使用することができ、また、RF電源は2MHz及び27MHzのRF周波数に限定されず、広範囲の周波数に適用可能であってよいことが、当業者には理解されるであろう。
好ましい一実施形態では、第2電極は、第1電極と協働して、プロセスガスを励起し処理チャンバ内でプラズマを発生させるように構成された、「接地」電極である。ただし、第2電極におけるバイアス電圧の減少と、第1の「電力供給された」電極におけるバイアス電圧の増加とを達成するために、第2電極は、第1の電力供給された電極よりも少ないRF電力を伝達するように構成することができる。例示としてであって限定するものではないが、第2電極は、シリコン又は炭化シリコン等の導電性材料から成ることができ、また、第2電極は第1電極から10〜50mmのところに配置することができる。一実施形態では、接地延長部は、導電性材料から作られ、1つ又は複数の誘電体フィラーリングによって第1電極から分離される。接地延長部は、好ましくはアルミニウム又はシリコン等の導電性材料から成り、フィラーリングは石英から成ることができる。1つ又は複数の閉じ込めリングは、閉じ込めリングによって規定される体積までプラズマを閉じ込めるのに使用することができる。例示として、閉じ込めリングは石英から成ることができる。接地された第2電極は、電力供給された第1電極よりも大きな表面領域を有することができる。領域比、すなわち、第2電極領域を第1電極領域で割ることにより規定される比が、電力供給されたRF電極にクランプされる基板上のバイアス電圧を決定するため、プラズマが含まれると仮定される。接地された第2電極と電力供給された第1電極との領域比が大きいほど、電力供給された第1電極におけるバイアス電圧は大きい。また、領域比が増加されると、接地された第2電極上の、及び特に閉じ込めリングにおけるバイアス電圧は減少する。閉じ込めリングにおけるバイアスが低減されることにより、閉じ込めリングをプラズマと同じ電位まで荷電することができ、したがってプラズマをリングからより良好に遠ざけることができるようになる。したがって、接地延長部を電力供給された第1電極の近傍に設けることと、第1電極に対して接地された第2電極の表面領域を増大させることとを組み合わせることで、プラズマ処理チャンバにおける閉じ込めウィンドウの大きさが増加される。
容量結合プラズマリアクタ内の半導体基板を処理する場合、プラズマを上側電極と下側電極の間の間隙に閉じ込めることが望ましい。300mmウエハ等のより大型の基板を処理する場合、接地延長部は好ましくは静電チャックの外側に配置され、エッジリングは静電チャックと接地延長部の間に配置されてもよい。プラズマを発生させ、基板上でバイアスを形成するために、高周波及び低周波が使用される二周波プラズマチャンバでは、2つの周波数の量を変化させることにより、上側電極と接地延長部とを結合する。
接地延長部へのこのRF結合は、基板上のエッチング均一性に影響する。接地延長部がエッジリングに非常に近接しているので、絶縁体リングの形態の誘電材料を使用して、接地延長部を覆い、エッジリングと接地延長部の間の電圧ブレークダウンすなわちアーキングを防ぐことができる。この絶縁体リングは、さらに、プラズマによる侵食から接地延長部を保護する役割を果たすことができる。
石英の絶縁体リングが、このアーキング及び汚染を最小限に抑えるのに使用されてもよい。石英を含む誘電性絶縁体リングは、プラズマチャンバ内にある消耗材料の中で最も短いRF寿命を有する。プラズマチャンバにおける消耗材料の交換及び関連する平均クリーニング間隔(MTBC)は、用途によって異なる。現在、本願の譲受人であるラム リサーチ コーポレーション製の、2300Exelan(商標)プラズマチャンバを使用する高アスペクト比接触の用途(HARC)におけるMTBCは、215RF時間での石英の絶縁体リングの交換によって表される。
プラズマ処理装置では、MTBCは、チャンバを開け、製品を取り出さなければならない時までに、どれだけのサイクルを実行できるかを決定するために使用することができる。このように、MTBCを延長するために、RF寿命がより長い誘電性絶縁体リングが本明細書で提供される。
単一のウエハが下側電極上で支持され、ウエハと電力供給又は接地されたシャワヘッド電極等の上側電極との間の間隙でプラズマが発生される、プラズマチャンバ内における半導体ウエハの処理の間、ウエハの縁部領域でのプラズマ処理は、エッジリング配列等の基板支持体部品、及び/又は基板支持体上に配置された誘電性絶縁体リング等の、エッジリング配列を取り囲む部品の影響を受けることがある。ウエハ縁部近傍におけるRFエネルギーのプラズマに対する容量結合の強度は、プラズマと下側電極の間に位置する材料の誘電率及び厚さに正比例する。キャパシタンスを増加させることによって、RF結合を増加させることができる。それは、キャパシタンスc=ε・k・A/dであるためであり、式中、εは普遍定数(8.85・10−12)、kは材料の誘電率、Aは誘電材料の断面積、dは誘電材料の厚さである。したがって、キャパシタンスを増加させるために、誘電率を増加させることができ、かつ/又は、厚さを減少させることができる。その結果、ある特定の絶縁体リング設計において、同じ厚さ及び領域であってより高い誘電率の材料を使用することにより、キャパシタンスを増加させることができる。絶縁体リングにより高い誘電率の材料を使用することにより、半導体基板縁部の近傍におけるRFエネルギーのプラズマに対する容量結合の強度を増加させ、それによってエッチング速度等の処理速度を増加させることが可能である。したがって、より高い誘電率を有する絶縁体リング材料は、半導体基板の縁部におけるエッチング速度を増加させ、処理された半導体基板のエッチング速度均一性を増加させることができる。
イットリアの誘電率は約11であるが、石英の誘電率はわずか約3.5である。したがって、イットリアのみで作られた誘電体リングを使用することで、石英の誘電体リングを使用するのに比べて、イットリアリングで覆われた接地延長部に対するRFの結合を大幅に改善することができる。接地延長部に対するRFの結合が改善されることで、間隙内でのプラズマ閉じ込めが改善され、ウエハ基板の縁部におけるエッチング速度が増加される。ウエハの縁部におけるエッチング速度のこの増加により、ウエハ基板全体にわたる限界寸法及びエッチング速度均一性を改善することができる。
図1A〜Bでは、容量結合プラズマリアクタの形態のプラズマ処理装置が提供される。図1Aでは、容量結合プラズマリアクタは、プラズマチャンバ100、上側シャワヘッド電極200(開示全体が本明細書に参照されることによって組み込まれる同一出願人による米国特許第6,391,787B1号に開示される、段付きシャワヘッド電極等)、基板支持体300、及び閉じ込めリング配列400を含む。
図1A中の1Bの拡大部分である図1Bでは、基板支持体は、環状スリーブ500及びスリーブ500の上の薄い環状リング510を備えた接地延長部、導電性リング510の上側表面を覆う誘電性絶縁体リング600、誘電性絶縁体リング600の間に位置するエッジリング700、エッジリングの下にある任意の結合リング(図示なし)、絶縁体フィラーリング800,810、下側電極310、及び静電チャック(ESC)310を含む。
エッジリング700は、導電性材料のもので、下側電極310の外縁部と接触させて配置することができる。エッジリング700は、プラズマエッチチャンバ100内のプラズマに対するより均一な接地を提供するために、任意の形状、好ましくは対称的な形状にしてもよい。例えば、図2Aに示すように、矩形の断面を備えたエッジリング710が使用されてもよい。しかし、エッジリングは、例えば図2B(並びに図1A及び1B)に示すように、任意の所望の構成を有することができ、1つ(又は複数)のフランジを備えたエッジリング720を使用してもよく、その際、1つ又は複数のフランジの配向並びにエッジリングの長さ及び幅が提供されてもよい。
エッジリング700は、好ましくはシリコン及び炭化シリコン等の導電性材料で作られる。さらに、エッジリング700はプラズマに直接暴露されるので、プラズマの汚染を最小限に抑えるために、単結晶シリコン、多結晶シリコン、CVD炭化シリコン等純度の高い材料を使用することが望ましい。しかし、エッジリングは、石英、酸化アルミニウム、窒化アルミニウム、窒化シリコン等のような他の材料で作ることができる。エッジリング及びフォーカスリングに関するさらなる説明は、同一出願人による米国特許第5,805,408号、同第5,998,932号、同第6,013,984号、同第6,039,836号、及び同第6,475,336号に見出すことができ、これらを参照することにより本明細書に組み込む。
接地延長部500は、好ましくは、絶縁体800を取り囲む環状の軸方向に延びる部分508、並びに、絶縁体800及び810に重なり、エッジリングによって基板Wの外縁から分離される横方向に延びる部分510を含むように構成される。接地延長部500及び閉じ込めリング配列400は、協働してプラズマを間隙100内に閉じ込める。接地延長部500は、下側電極310の直上のプラズマ電荷密度に影響を及ぼすことなくプラズマから電荷を排出させることにより、プラズマを閉じ込める。接地延長部の他の実施例は、同一出願人による米国特許公開公報2003/0151371A1において提供され、その開示全体を参照することにより本明細書に組み込む。
接地延長部500は、好ましくは、アルミニウム、シリコン、炭化シリコン等のような導電性材料である。例えば、アルミニウムは、導電率が高く比較的低価格のため、使用されてもよい。しかし、接地延長部がアルミニウムで作られている場合、接地延長部500は、間隙内でプラズマと化学反応し、腐食性プロセスガス及び/又はプラズマ種中の不純物の原因となり、処理された半導体基板の汚染につながる恐れがある。
アルミニウムの接地延長部500(又は他のプラズマ反応性材料)とプロセスガス/プラズマ種の間のこの反応は、誘電性絶縁体リング600を使用してアルミニウムの接地延長部500をプラズマから絶縁することによって、最小限に抑えることができる。このように、誘電体リング600を使用して、接地延長部500がプラズマチャンバ100内でプラズマに暴露されないように保護することにより、半導体基板の汚染を最小限に抑えることができる。
上述したように、また図1A及び1Bに示すように、誘電体リング600を使用して、エッジリング700を接地延長部500から分離し、接地延長部500をプラズマチャンバ100内でプラズマから化学的に隔離し、結果として、エッジリング700と接地延長部500の間のアーキングと、接地延長部500とプラズマチャンバ100内のプロセスガス/プラズマ反応性種との化学反応とを最小限に抑えることができる。したがって、誘電体リング600は、好ましくは、エッジリング700と接地延長部500の外縁の間の領域を埋める程の大きさとされ、より好ましくは、誘電体リング600は接地延長部500の上側表面全体を覆う程の大きさとされる。
イットリアのみで作られた誘電体リング600は、プラズマエッチングに使用されるフッ素含有ガスに対して比較的不活性であり、高い誘電率を有する。石英と比べて、イットリアはいくつかの利点を有する。第一に、イットリアは石英よりも高いスパッタ閾値エネルギーを有し、したがって耐スパッタ性がより高い。第二に、イットリアは、フッ素の化学作用による揮発性種を形成しない傾向があり、したがって、イットリア誘電体リングはより長持ちし、誘電体リングの交換の間の平均時間がより長くなり、それにより装置のMTBCを増加させることができる。第三に、石英が約3.5の誘電率を有する一方で、イットリアは11程度のより高い誘電率を有するため、イットリアのより薄いリングを使用し、接地延長部500とプラズマの間の所望のRF結合を得ることができる。
リング600にイットリアを使用する別の利点は、フッ素含有プロセスガスをより有効に使用することができる点である。すなわち、フルオロカーボンプロセスガスが石英誘電体リングと共に使用される場合に揮発性化合物が形成されるため、ウエハの縁部におけるフッ素種の集中が除去され、その結果、イットリアリングを使用する場合に比べて、縁部のエッチング速度が遅くなり、ウエハ基板全体にわたるエッチングの均一性に欠ける場合がある。イットリアリングは、石英誘電体リングよりも耐スパッタ性が高く、容易にはフッ素化合物を形成しないので、イットリアリングを使用することで、より化学的に均一なプラズマが得られ、それがさらに、ウエハ基板全体にわたって限界寸法及びエッチング速度均一性を改善することができる。
さらに、一般に反応性がより低いため、イットリアリング600はまた、石英誘電体リングと不適合か石英誘電体リングを過度に侵食することがある様々なプロセスガスと共に使用されてもよい。例えば、イットリアリングを含むプラズマ処理装置内の例示的なプロセスガスは、Ar、O、並びに酸化シリコン等の材料をエッチングするためのC、C、及びCHFなどのフルオロカーボンを含んでもよい。
プラズマエッチチャンバ内でイットリアリング600を使用する例示的なプロセスにおいて、エッチガスは、50ミリtorrのチャンバ圧力で、300標準立方センチメートル毎分(sccm)のAr、12sccmのO、及び20sccmのCを含むことができ、半導体基板上の酸化シリコン層にエッチングを施す間、3キロワットのRF電力を上側電極及び/又は下側電極に供給することによって、プラズマが発生される。さらに、2MHz、13.5MHz、27MHz、40MHz、60MHz、及び100MHzのRF周波数が、好ましくは、プラズマ処理装置内のプラズマ発生電極に印加されてもよい。
イットリア絶縁体リングは、容量結合、誘導結合、マイクロ波、マグネトロン、又は他の技術によってプラズマが発生される、任意のプラズマチャンバ内で使用されてもよい。イットリア絶縁体リングは、プラズマチャンバ内の元々の装置として、又は別のプラズマチャンバ内の誘電体リングのための交換部品として使用されてもよい。エッチングに加えて、イットリアリングは、プラズマPVD、CVD、イオン注入等のためのチャンバ内で使用されてもよい。
イットリア絶縁体リングは、好ましくは、対向する表面の間を延びるイットリアマトリックスを含む。イットリア絶縁体リングは、好ましくは、50重量%を超えるイットリア、より好ましくは90重量%を超えるイットリア、最も好ましくは99重量%を超えるイットリアを含む。さらに、イットリア絶縁体リングは、好ましくは、1000ppm未満、より好ましくは500ppm未満の、シリコン、アルミニウム、カルシウム、鉄、及び/又はジルコニウム等の不純物を含有する。例えば、1つの好ましいイットリア絶縁体リングは、99%以上のイットリアを含み、4.5g/cmを超える密度、好ましくは4.75g/cmを超える密度を有する。1つの適切なY材料は、コロラド州アレーダ(Arada)のCustom Technical Ceramics,Inc.から入手可能であり、その材料は、不純物として20ppmのLa、10ppmのPr11、8ppmのNd、50ppm未満の他の希土類酸化物、40ppmのSi、30ppmのCa、18mmのFe、1ppm未満のCu、3ppmのNi、1ppm未満のMg、2ppmのPdを含む、純度99.9%の酸化イットリウムであり、鋳込み成形によってバルク体で提供される。好ましい絶縁体リングは、例えば、不純物の総量が500ppm未満である99.9重量%以上のイットリアの熱蒸着又は焼結されたイットリアリングを含む。イットリア絶縁体リングは、CVD、スパッタリング、焼結等を含む任意の適切な技術によって作成することができる。
腐食速度を測定するのに使用されるクーポン試験では、99.9重量%以上のイットリアを含むイットリア絶縁体リングは、石英誘電体リングのRF寿命の少なくとも約5倍、恐らくは10倍程度のRF寿命を有すると予想されることが示された。したがって、エッジリング等の他の消耗部品はより短いRF寿命を有する場合があるので、プラズマ処理装置内でイットリア絶縁体リングを使用することにより、絶縁体リングは、そのようなプラズマ処理装置の整備のためのダウンタイムを決定する要因から除外されることができる。
イットリア絶縁体リング600は、好ましくは、円形リング、長円形リング等の対称的な形状を有する。イットリアリング600及びエッジリング700の形状はまた、誘電体リング600及びエッジリング700の隣接表面間の幾何学的境界面を提供するように構成されてもよい。例えば、図1Bに示すように、エッジリング700は、リング600よりも厚く、誘電体リング600に向かって延びるテーパー面を有してもよい。あるいは、イットリアリング600は、例えば、図3A〜Cに示すように、段付き形状610、テーパー形状620、又は丸みを付けた形状630で形作られてもよい。
イットリア絶縁体リング600は、好ましくは、接地延長部500を装置の他の部分から絶縁するようにサイズ決めされる。例えば、図1Bに示すように、イットリアリング600は、好ましくは、エッジリング700の外側の接地延長部500の上側表面を覆うようにサイズ決めされる。イットリアリング600は、接地延長部500の1つ又は複数の表面を覆って、接地延長部を装置の他の部分から電気的かつ化学的に隔離するようにサイズ決めされることが好ましい。
さらに、イットリアリング600は、好ましくは、ウエハ等のプラズマチャンバ内で処理される基板の外径と少なくとも同じ大きさの内径を有する。固体のイットリア誘電体リング600の外径は、好ましくは、接地延長部500及びプラズマチャンバの幅を含むプラズマ処理装置の設計によって変わる。イットリアリング600の厚さは、チャンバの設計及び/又はその中で行われるプロセスに適合するよう構成され得る。例えば、リング600は、その上側表面がリング700の上側表面と合致するように、均一な又は不均一な厚さを有することができる。リング600の一部が誘電体部分800,810に接触する場合、リング600は、より厚い部分が部分800,810に重なり、より薄い部分が接地延長部500,510に重なるように、段付きにされてもよい。
非限定的な例として、2300Exelan(商標)プラズマエッチチャンバで使用されるイットリアリング600は、好ましくは、対応する8〜12インチ(200〜300mm)のウエハに対して、それぞれ約8〜12インチ(200〜300mm)の内径及び9〜14インチ(228〜356mm)の外径で、また約0.1〜0.2インチ(2.5〜5mm)の均一又は不均一な厚さにサイズ決めされる。
イットリアリング600は、多部分から成るリング、例えば少なくとも2つの部品リング(component ring)であってもよく、部品リング間に、恐らくは重なり合いかつ任意に噛合するセグメントを有し、部品リングは、直径が異なる同心のリング又は重なり合ったリングであってよい。例えば、図1Bに示すように、イットリアリング600は、縁部が重なり合った2つの同心のリング、すなわち噛合部分603を有する内側部品リング601及び外側部品リング602を有する。そのような設計により、例えば、内側の、すなわち小さな方の部品リング601の交換が必要な場合に、外側の、すなわち大径の部品リング602を交換する必要なしに、交換することができるようになる。内側部品リング601は、噛合部分603の位置によって、外側部品リング602よりも間隙内のプラズマにより暴露されることがあるので、外側部品リング602は、内側部品リング601と同程度の速さでは劣化しない傾向にある。少なくとも2つの部品リング601及び602を含む誘電体リング600を使用することにより、例えば、交換しなければならないのがより腐食された部品リング601のみなので、結果として、コストを削減することができる。
イットリアリング600には、プラズマ処理半導体基板におけるいくつかの利点がある。第一に、プラズマ処理の間の、シリコンウエハ等の基板の縁部近傍におけるプラズマ密度の局所的な向上又は増大が可能になる。さらに、ウエハの中央におけるエッチング速度等の他のエッチング特性に著しい影響を及ぼすことなく、エッチング均一性を最適化することができる。ウエハ処理の場合、プラズマを介して局所的な電力結合を変えることにより、ウエハの縁部近傍のエッチング速度を制御することができる。すなわち、イットリア絶縁体リングを使用することにより、より多くのRF電流が、ウエハの縁部近傍の領域においてプラズマを介して結合される。イットリアリングは、また、より均一なプラズマ密度を維持しながら、ウエハ縁部領域におけるイオンのエネルギーを増加させる助けとなることができる。
本明細書に具体的に記載されていない追加、削除、変更及び置換えを、添付の請求項の趣旨及び範囲から逸脱することなく行うことができることが、当業者には理解されるであろう。
絶縁体リングを含むプラズマ処理装置の好ましい一実施形態の図である。 図1Aの部分拡大図である。 エッジリングの好ましい実施形態の断面図である。 エッジリングの好ましい実施形態の断面図である。 絶縁体リングの好ましい実施形態の断面図である。 絶縁体リングの好ましい実施形態の断面図である。 絶縁体リングの好ましい実施形態の断面図である。

Claims (22)

  1. イットリアマトリックスを備えたイットリア絶縁体リングであって、前記イットリアマトリックスはその上側表面と下側表面の間に延び、前記イットリア絶縁体リングは、プラズマチャンバ内で下側電極の周辺領域上に載置され、かつ接地延長部の上側領域の少なくとも一部に重なるように構成されたことを特徴とする、イットリア絶縁体リング。
  2. 前記イットリアリングが、少なくとも50重量%のイットリア、少なくとも90重量%のイットリア、少なくとも95重量%のイットリア、又は、少なくとも99.9重量%のイットリアを含むことを特徴とする、請求項1に記載のイットリアリング。
  3. 前記イットリアリングが焼結イットリアから成ることを特徴とする、請求項1に記載のイットリアリング。
  4. 前記イットリアリングが、シリコン、アルミニウム、カルシウム、鉄及びジルコニウムがそれぞれ100ppm未満であるか、又は、シリコン、アルミニウム、カルシウム、鉄、及び/又はジルコニウムが総量で500ppm未満である、純粋なイットリアであることを特徴とする、請求項1に記載のイットリアリング。
  5. 前記イットリアリングが、200mmよりも大きい又は300mmよりも大きい内径と、少なくとも2.54mm(0.1インチ)の厚さを有することを特徴とする、請求項1に記載のイットリアリング。
  6. 前記イットリアリングが、単一リング、又は、同心の若しくは重なり合った2つの部品リング等の複数部分から成るリングであることを特徴とする、請求項1に記載のイットリアリング。
  7. 前記イットリアリングが、異なる直径を有し、かつ2つの部品リングの間の境界面において重なり合った2つの部品リングを含むことを特徴とする、請求項6に記載のイットリアリング。
  8. 前記イットリアリングが、少なくとも4.5g/cm又は少なくとも4.75g/cmの密度を有することを特徴とする、請求項1に記載のイットリアリング。
  9. 約11の誘電率を有することを特徴とする、請求項1に記載のイットリアリング。
  10. 請求項1に記載のイットリアリングを備えるプラズマ処理装置であって、前記イットリアリングが、前記プラズマ処理装置の真空チャンバ内の基板支持体上に載置され、前記基板支持体が、接地電極又は高周波電極、エッジリング、及び接地延長部を含み、前記イットリアリングが、前記エッジリングを取り囲むとともに前記接地延長部の少なくとも一部に重なることを特徴とする、プラズマ処理装置。
  11. 前記プラズマ処理装置がプラズマエッチング装置を含むことを特徴とする、請求項10に記載のプラズマ処理装置。
  12. プラズマチャンバから使用済みの又は摩耗した誘電性絶縁体リングを除去する工程と、
    前記使用済みの又は摩耗した誘電性絶縁体リングを、固体のイットリア(Y)を含む交換用の誘電体リングと交換する工程と、
    を含むことを特徴とする、プラズマチャンバ内の誘電体リングを交換する方法。
  13. 前記交換する工程が、前記使用済みの又は摩耗した誘電体リングを、少なくとも99重量%のイットリアを含む交換用の誘電体リングと交換することを含むことを特徴とする、請求項12に記載の方法。
  14. 前記交換する工程が、前記使用済みの又は摩耗した誘電体リングを、少なくとも99.9重量%のイットリアを含む交換用の誘電体リングと交換することを含むことを特徴とする、請求項12に記載の方法。
  15. 前記交換する工程が、前記使用済みの又は摩耗した誘電体リングを、イットリアのみから成る交換用の誘電体リングと交換することを含むことを特徴とする、請求項12に記載の方法。
  16. 前記使用済みの又は摩耗した誘電体リングが2つ以上の部品リングを含み、前記部品リングの少なくとも2つが異なる直径を有し、
    前記プラズマチャンバから前記使用済みの又は摩耗した誘電体リングを除去する前記工程が、少なくとも1つの使用済みの又は摩耗した部品リングを除去することを含み、
    前記使用済みの又は摩耗した誘電体リングを、イットリアを含む前記交換用の誘電体リングと交換する前記工程が、前記使用済みの又は摩耗した誘電体リングの少なくとも1つの使用済みの又は摩耗した部品リングを、請求項1に記載の前記イットリアリングを含む少なくとも1つの交換用の部品リングと交換することを含むことを特徴とする、請求項12に記載の方法。
  17. 前記使用済みの又は摩耗した誘電体リングの少なくとも1つの使用済みの又は摩耗した部品リングを、少なくとも1つの交換用の部品リングと交換することが、少なくとも1つの交換用の部品リングを、残存する少なくとも1つの他の部品リングと重ね合わせることを含むことを特徴とする、請求項16に記載の方法。
  18. 前記使用済みの又は摩耗した誘電体リングの少なくとも1つの使用済みの又は摩耗した部品リングを、少なくとも1つの交換用の部品リングと交換することが、少なくとも1つの交換用の部品リングを、残存する少なくとも1つの他の部品リングと噛合させることを含むことを特徴とする、請求項16に記載の方法。
  19. プラズマチャンバを開ける工程と、
    使用済みの又は摩耗した誘電性絶縁体リングを、イットリアのみで作られた交換用の誘電体リングと交換する工程と、
    前記プラズマチャンバを閉じる工程と、
    半導体基板を前記プラズマチャンバ内に移送する工程と、
    前記半導体基板にプラズマエッチングを施す工程と、
    前記半導体基板を前記プラズマチャンバから除去する工程と、
    を含むことを特徴とする、半導体基板を製造する方法。
  20. 前記プラズマエッチングを施す工程が、高周波電力を、下側電極、上側電極、又は、下側電極及び上側電極の双方に印加することを含むことを特徴とする、請求項19に記載の方法。
  21. 前記高周波電力が、約2MHz、13.5MHz、27MHz、40MHz、60MHz又は100MHzの高周波で印加されることを特徴とする、請求項20に記載の方法。
  22. 前記プラズマエッチングが、Ar、O、C、C又はCHFの1つ又は複数を含むプロセスガス中で生じることを特徴とする、請求項19に記載の方法。
JP2007529917A 2004-08-26 2005-08-12 プラズマチャンバ内部で使用するためのイットリア絶縁体リング Withdrawn JP2008511175A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/925,923 US20060043067A1 (en) 2004-08-26 2004-08-26 Yttria insulator ring for use inside a plasma chamber
PCT/US2005/028571 WO2006026110A2 (en) 2004-08-26 2005-08-12 Yttria insulator ring for use inside a plasma chamber

Publications (1)

Publication Number Publication Date
JP2008511175A true JP2008511175A (ja) 2008-04-10

Family

ID=35941578

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007529917A Withdrawn JP2008511175A (ja) 2004-08-26 2005-08-12 プラズマチャンバ内部で使用するためのイットリア絶縁体リング

Country Status (7)

Country Link
US (2) US20060043067A1 (ja)
JP (1) JP2008511175A (ja)
KR (1) KR20070046166A (ja)
CN (1) CN101048856B (ja)
SG (1) SG157420A1 (ja)
TW (1) TW200620455A (ja)
WO (1) WO2006026110A2 (ja)

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012507860A (ja) * 2008-10-31 2012-03-29 ラム リサーチ コーポレーション プラズマ処理チャンバの下側電極アセンブリ
US11033055B2 (en) 2015-06-29 2021-06-15 Nicoventures Trading Limited Electronic aerosol provision systems, inductive heating assemblies and cartridges for use therewith, and related methods
US11064725B2 (en) 2015-08-31 2021-07-20 British American Tobacco (Investments) Limited Material for use with apparatus for heating smokable material
US11185110B2 (en) 2015-06-29 2021-11-30 Nicoventures Trading Limited Electronic vapor provision system
US11241042B2 (en) 2012-09-25 2022-02-08 Nicoventures Trading Limited Heating smokeable material
US11252992B2 (en) 2015-10-30 2022-02-22 Nicoventures Trading Limited Article for use with apparatus for heating smokable material
US11452313B2 (en) 2015-10-30 2022-09-27 Nicoventures Trading Limited Apparatus for heating smokable material
US11457664B2 (en) 2016-06-29 2022-10-04 Nicoventures Trading Limited Apparatus for heating smokable material
US11589614B2 (en) 2015-08-31 2023-02-28 Nicoventures Trading Limited Cartridge for use with apparatus for heating smokable material
US11659863B2 (en) 2015-08-31 2023-05-30 Nicoventures Trading Limited Article for use with apparatus for heating smokable material
US11672279B2 (en) 2011-09-06 2023-06-13 Nicoventures Trading Limited Heating smokeable material
US11805818B2 (en) 2015-10-30 2023-11-07 Nicoventures Trading Limited Article for use with apparatus for heating smokable material
US11825870B2 (en) 2015-10-30 2023-11-28 Nicoventures Trading Limited Article for use with apparatus for heating smokable material
US11896055B2 (en) 2015-06-29 2024-02-13 Nicoventures Trading Limited Electronic aerosol provision systems
US11924930B2 (en) 2015-08-31 2024-03-05 Nicoventures Trading Limited Article for use with apparatus for heating smokable material
US11956879B2 (en) 2017-09-15 2024-04-09 Nicoventures Trading Limited Apparatus for heating smokable material

Families Citing this family (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI234417B (en) * 2001-07-10 2005-06-11 Tokyo Electron Ltd Plasma procesor and plasma processing method
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7951262B2 (en) 2004-06-21 2011-05-31 Tokyo Electron Limited Plasma processing apparatus and method
US7740737B2 (en) 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
JP2006332336A (ja) * 2005-05-26 2006-12-07 Toshiba Corp フォトマスク用プラズマエッチング装置およびエッチング方法
US7578258B2 (en) * 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
KR100794308B1 (ko) * 2006-05-03 2008-01-11 삼성전자주식회사 반도체 플라즈마 장치
WO2008041702A1 (fr) * 2006-10-03 2008-04-10 Panasonic Corporation Procédé et appareil de dopage de plasma
JP2008103403A (ja) * 2006-10-17 2008-05-01 Tokyo Electron Ltd 基板載置台及びプラズマ処理装置
US7919722B2 (en) * 2006-10-30 2011-04-05 Applied Materials, Inc. Method for fabricating plasma reactor parts
US20080099437A1 (en) * 2006-10-30 2008-05-01 Richard Lewington Plasma reactor for processing a transparent workpiece with backside process endpoint detection
US9218944B2 (en) 2006-10-30 2015-12-22 Applied Materials, Inc. Mask etch plasma reactor having an array of optical sensors viewing the workpiece backside and a tunable element controlled in response to the optical sensors
US7967930B2 (en) * 2006-10-30 2011-06-28 Applied Materials, Inc. Plasma reactor for processing a workpiece and having a tunable cathode
US8012366B2 (en) * 2006-10-30 2011-09-06 Applied Materials, Inc. Process for etching a transparent workpiece including backside endpoint detection steps
US8002946B2 (en) * 2006-10-30 2011-08-23 Applied Materials, Inc. Mask etch plasma reactor with cathode providing a uniform distribution of etch rate
US20080099450A1 (en) * 2006-10-30 2008-05-01 Applied Materials, Inc. Mask etch plasma reactor with backside optical sensors and multiple frequency control of etch distribution
US7964818B2 (en) * 2006-10-30 2011-06-21 Applied Materials, Inc. Method and apparatus for photomask etching
US7976671B2 (en) * 2006-10-30 2011-07-12 Applied Materials, Inc. Mask etch plasma reactor with variable process gas distribution
US8017029B2 (en) * 2006-10-30 2011-09-13 Applied Materials, Inc. Plasma mask etch method of controlling a reactor tunable element in accordance with the output of an array of optical sensors viewing the mask backside
US20080151466A1 (en) * 2006-12-26 2008-06-26 Saint-Gobain Ceramics & Plastics, Inc. Electrostatic chuck and method of forming
US7983017B2 (en) * 2006-12-26 2011-07-19 Saint-Gobain Ceramics & Plastics, Inc. Electrostatic chuck and method of forming
US9536711B2 (en) * 2007-03-30 2017-01-03 Lam Research Corporation Method and apparatus for DC voltage control on RF-powered electrode
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US7696117B2 (en) * 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US7837827B2 (en) * 2007-06-28 2010-11-23 Lam Research Corporation Edge ring arrangements for substrate processing
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
US20090221150A1 (en) * 2008-02-29 2009-09-03 Applied Materials, Inc. Etch rate and critical dimension uniformity by selection of focus ring material
US20090236214A1 (en) 2008-03-20 2009-09-24 Karthik Janakiraman Tunable ground planes in plasma chambers
US20090261065A1 (en) * 2008-04-18 2009-10-22 Lam Research Corporation Components for use in a plasma chamber having reduced particle generation and method of making
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8449679B2 (en) 2008-08-15 2013-05-28 Lam Research Corporation Temperature controlled hot edge ring assembly
US20100140222A1 (en) * 2008-12-10 2010-06-10 Sun Jennifer Y Filled polymer composition for etch chamber component
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US20100186663A1 (en) * 2009-01-23 2010-07-29 Applied Materials, Inc. Methods and apparatus for protecting a substrate support in a semiconductor process chamber
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
JP2010278166A (ja) * 2009-05-27 2010-12-09 Tokyo Electron Ltd プラズマ処理用円環状部品、及びプラズマ処理装置
US8419959B2 (en) * 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
KR200464037Y1 (ko) * 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
US20110297088A1 (en) * 2010-06-04 2011-12-08 Texas Instruments Incorporated Thin edge carrier ring
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US20140034242A1 (en) * 2012-07-31 2014-02-06 Lam Research Corporation Edge ring assembly for plasma processing chamber and method of manufacture thereof
US9017513B2 (en) * 2012-11-07 2015-04-28 Lam Research Corporation Plasma monitoring probe assembly and processing chamber incorporating the same
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US11637002B2 (en) * 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
CN108206143B (zh) * 2016-12-16 2020-09-25 中微半导体设备(上海)股份有限公司 一种等离子处理器、刻蚀均匀性调节系统及方法
JP6926225B2 (ja) * 2017-03-31 2021-08-25 マトソン テクノロジー インコーポレイテッドMattson Technology, Inc. 処理チャンバにおける工作物における材料堆積防止
JP2020516770A (ja) 2017-04-07 2020-06-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板端部上のプラズマ密度制御
US10608145B2 (en) * 2017-05-05 2020-03-31 Applied Materials, Inc. Illumination device for desorbing molecules from inner walls of a processing chamber
CN108962810A (zh) * 2017-05-24 2018-12-07 北京北方华创微电子装备有限公司 一种承载基座及预清洗装置
JP6960390B2 (ja) * 2018-12-14 2021-11-05 東京エレクトロン株式会社 給電構造及びプラズマ処理装置
WO2020206389A1 (en) * 2019-04-05 2020-10-08 Heraeus Gmsi Llc Controlled porosity yttrium oxide for etch applications
CN116134003A (zh) * 2020-10-03 2023-05-16 贺利氏科纳米北美有限责任公司 大尺寸的烧结氧化钇体
CN112614769B (zh) * 2020-12-11 2021-12-31 无锡邑文电子科技有限公司 一种碳化硅刻蚀工艺腔体装置及使用方法
CN114695041A (zh) * 2020-12-25 2022-07-01 中微半导体设备(上海)股份有限公司 一种等离子体反应器
WO2023229892A1 (en) * 2022-05-26 2023-11-30 Lam Research Corporation Yttria coating for plasma processing chamber components

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5534751A (en) * 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
JPH0945624A (ja) * 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
US5805408A (en) * 1995-12-22 1998-09-08 Lam Research Corporation Electrostatic clamp with lip seal for clamping substrates
JPH104083A (ja) * 1996-06-17 1998-01-06 Kyocera Corp 半導体製造用耐食性部材
US6039836A (en) * 1997-12-19 2000-03-21 Lam Research Corporation Focus rings
US6013984A (en) * 1998-06-10 2000-01-11 Lam Research Corporation Ion energy attenuation method by determining the required number of ion collisions
US5998932A (en) * 1998-06-26 1999-12-07 Lam Research Corporation Focus ring arrangement for substantially eliminating unconfined plasma in a plasma processing chamber
US6383964B1 (en) * 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
US6123775A (en) * 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
JP3551867B2 (ja) * 1999-11-09 2004-08-11 信越化学工業株式会社 シリコンフォーカスリング及びその製造方法
US6350317B1 (en) * 1999-12-30 2002-02-26 Lam Research Corporation Linear drive system for use in a plasma processing system
US6433484B1 (en) * 2000-08-11 2002-08-13 Lam Research Corporation Wafer area pressure control
JP2002110652A (ja) * 2000-10-03 2002-04-12 Rohm Co Ltd プラズマ処理方法およびその装置
US6475336B1 (en) * 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
US6613442B2 (en) * 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US6602381B1 (en) * 2001-04-30 2003-08-05 Lam Research Corporation Plasma confinement by use of preferred RF return path
US6984288B2 (en) * 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
US6776873B1 (en) * 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
US6744212B2 (en) * 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
US7479304B2 (en) * 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US7093560B2 (en) * 2002-04-17 2006-08-22 Lam Research Corporation Techniques for reducing arcing-related damage in a clamping ring of a plasma processing system
US6841943B2 (en) * 2002-06-27 2005-01-11 Lam Research Corp. Plasma processor with electrode simultaneously responsive to plural frequencies
US20040241995A1 (en) * 2003-03-27 2004-12-02 Matsushita Electric Industrial Co., Ltd. Etching apparatus and etching method
US7001482B2 (en) * 2003-11-12 2006-02-21 Tokyo Electron Limited Method and apparatus for improved focus ring

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012507860A (ja) * 2008-10-31 2012-03-29 ラム リサーチ コーポレーション プラズマ処理チャンバの下側電極アセンブリ
KR101592061B1 (ko) * 2008-10-31 2016-02-04 램 리써치 코포레이션 플라즈마 프로세싱 챔버의 하부 전극 어셈블리
US9412555B2 (en) 2008-10-31 2016-08-09 Lam Research Corporation Lower electrode assembly of plasma processing chamber
JP2016219820A (ja) * 2008-10-31 2016-12-22 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理チャンバの下側電極アセンブリ
US11672279B2 (en) 2011-09-06 2023-06-13 Nicoventures Trading Limited Heating smokeable material
US11241042B2 (en) 2012-09-25 2022-02-08 Nicoventures Trading Limited Heating smokeable material
US11185110B2 (en) 2015-06-29 2021-11-30 Nicoventures Trading Limited Electronic vapor provision system
US11896055B2 (en) 2015-06-29 2024-02-13 Nicoventures Trading Limited Electronic aerosol provision systems
US11882877B2 (en) 2015-06-29 2024-01-30 Nicoventures Trading Limited Electronic vapor provision system
US11033055B2 (en) 2015-06-29 2021-06-15 Nicoventures Trading Limited Electronic aerosol provision systems, inductive heating assemblies and cartridges for use therewith, and related methods
US11064725B2 (en) 2015-08-31 2021-07-20 British American Tobacco (Investments) Limited Material for use with apparatus for heating smokable material
US11924930B2 (en) 2015-08-31 2024-03-05 Nicoventures Trading Limited Article for use with apparatus for heating smokable material
US11589614B2 (en) 2015-08-31 2023-02-28 Nicoventures Trading Limited Cartridge for use with apparatus for heating smokable material
US11659863B2 (en) 2015-08-31 2023-05-30 Nicoventures Trading Limited Article for use with apparatus for heating smokable material
US11825870B2 (en) 2015-10-30 2023-11-28 Nicoventures Trading Limited Article for use with apparatus for heating smokable material
US11805818B2 (en) 2015-10-30 2023-11-07 Nicoventures Trading Limited Article for use with apparatus for heating smokable material
US11452313B2 (en) 2015-10-30 2022-09-27 Nicoventures Trading Limited Apparatus for heating smokable material
US11252992B2 (en) 2015-10-30 2022-02-22 Nicoventures Trading Limited Article for use with apparatus for heating smokable material
US12016393B2 (en) 2015-10-30 2024-06-25 Nicoventures Trading Limited Apparatus for heating smokable material
US11457664B2 (en) 2016-06-29 2022-10-04 Nicoventures Trading Limited Apparatus for heating smokable material
US11956879B2 (en) 2017-09-15 2024-04-09 Nicoventures Trading Limited Apparatus for heating smokable material

Also Published As

Publication number Publication date
WO2006026110A3 (en) 2007-04-26
TW200620455A (en) 2006-06-16
CN101048856A (zh) 2007-10-03
KR20070046166A (ko) 2007-05-02
WO2006026110A2 (en) 2006-03-09
US20060043067A1 (en) 2006-03-02
US20090090695A1 (en) 2009-04-09
SG157420A1 (en) 2009-12-29
CN101048856B (zh) 2010-11-17

Similar Documents

Publication Publication Date Title
JP2008511175A (ja) プラズマチャンバ内部で使用するためのイットリア絶縁体リング
US8622021B2 (en) High lifetime consumable silicon nitride-silicon dioxide plasma processing components
US5879575A (en) Self-cleaning plasma processing reactor
KR100813823B1 (ko) 플라즈마 챔버의 반도체 가공물을 둘러싸는 전도성 칼라
KR100240534B1 (ko) 유도성 및 용량성 커플링이 조합된 고밀도 플라즈마 cvd 반응로 작동방법
KR101526020B1 (ko) 플라즈마 프로세싱 챔버 및 이의 내에서 기판의 베벨 에지 및 챔버 내부를 세정하는 방법
EP1840937B1 (en) Plasma processing apparatus and plasma processing method
KR101342319B1 (ko) 플라즈마 에칭 챔버를 위한 통합된 용량성 전원과 유도성 전원
KR20080094794A (ko) 다수의 용량 및 유도 전원을 갖는 플라즈마 처리 반응기
JP2007524993A (ja) 処理部材のためのバリア層およびそれと同じものを形成する方法。
JP4554815B2 (ja) 汚染制御方法およびプラズマ処理チャンバ
TWI811421B (zh) 用於處理腔室的塗層材料
KR100381205B1 (ko) 플라즈마 화학증기증착 장치 및 플라즈마 화학증기증착막형성방법
JP2007184611A (ja) プラズマ処理装置およびプラズマ処理方法
KR100672696B1 (ko) 플라즈마를 이용한 반도체 소자의 세정장치 및 방법
CN114649179A (zh) 半导体零部件、等离子处理装置及耐腐蚀涂层的形成方法
JP2002164328A (ja) ドライエッチング装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080808

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20110502