KR100813823B1 - 플라즈마 챔버의 반도체 가공물을 둘러싸는 전도성 칼라 - Google Patents

플라즈마 챔버의 반도체 가공물을 둘러싸는 전도성 칼라 Download PDF

Info

Publication number
KR100813823B1
KR100813823B1 KR1020037010675A KR20037010675A KR100813823B1 KR 100813823 B1 KR100813823 B1 KR 100813823B1 KR 1020037010675 A KR1020037010675 A KR 1020037010675A KR 20037010675 A KR20037010675 A KR 20037010675A KR 100813823 B1 KR100813823 B1 KR 100813823B1
Authority
KR
South Korea
Prior art keywords
delete delete
workpiece
conductive collar
collar
conductive
Prior art date
Application number
KR1020037010675A
Other languages
English (en)
Other versions
KR20030083714A (ko
Inventor
쇼밍 마
랄프 에이치. 엠. 스트라우베
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20030083714A publication Critical patent/KR20030083714A/ko
Application granted granted Critical
Publication of KR100813823B1 publication Critical patent/KR100813823B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/0203Protection arrangements
    • H01J2237/0206Extinguishing, preventing or controlling unwanted discharges

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

본 발명은 가공물의 주변부에서 노출된 금속으로부터 야기되는 전기적 아킹을 감소시키거나 또는 제거할 수 있는 프로세스 키트를 구비하는 플라즈마 챔버 장치 및 방법에 관한 것이다. 플라즈마 챔버는 가공물에 인접한 캐소드 전극을 포함한다. 프로세스 키트는 가공물의 주변부를 둘러싼다. 프로세스 키트는 절연 차폐물 및 상기 절연 차폐물 위에 놓이는 전기적으로 전도성인 칼라를 포함한다. 전도성 칼라의 저항은 0.1 ohm-cm 이하이다.

Description

플라즈마 챔버의 반도체 가공물을 둘러싸는 전도성 칼라 {CONDUCTIVE COLLAR SURROUNDING SEMICONDUCTOR WORKPIECE IN PLASMA CHAMBER}
본 발명은 반도체 제조에 사용되는 플라즈마 챔버의 가공물(workpiece)을 둘러싸는 전기적 전도성 칼라(collar) 또는 링에 관한 것이다.
플라즈마 보조 에칭 또는 화학기상증착(chemical vapor deposition)과 같은여러가지 반도체 제조 프로세스들이 플라즈마 프로세스 챔버에서 수행된다. 가공물 지지부재 또는 받침대(pedestal)는 챔버 내의 프로세싱 위치에서 반도체 가공물 또는 기판을 지지한다. 하나 이상의 시약(reagent)을 함유하는 플라즈마는 챔버 내에서 가공물 위치에 인접한 상태로 유지되어, 원하는 반도체 제조 프로세스를 수행한다.
프로세스 챔버는 전극 상에 플라즈마 바디에 대한 음의 DC 바이어스 전압을 생성하기 위하여 고주파수(radio frequency; RF)에 용량성 결합되는, 가공물에 인접한 캐소드 전극을 포함한다. 상기 바이어스 전압은 원하는 제조 프로세스를 촉진하기 위하여 가공물에 충격을 가하도록 이온을 끌어당긴다. RF 전력은 플라즈마를 유지하기 위해 요구되는 여기(excitation)를 공급하는 것을 돕는다.
가공물 지지부재 상에 가공물을 유지(holding)하는 척(chuck)은 클램프 링과 같은 기계적인 클램프이다. 보다 일반적으로 척은 척 전극을 구비한 정전 척이고, 상기 척 전극에 처킹 전압이 인가된다. 가공물로부터 그리고 받침대의 임의의 금속 부분으로부터 척 전극을 전기적으로 절연시키기 위하여 절연물로 척 전극을 둘러싼다.
RF 전원은 척 전극에, 받침대의 금속 바디 부분에 또는 둘 다에 연결될 수 있다. 이러한 컴포넌트 중 어느것이라도 프로세스 챔버의 RF 전원에 연결되고 캐소드 전극으로서 기능한다.
만약 가공물이 그 주변 근처에 노출된 금속을 구비한다면, 그리고 상기 노출된 금속의 위치에 큰 전기장이 존재한다면, 때때로 전기적 아킹이 노출된 금속과 척 전극 또는 금속 받침대 바디 사이에서 발생할 수 있다. 아킹으로 인해 생성된 전류 및 열은 가공물 상의 전기적 컴포넌트에 손상을 줄 수 있기 때문에 아킹은 아주 바람직스럽지 못하다. 아킹의 가능성을 증가시키는 요소는 캐소드 상의 높은 DC 바이어스 전압, 높은 처킹 전압, 및 챔버 내에서 플라즈마 밀도를 증강시키기 위한 자기장의 사용을 포함한다.
본 발명은 가공물 주변부의 노출된 금속으로부터 전기적 아킹을 감소시키거나 제거할 수 있는 플라즈마 챔버 및 방법이다. 플라즈마 챔버는 가공물에 인접한 캐소드 전극을 포함한다. 절연 차폐물은 가공물의 주변부를 둘러싼다. 전기적 전도성 칼라는 또한 가공물의 주변부를 둘러싸고 절연물 위에 놓인다.
본 발명의 또다른 태양은 전술한 절연 차폐물 및 전도성 칼라를 포함하는 프 로세스 키트(process kit)이다.
본 발명자는 칼라가 충분히 낮은 저항을 갖는다면 전도성 칼라는 실질적으로 아킹을 감소시키거나 또는 제거하는 기능을 함을 발견하였다. 상기 저항은 0.1 ohm-cm 이하이어야 하고, 바람직하게는 0.03 ohm-cm 이하이어야 한다.
본 발명의 전도성 칼라는 가공물의 주변부에 노출된 금속으로부터 아킹의 위험을 유리하게 감소시키거나 또는 제거한다.
절연 차폐물을 구비하지 않는 프로세스 키트와 비교하여, 본 절연 차폐물은 유리하게 가공물의 주변부 외부에서 RF 전력을 감쇠시킴으로써 가공물에 더 많은 RF 전력을 집중시킨다. 부가하여, 포커스 링으로서 기능하는 융기된 칼라를 구비한 프로세스 키트에서, 절연 차폐물은 프로세스 키트를 통해 RF 전력을 감쇠시킴으로써 프로세스 키트의 부식을 감소시킬 수 있다.
도 1은 본 발명에 따른 플라즈마 챔버의 개략적인 세로 단면도이다.
도 2는 도 1의 절연 차폐물 및 전도성 칼라에 대한 상세 단면도이다.
도 3은 웨이퍼의 평면 위로 융기되지 않은 평평한 전도성 칼라를 구비한 대안적인 실시예의 상세 단면도이다.
도 4는 절연 차폐물의 융기된 부분을 커버하지 않는 전도성 칼라를 구비한 대안적인 실시예의 상세 단면도이다.
도 5는 원피스(one-piece) 전도성 칼라를 구비한 대안적인 실시예의 상세 단 면도이다.

1. 프로세스 챔버의 일반적 컴포넌트
도 1은 본 발명이 사용될 수 있는 전형적인 반도체 제조 프로세스 챔버를 보여준다. 도시된 챔버는 에칭 또는 화학기상증착(CVD)에 적당한 자기증강(magnetically-enhanced) 플라즈마 챔버이다. 챔버의 일반적인 컴포넌트들은 본 발명의 전도성 칼라를 설명하기 이전에 설명될 것이다.
프로세스 챔버는 원통형 측벽(12), 환형 바닥벽(14), 및 환형 상부벽 또는 덮개(16)에 의해 외장이 형성되는 진공 챔버이다. 애노드 전극(18)은 덮개(16)의 바닥에 설치되고 전형적으로 전기적으로 접지된다. 애노드 전극은 프로세스 가스가 챔버 내로 주입되는 가스 입구(gas inlet)로서 기능하도록 구멍을 낼 수 있다. 각각의 챔버 벽(12-16)은 일부 벽 또는 모든 벽들이 반도체 또는 절연체일 수도 있지만 전형적으로는 금속이다. 절연체가 아닌 임의의 벽은 전기적으로 접지되고 애노드 부분으로서 기능한다.
반도체 웨이퍼와 같은 가공물 또는 기판(10)은 가공물 지지 부재 또는 받침대(20)의 실질적으로 평평한 앞면 상에 장착된다("앞면"은 애노드를 대향하는 받침대 표면임). 전형적으로 받침대는 챔버의 바닥벽 상에 설치되어 바닥벽에 의해 지지된다. (받침대를 지지하는 구조물은 도시되지 않았다.) 전형적으로 받침대는 이하에서 설명되는 바와 같이 캐소드 전극으로서 기능하는 금속 바디(22)를 구비하나, 정전 척 절연물에 매립된 전극과 같은 또다른 전극이 캐소드 전극으로서 연결된다면 받침대 바디가 금속일 필요는 없다.
가공물은 비록 일반적인 클램프 링과 같은 기계적 클램프에 의해 받침대 상에서 제자리에 유지될 수 있지만, 보다 일반적으로 받침대의 앞 부분은 일반적인 정전 척(24, 26)을 포함하고, 상기 정전 척(24, 26)은 받침대의 앞면에 대해 가공물을 단단히 유지하는 기능을 한다. 만약 가공물이 환형 반도체 웨이퍼라면, 받침대 앞면은 환형이고, 받침대 및 정전 척은 원통형이다.
정전 척은 적어도 하나의 척 전극(24) 및 상기 척 전극을 둘러싸는 절연물(26)을 포함한다. 척의 절연 부분은 가공물로부터 그리고 척 뒤의 금속 받침대 바디(22)로부터 척 전극을 전기적으로 절연시킨다.
정전 척을 동작시키는 전압은 "척" 전원(28)에 의해 공급된다. 처킹 전원의 출력 단자 하나는 척 전극에 연결된다. 나머지 출력 단자는 전형적으로 전기적 접지에 연결되나, 대안적으로 받침대의 금속 바디 부분에 연결될 수 있다. 전형적으로 처킹 전원은 DC 전압을 출력하고, 상기 DC 전압은 어느 극성이라도 가질 수 있다.
척 절연물의 주변부는 바람직하게 가공물의 주변부보다 약간 작고, - 즉, 가공물은 척 절연물의 주변부 위에 약간 걸치고,- 그 결과 척 절연물은 가공물이 척 상에 배치될 때 중심을 벗어나 약간 오정렬될지라도 가공물에 의해 완전히 커버된 상태로 남아 있을 것이다. 가공물이 확실히 척 절연물을 완전히 커버한다면, 가공물은 가공물 제조 프로세스의 환경에 있는 재료를 잠재적으로 부식시키거나 손상시킬 수 있는 노출로부터 척을 차폐할 수 있음이 보장된다. 예를 들어, 바람직한 실시예에서, 가공물은 200mm 실리콘 웨이퍼이고, 척 절연물은 197mm 직경을 가지며, 그 결과 웨이퍼는 아무런 척 절연물이 노출되지 않은 상태로 1.5mm까지 오정렬될 수 있다.
정전 척 아래의 받침대 부분은 전형적으로 애노다이징된(anodized) 알루미늄과 같은 금속으로 구성된 원통형 바디(22)를 포함한다. 받침대 바디는 전형적으로, 받침대 바디를 챔버 바닥벽 또는 챔버 구조물의 소정의 다른 부분에 고정시키는데(bolting) 도움이 되는 플랜지(flange)를 제공하기 위하여, 단순히 정전 척보다 더 큰 반경을 갖는다. 도시된 실시예에서, 애노다이징된 알루미늄 받침대는 척의 반경보다 25 mm 더 큰 반경을 갖는다.
진공 펌프(미도시)는 배기 매니폴드(exhaust manifold)(30)를 통하여 프로세스 챔버로부터 가스를 배출시키고, 챔버 내 전체 가스 압력을 플라즈마 형성을 촉진하기에 충분히 낮은 레벨, 전형적으로 10 millitorr 내지 20 torr의 범위로 유지한다. 상기 압력의 범위에서 최저 압력 및 최고 압력은 각각 에칭 및 CVD 프로세스에 전형적인 압력이다.
가공물의 프로세싱 동안, 플라즈마는 가공물 위치(10)와 애노드 전극(18) 사이의 프로세스 챔버의 영역에서 유지된다. 플라즈마는 하나 이상의 시약 가스를 포함하는 프로세스 가스 혼합물을 플라즈마 상태로 여기시킴으로서 형성된다. 프로세스 챔버 내의 플라즈마를 여기시키거나("제자리(in situ) 플라즈마 소스"), 또 는 다른 챔버의 플라즈마를 여기시켜("원격 플라즈마 소스") 프로세스 챔버 안으로 펌핑하는 것은 일반적이다.
고주파수(RF) 전원(32)은 하나 이상의 직렬 커플링 커패시터(34)를 통해 하나 이상의 챔버 컴포넌트, 즉, 받침대 바디(22), 척 전극(24), 또는 척 절연물(26)에 매립되는 부가적인 전극(예를 들어, 와이어 메시(wire mesh))에 연결된다. 이러한 컴포넌트들 중 어느 것이 RF 전위에 연결되더라도 집합적으로 프로세스 챔버의 캐소드 전극를 구성한다. 다수의 일반적인 구현예 및 도시된 바람직한 실시예에서, 금속 받침대 바디는 캐소드 전극으로서 기능하기 위하여 RF 전원에 연결된다.
RF 전원은 캐소드 전극과 접지된 애노드 전극(18) 사이에 RF 전압을 제공하고, 플라즈마를 유지하기 위해 요구되는 여기 전력을 공급하는데 도움이 된다. 캐소드에 인가되는 RF 전압은 또한 애노드 전극 및 플라즈마 바디 둘 다에 관련하여 캐소드 전극 상에 시평균 음의 DC 바이어스 전압을 생성하고, 이것은 가공물에 충격(bombard)을 가하기 위하여 이온화된 가스 구성물을 캐소드 전극 쪽으로 가속화시킨다.
2. 프로세스 키트: 절연 차폐물 및 보호(protective) 차폐물
가공물 또는 기판(10)의 표면에서 반응종(reactive species) 및 하전된 입자의 농도를 최대화하기 위하여, 그리고 그에 의해 가공물 상에 수행되는 플라즈마 증강(plasma-enhanced) 프로세스의 반응 비율을 최대화하기 위하여, 플라즈마와 캐소드 전극 사이의 RF 전류 흐름은 받침대(22)의 앞면 상에 놓인 가공물에 의해 점유되는 영역에 집중되어야 한다. 가공물에 의해 커버되지 않은 받침대의 앞면 및 측면을 통과하는 RF 전류 흐름은 가공물 제조 프로세스에 직접적으로 기여하지 않기 때문에 낭비된 RF 전력을 나타낸다. 따라서, 그러한 면을 통과하는 RF 전류 흐름을 감소시키기 위하여 상기 받침대의 면을 절연물로 커버하는 것이 일반적이다. 도 2는 캐소드의 측면을 커버하는 절연 실린더(36), 및 웨이퍼(10)의 주변부 외부에 있는 받침대의 상부면 상에 놓여 상기 상부면을 커버하는 고리형 절연 차폐물 또는 칼라(40)를 보여준다.
바람직하게, 절연 차폐물(40)의 축방향 또는 세로방향 두께는 RF 전원(32)의 주파수에서 상당한 전기적 임피던스를 제공하기에 충분히 커야 한다. 보다 상세하게, 상기 임피던스는, 차폐물이 부재하다면 차폐물에 의해 점유되는 영역에서 생성될 전류 또는 전력 밀도와 비교하여, 차폐물을 통과하는 RF 전류 밀도 또는 RF 전력 밀도를 상당히 감쇠시키에 충분히 커야 한다. 따라서, 바람직하게 절연 차폐물은 적어도 가공물의 두께-예를 들어, 가공물이 일반적인 실리콘 웨이퍼라면 적어도 0.7 mm 두께-만큼의 두께를 가져야 한다. 절연 차폐물(40)의 축상 컨투어(contour)는 이하에서 논의될 것이다.
많은 반도체 가공물 제조 프로세스에서, 고리형 절연 차폐물 또는 칼라(40)의 앞면(즉, 애노드 전극과 대향하는 면)은 플라즈마에 노출되는 것이 허용될 수 있다. 그러나, 그러한 노출은 챔버가 가공물 상의 절연 재료를 에칭하는 프로세스 를 수행하도록 의도될 때 바람직스럽지 못하다. 절연 에칭 프로세스는 전형적으로 가공물 상의 절연물과 함께 절연 차폐물을 에칭할 것이고, 그에 의해 차폐물을 부식시켜 그것의 물리적 치수를 변경할 것이며, 따라서 바람직스럽지 못하게 가공물의 제조 프로세스 조건을 변경할 것이다. 차폐물로부터 부식된 화학종의 방출(release)은 바람직스럽지 못하게 가공물 제조 프로세스의 화학적 성질을 변경할 수 있다.
이러한 문제점에 대한 한 가지 일반적인 해결책은 절연 차폐물 위에 놓이는 고리형 부식억제(erosion-resistant) 보호 차폐물 또는 칼라(50, 52)를 제공하는 것이다. 보호 차폐물은 비절연 재료로 구성되어야 하고, 이러한 비절연 재료는 프로세스 챔버에서 수행되는 가공물 제조 프로세스 환경에 의한 부식(예를 들어, 화학적 에칭 또는 스퍼터 에칭으로 인한 부식)에 절연 차폐물보다 더 저항성이 강하다. 가공물 제조 프로세스 동안 소정의 보호 차폐물 부식은 피할 수 없는 것이기 때문에, 바람직하게 보호 차폐물의 재료는 상기 부식으로 인하여 가공물을 오염시키지 않는 것이어야 한다. 실리콘 보호 차폐물의 부식은 실리콘 기판을 오염시키지 않기 때문에, 실리콘 기판 상의 절연층을 에칭하기 위한 프로세스를 수행하기 위한 챔버에서 바람직한 보호 차폐물 재료는 실리콘이다. 가공물이 실리콘일 때, 대체로 적은 양의 금속일지라도 실리콘 기판을 오염시킬 수 있기 때문에 금속 보호 링은 대체로 부적절하다.
도 1 및 도 2에 도시된 바람직한 실시예에서, 보호 차폐물은 두 가지 별개의 컴포넌트, 실리콘 상부 링 또는 외부 링(50) 및 실리콘 내부 링(52)으로 구성된다. 내부 링은 캐소드 전극 및 플라즈마 시스(sheath)에 더 근접하기 때문에, 내부 링은 일반적으로 외부 링보다 훨씬 더 빨리 부식한다. 보호 차폐물을 별개의 내부 링과 외부 링으로서 구현함으로써, 더 크고 그래서 더 비싼 외부 링을 교체하지 않으면서 내부 링을 교체할 수 있다.
절연 차폐물(40) 및 보호 차폐물(50, 52)은 결합하여 프로세스 챔버의 동작 중 주기적으로 교체되기 때문에 "프로세스 키트"로서 언급된다. 실리콘 보호 링 및 전술된 다른 모든 프로세스 챔버 컴포넌트들 위에 놓이는 절연 차폐물은, 본 출원과 공통으로 소유되고 Ke 등에 의해 2000년 9월 20일자로 출원된 미국 특허 출원 SN 09/665,484호에 보다 상세히 기재되어 있으며, 이에 의해 상기 출원의 전 내용은 본 특허 명세서에 참조로서 결합된다.
도시된 바람직한 실시예를 포함하여 대부분의 프로세스 챔버에서, 프로세스 키트의 앞면은 가공물의 주변부에 인접하고 가공물과 근사적으로 동일 평면상에 있으며 보다 방사상 바깥 방향의 위치에서 가공물의 평면 위로(즉, 앞에서) 축방향으로 융기된 축상 컨투어를 구비한다. 가공물의 주변부를 감싸는 융기된 부분을 갖는 프로세스 키트는 "포커스 링"으로서 언급된다. 도 1 및 도 2에 도시된 실시예에서, 실리콘 외부 링(50)은 가공물의 평면 위로 융기되고, 그래서 포커스 링으로서 기능한다.
융기된 포커스 링의 주요 기능은 가공물 제조 프로세스의 공간적 균일성을 개선하는 것이다. 보다 상세하게, 융기된 포커스 링은 가공물의 주변부 근처의 플라즈마 및 시약 분포의 방위 균일성(azimuthal uniformity)을 개선한다. 부가하 여, 포커스 링은 가공물 제조 프로세스의 방사상 균일성을 개선하기 위하여, 즉, 가공물 주변부 근처와 가공물 중심의 프로세스 성능 간 차이를 최소화하기 위하여 최적화될 수 있다. 일반적으로, 프로세스 균일성을 최적화하는 포커스 링의 치수는 경험적으로 결정되어야 한다.
대부분의 프로세스에서, 융기된 포커스 링의 우세한 효과는 "그림자(shadow)" 효과 또는 "공핍(depletion)" 효과이고, 이러한 효과와 관련하여 포커스 링은 반응종이 가공물의 주변부쪽으로 이동하는 것을 막는다. 포커스 링이 존재하지 않는 경우, 프로세스 비율은 가공물의 주변부 근처에서 더 높은 경향이 있다. 포커스 링의 높이는 공핍 효과가 웨이퍼 주변부 근처에서 반응종의 공핍을 웨이퍼 중심 근처의 공핍에 보다 근접하게 매칭하도록 증가시키기 위하여 최적화될 수 있다. 일반적으로, 웨이퍼 주변부 근처의 활성종의 공핍은 주변부 근처의 프로세스 비율을 감소시킨다. 두 번째로, 융기된 포커스 링은 웨이퍼의 주변부 근처에서 반응종의 체류 시간을 증가시키는 "가둠(confinement)" 또는 "체류 시간" 효과를 생성하고, 그것은 수행되는 특정 프로세스의 화학적 성질에 따라 웨이퍼 주변부 근처의 프로세스 비율을 증가 또는 감소시킬 수 있다. 프로세스 비율에 영향을 미치는 것 외에도, "공핍" 효과 및 "체류 시간" 효과는 에칭 프로세스에서의 선택성 또는 증착 프로세스에서의 막 품질과 같은 다른 프로세스 성능 매개변수에 영향을 준다.
세 번째로, 융기된 포커스 링은 웨이퍼 주변부 근처의 이온 플럭스(flux)를 증가시키는 "이온 포커싱" 효과를 생성하는데, 이것은 플라즈마 시스로부터 하방으 로 가속된 이온들(34)이 융기된 부분의 내향 표면(inwardly facing surface)(32) 및 웨이퍼 쪽으로의 도탄(ricochet)(36)과 충돌하기 때문이다. 이온 포커싱 효과는 웨이퍼 표면과 웨이퍼를 향하는 융기 부분의 면(32) 사이의 각에 매우 의존하며, 여기서 135°각(수직으로부터 45°)은 가장 큰, 웨이퍼의 중심 쪽으로의 이온 편향을 생성할 것으로 기대된다. 융기된 포커스 링의 네 번째 효과는 가공물 주변부 외부에서 플라즈마 시스를 축상 상방으로 변위시켜, 플라즈마 시스를 가공물 주변부로부터 더 멀리 이동시키고, 그 결과 가공물 주변부 근처의 반응종 농도를 감소시키는 것이다.
공핍 효과, 체류 시간 효과, 포커싱 효과, 및 플라즈마 변위 효과는 모두 높이 H가 증가함에 따라 더 뚜렷해지는 경향이 있다. 이러한 모든 효과들은 또한 융기 부분의 내향면(32)과 웨이퍼의 주변부 사이의 방사상 갭에 의해 영향받는다. 포커싱 효과는 특정 갭(경험적으로 결정되어야 함)에서 최대화될 것으로 기대되는 반면, 나머지 세 가지 효과는 갭을 증가시킴에 따라 점진적으로 감소한다.
포커스 링 설계의 고려사항은 앞서 참조된 U.S. 특허 출원 SN 09/665,484에 상세히 설명되어 있다.
3. 절연 차폐물 위에 놓이는 전도성 칼라
만약 가공물 또는 기판(10)이 그 주변부 근처에 노출된 금속을 구비한다면, 그리고 노출된 금속의 위치에서 큰 전기장이 존재한다면, 전기적 아킹은 때때로 노출된 금속과 프로세스 키트 또는 척 전극 사이에서 발생할 수 있다.
반도체 장치 제조시, 가공물 에지에서 특정 거리보다 더 가까운 가공물 상에는 아무런 재료도 증착되지 않아야 함을 지정하는 것은 일반적이며, 상기 특정 거리는 "에지 배제(exclusion)"로서 언급된다. 200mm 직경 실리콘 웨이퍼에 대하여, 에지 배제는 전형적으로 3mm 내지 5mm이다. 에지 배제를 요구하는 1차적 목적은 로봇이 가공물의 에지를 붙잡을 때 입자들의 방출을 피하기 위한 것이다.
비록 금속은 보통 가공물의 에지에서 노출되지 않아야 하지만, 그러한 노출은 연속적인 층들이 가공물 상에 증착되고 가공물로부터 에칭될 때 정렬 에러로 인하여 발생될 수 있다. 금속 피쳐(feature)가 정렬 에러로 인하여 에지 배제 존 안으로 약간 연장된다면, 그것은 후속적인 층들의 오정렬 또는 에지 레지스트 제거 프로세스의 불량한 제어의 결과로서 후속적인 절연 또는 레지스트(resist) 층에 의해 완전히 커버되지 않는다.
아킹으로 인해 야기되는 전류 및 열은 가공물 상의 전기적 컴포넌트에 손상을 줄 수 있기 때문에, 그리고 아킹은 가공물을 오염시킬 수 있는 다수의 작은 입자들을 생성하기 때문에 아킹은 매우 바람직스럽지 못하다. 아킹의 가능성을 증가시키는 요소는 캐소드 전극 상의 높은 DC 바이어스 전압, 높은 처킹 전압, 및 챔버 내 플라즈마 밀도를 증강하기 위한 자기장의 사용을 포함한다.
본 발명자는 아킹의 1차적 원인이 가공물의 주변부 근처에서 강한 방사상 컴포넌트를 갖는 전기장이라고 믿는다. 본 발명자는 이러한 방사상 전기장이 캐소드와 접지 사이의 RF 전압, 캐소드 DC 바이어스 전압, 및 처킹 전압에 의해 생성된다 고 믿는다.
본 발명에서, 아킹의 위험은 종래의 절연 차폐물(40) 위에 놓이고 가공물의 주변부를 단단히 감싸는 고전도성 칼라에 의해 현저히 감소되거나 제거된다. 바람직하게, 전도성 칼라와 가공물의 주변부 사이에 가능한 적은 갭이 존재하거나 또는 갭이 존재하지 않는다. 이것은 전도성 칼라가 가공물의 외부 반경(또는 주변부)보다 더 작은 내부 반경(또는 방사상 내부 경계)을 갖는다면 달성되고, 그 결과 가공물의 주변부는 전도성 칼라의 방사상 내부 부분과 접촉하거나 겹쳐진다.
바람직한 실시예에서, 전도성 칼라는 단순히 이전에 설명된 부식억제 보호 칼라(50, 52)를 대체한다. 특히, 도 1 및 도 2에 도시된 바람직한 전도성 칼라(50, 52)는 전도성 칼라의 재료가 0.1ohm-cm 이하의 저항, 바람직하게는 0.03ohm-cm 이하의 저항을 갖는다는 점을 제외하고는 일반적인 보호 칼라(50, 52)와 동일하다.
전도성 칼라는 요구되는 낮은 저항을 가는 임의의 재료로 제조될 수 있다. 예를 들어, 전도성 칼라는 금속, 도핑된 반도체, 도핑된 세라믹, 또는 특정 금속 산화물과 같은 전도성 세라믹으로 구성될 수 있다. 바람직하게, 전도성 칼라를 위해 선택된 재료는 또한 보호 차폐물로 바람직한 것으로서 이상에서 설명된 나머지 특성들, 즉, (1) 가공물 제조 프로세스의 환경에 의한 부식에의 저항성, 및 (2) 전도성 칼라가 부식될 때 가공물을 오염시킬 재료를 방출하지 않을 것을 가져야 한다.
실리콘이 실리콘 웨이퍼 상의 절연 필름을 에칭하기 위한 플라즈마 챔버에서 보호 차폐물로서 사용되기 위한 이러한 두 가지 기준을 만족시킴이 앞에서 설명되었다. 동일한 이유로, 실리콘은 또한 본 발명의 전도성 칼라(50, 52)로서 사용되기에 바람직하다. 그러나, 본 발명은 종래의 부식억제 보호 차폐물의 실리콘보다 훨씬 더 낮은 저항을 갖는 실리콘이 사용될 것을 요구한다. 이것은 실리콘의 전도성을 증가시키기 위하여 일반적으로 사용되는 N형 또는 P형 도펀트(dopant)로 실리콘을 도핑함으로써 달성될 수 있다. 실리콘의 도펀트 농도는 도핑된 실리콘이 0.1 ohm-cm 또는 그 이하의 저항을 갖도록 충분히 커야 한다.
본 발명자는 실리콘 카바이드(silicon carbide) 또한 요구된 레벨 0.1 ohm-cm 또는 그 이하의 더 낮은 저항을 갖도록 충분히 도핑된다면 전도성 칼라의 재료로서 사용되기 위한 전술된 기준을 만족시킬 것으로 기대한다.
본 발명은 상기 이론에 제한되지 않는 한편, 본 발명자는 전도성 칼라가 아킹을 방지하는 메커니즘이 이하와 같다고 믿는다. 전도성 칼라의 높은 전도성 때문에, 전도성 칼라는 전체 표면에 걸쳐 실질적으로 동일한 전위를 부과한다. 이것은 가공물의 에지에 인접한 방사상 전기장을 감소시킨다는 점에서 적어도 두 가지 이점을 갖는다. 첫 번째, 칼라가 가공물 주변부로부터 방사상으로 외부를 향해 연장되는 거리 위에서, 전기장은 칼라의 표면에서 제로에 가깝다. 두 번째, 칼라가 가공물을 둘러싸고 가공물의 주변 둘레에서 실질적으로 동일한 전위를 부가하기 때문에, 가공물의 표면을 따라 전기장은 감소된다.
절연 차폐물이 없는 종래의 설계와 비교하여, 본 발명은 가공물의 주변부 외부에서 RF 전력을 감쇠시킴으로서 가공물에 더 많은 RF 전력을 집중시키는 이점을 갖는다. 부가하여, 포커스 링으로서 기능하는 융기된 칼라를 구비하는 프로세스 키트 설계에서, 절연 차폐물은 프로세스 키트를 통과하는 RF 전력을 감쇠시킴으로써 프로세스 키트의 부식을 감소시킬 수 있다.
4. 비교 테스트
본 발명자는 도 1 및 도 2에 도시된 본 발명의 실시예와 외부 칼라 또는 링(50) 및 내부 칼라 또는 링(52)을 위해 다른 재료를 사용하는 프로세스 키트를 비교하였다. 특히, 본 발명자는 200mm 직경 실리콘 웨이퍼 상에 패드를 위한 개구(opening)을 형성하기 위하여 실리콘 옥사이드 및 실리콘 나이트라이드 절연층을 에칭하기 위한 일반적인 자기증강 플라즈마보조 프로세스(magnetically-enhanced, plasma-assisted process)를 수행하면서 아킹을 테스트하였다. 테스트에 사용되는 웨이퍼는 절연층 아래에 패터닝된 금속 피쳐를 구비하였다. 웨이퍼는 2개의 상이한 생산 로트(lot)로부터 얻었고, 제 2 로트로부터 나온 웨이퍼는 제 1 로트로부터 나온 웨이퍼보다 아킹을 유발하는 경향이 더 컸다.
본 발명자는 몇 가지 방법에 의해, 즉, 프로세싱 이후 웨이퍼를 시각적으로 조사함에 의해, 챔버 내 빛의 플래시를 시각적으로 모니터링함에 의해, 그리고 캐소드 DC 바이어스 전압, 처킹 전압 및 RF 전원과 캐소드 사이의 반사된 전력을 연속적으로 모니터링함에 의해 아킹을 검출하였다. 본 발명자는 아킹의 증거로서 임의의 모니터링된 값에 존재하는 스파이크를 해석하였다.
예시 1: 전도성 외부 칼라(50) 및 내부 칼라(52)는 도핑된 실리콘의 저항이 0.008 내지 0.012 ohm-cm 범위에 있도록 하는 붕소 농도로 도핑된 실리콘으로 구성되었다. 본 발명자는 아킹을 전혀 관찰하지 못하였다. 그 결과는 도핑된 실리콘이 단결정 실리콘이든 폴리실리콘이든 상관없다.
제어 1: 비교를 위하여, 본 발명자는 선행하는 문단에서 설명된 전도성 링과 동일한 치수를 가지면서 약 2 ohm-cm의 저항을 갖는 약간 도핑된 실리콘으로 구성된 종래의 외부 칼라(50) 및 내부 칼라(52)로 대체하였다. 에칭 프로세스 및 본 발명을 테스트하기 위해 사용되는 것과 동일한 테스트 웨이퍼를 사용하여, 본 발명자는 다수의 아킹의 예를 검출하였고 두 로트로부터 나온 테스트 웨이퍼가 손상되었음을 관찰하였다.
제어 2: 본 발명자는 또한 매우 높은 저항 105 ohm-cm을 갖는 실리콘 카바이드로 구성된 점을 제외하고는 나머지는 동일한 외부 칼라(50) 및 내부 칼라(52)를 비교하였다. 본 발명자는 다수의 아킹의 예를 검출하였고 두 로트로부터 나온 테스트 웨이퍼가 손상되었음을 관찰하였다.
예시 2: 예시 1에서 테스트된 전도성 내부 칼라(52)는 3.6mm의 축상 두께를 가졌다. 예시 2에서, 본 발명자는 예시 1의 내부 칼라 두께의 절반에 해당하는 1.8mm의 두께를 갖는 전도성 내부 칼라(52)로 대체하였다. 본 발명자는 프로세스 키트가 예시 1과 동일한 공간을 점유하도록 1.8mm 만큼 내부 칼라 아래의 절연 차폐물 부분의 두께를 증가시켰다. 예시 2의 더 얇은 칼라(52)는 예시 1의 더 두꺼운 칼라와 마찬가지로 작동하였다. 즉, 본 발명자는 아킹의 예를 전혀 검출하지 못하였다. 따라서, 본 발명자는 테스트된 것보다 축상 더 두꺼운 두께를 갖는 칼라를 사용한다하여도 임의의 성능 이점이 있다고 생각하지 않는다. 더 두꺼운 칼라를 사용하는 주요 이점은, 교체되어야 하기 이전에 더 많은 부식을 견디어 낼 수 있다는 점과 사람에 의한 수동 조작 중 발생할 우발적인 손상에 덜 취약하도록 덜 약하다는 점이다.
예시 3: 도 3은 전도성 칼라(54)가 단지 절연 차폐물(44)의 외부 직경과 동일한 외부 직경을 갖는 평평한 고리(annulus)인 본 발명의 대안적인 실시예를 보여준다. 전도성 칼라의 상부면은 웨이퍼(10)의 하부면과 동일한 평면에 있고, 그 결과 가공물의 표면 위로(앞으로) 연장되는 포커스 링이 존재하지 않는다. 절연 차폐물(44)은 전도성 칼라(54)의 평평한 하부면과 매칭되기 위하여 평평한 상부면을 갖는다. 동일한 테스트 조건하에서, 예시 3의 실시예는 예시 1과 예시 2의 실시예와 마찬가지로 작동하였다. 즉, 본 발명자는 아킹을 전혀 검출하기 못하였다. 이것은 융기된 포커스 링을 구비하는 것은 본 발명의 아킹 감소 이점을 달성하는데 그리 중요하지 않음을 나타낸다.
예시 4: 도 4는 전도성 내부 칼라(52)를 포함하면서 전도성 외부 칼라(50)는 포함하지 않는 본 발명의 대안적인 실시예를 보여준다. 본 발명자의 테스트에서, 이러한 실시예의 절연 차폐물(46) 크기는 도 2 실시예의 절연 차폐물(40) 및 외부 칼라(52)의 결합된 크기와 동일하였다. 전도성 내부 칼라(52)는 도 2 실시예를 테스트하기 위하여 사용된 것과 동일하였다. 동일한 테스트 조건하에서, 본 발명자 는 소정의 아킹을 검출하였으나 제어 1과 제어 2에서 보다는 훨씬 더 적었다. 특히, 제 1 로트로부터 나온 웨이퍼는 전혀 아킹 손상을 나타내지 않았으나, 본 발명자는 모니터링된 전압에서 아킹에 대한 전위를 지시하는 스파이크를 검출하였다. 제 2 로트로부터 나온 웨이퍼는 아킹 손상을 나타냈다.
예시 1, 2 및 3이 예시 4보다 더 양호하게 작동한다는 사실은, 전체 방사상 폭이 너무 작은 전도성 칼라(50, 52, 54)는 아킹의 최선 억제를 달성하지 못할 것이라는 것을 나타낸다. 예시 1, 2 및 4에서, 내부 전도성 칼라(52)는 5.7mm 의 방사상 폭을 갖고(104mm 외부 반경 - 98.2mm 내부 반경), 상기 5.7mm 중 4mm는 방사상 웨이퍼 주변부 너머(즉, 외부로) 연장되었고, 1.7mm는 웨이퍼 뒤(즉, 아래)에 존재하였으며, 100mm 반경 웨이퍼는 1.7mm만큼 정전 척의 주변부 위에 걸쳐 있었다. 예시 1에서의 외부 전도성 칼라(50) 및 예시 3에서의 평평한 전도성 칼라(54)는 134mm의 외부 반경을 가졌고, 그 결과 예시 1과 2의 전도성 칼라는 100mm 반경 웨이퍼의 주변부 너머 34mm 연장되는 총 방사상 폭을 가졌다.
본 발명자는 웨이퍼 주변부 너머의 방사상 두께가 전도성 칼라의 가장 중요한 치수라고 생각한다. 이러한 테스트는 칼라가 도 3 실시예에서 처럼 웨이퍼의 주변부 너머 적어도 4mm 연장된다면, 바람직하게는 적어도 8mm 연장된다면, 전도성 칼라의 아킹 억제 성능이 더 양호할 것임을 나타낸다. 아킹 억제는 칼라가 도 2 실시예에서 처럼 웨이퍼의 주변부 너머 적어도 34mm 연장될 때 완벽하였다.
전술한 테스트에 사용된 컴포넌트의 다른 특성들은 이하와 같다. 전도성 외부 칼라(50)는 웨이퍼의 평면 위로(앞으로) 6mm의 융기부를 가졌다. 정전 척 절연물(26)은 10mm 두께의 알루미늄 나이트라이드였다. 절연 차폐물은 내부 칼라(52) 아래에 6.3mm의 두께 및 외부 칼라(50) 아래에 11.4mm 두께를 가진 석영이었다.
전술한 테스트에 사용된 에칭 프로세스의 조건은 이하와 같다. 전원(32)에 의해 공급되는 RF 전력은 13.56 MHz의 주파수에서 1500 와트였고, 그것은 받침대 바디(22) 상에 접지된 챔버 벽에 대하여 -1500 볼트의 DC 바이어스를 생성하였다. 척 전원(28)은 접지에 대하여 척 전극(24)에 -800 볼트를 공급하였다.
챔버 압력은 150 millitorr였고, 에칭 프로세스 가스의 유량은 50sccm CF4, 30sccm CHF3, 10sccm SF6, 10sccm N2, 및 100sccm Ar이었다.
5. 부가적인 실시예
도 5는 분리된 외부 칼라 및 내부 칼라(50 및 52)의 자리에 하나의 단일 전도성 칼라(56)를 사용하는 본 발명의 대안적인 실시예를 도시한다. 절연물(48)은 윗 부분의 내부 직경이 단일 전도성 칼라를 수용하기 위해 더 크다는 점을 제외하고는 도 2의 절연물(40)과 유사하다. 본 발명자는 이러한 실시예가 도 1 및 도 2의 실시예와 유사하게 작동해야 할 것으로 기대한다.
본 발명자는 실리콘의 전도성을 증가시키기 위해 일반적으로 사용되는 임의의 도펀트로 본 발명자의 테스트에 사용된 붕소 도펀트를 대체할 수 있을 것으로 기대한다. 앞서 설명된 바와 같이, 본 발명자는 전도성 칼라(50, 52, 54, 56)가 요구되는 저항, 0.1ohm-cm 이하, 바람직하게는 0.03ohm-cm 이하를 갖는, 반도체 및 금속을 포함하는 임의의 재료로 구성될 수 있을 것으로 기대한다.
본 발명은 "위" 및 "아래"와 같은 방향을 지시하는 용어로 설명되었지만, 이러한 용어는 단지 컴포넌트의 상대적 위치를 설명하기 위한 의도이고, 지구의 중력장에 대한 방향을 의도한 것이 아니다. 본 발명은 하방 대향 또는 측방 대향 방향으로 프로세싱 가공물에 사용되는 것과 같은 다른 컴포넌트 방향에 똑같이 유용하다.

Claims (47)

  1. 반도체 제조 프로세스 챔버의 가공물(workpiece)에 인접하여 설치되도록 적응된 프로세스 키트(process kit)로서,
    절연 재료로 구성되고 상기 가공물의 주변부(perimeter)를 둘러싸는 차폐물(shield); 및
    0.1 ohm-cm 이하의 저항을 갖는 반도체 재료로 구성되고, 상기 가공물의 주변부를 둘러싸며, 상기 절연 차폐물의 적어도 일부 위에 놓이는 전도성 칼라(collar)를 포함하는 프로세스 키트.
  2. 제 1 항에 있어서,
    상기 전도성 칼라는 0.03 ohm-cm 이하의 저항을 갖는 것을 특징으로 하는 프로세스 키트.
  3. 제 1 항에 있어서,
    상기 전도성 칼라는 0.008 내지 0.012 ohm-cm 범위의 저항을 갖는 것을 특징으로 하는 프로세스 키트.
  4. 제 1 항에 있어서,
    상기 반도체 재료는 0.1 ohm-cm 이하의 저항을 갖기에 충분한 도펀트 농도를 갖는 것을 특징으로 하는 프로세스 키트.
  5. 제 1 항에 있어서,
    상기 전도성 칼라는 도핑된 실리콘으로 구성되는 것을 특징으로 하는 프로세스 키트.
  6. 제 1 항에 있어서,
    상기 절연 차폐물은 상기 전도성 칼라와 대향하는 앞면을 갖고,
    상기 전도성 칼라는 상기 절연 차폐물의 상기 앞면을 완전히 커버하는 것을 특징으로 하는 프로세스 키트.
  7. 제 1 항에 있어서,
    상기 절연 차폐물은 방사상 내부 부분 및 방사상 외부 부분으로 구성되고,
    상기 전도성 칼라는 상기 절연 차폐물의 상기 방사상 내부 부분 위에 놓이는 것을 특징으로 하는 프로세스 키트.
  8. 제 1항에 있어서,
    상기 전도성 칼라는 적어도 5.7 mm의 방사상 폭을 갖는 것을 특징으로 하는 프로세스 키트.
  9. 제 1항에 있어서,
    상기 전도성 칼라는 상기 가공물 영역의 주변부 너머 방사상 적어도 4mm 연장되는 것을 특징으로 하는 프로세스 키트.
  10. 제 1 항에 있어서,
    상기 전도성 칼라는 상기 가공물 영역의 주변부 너머 방사상 적어도 8mm 연장되는 것을 특징으로 하는 프로세스 키트.
  11. 제 1 항에 있어서,
    상기 전도성 칼라는 적어도 0.7mm의 두께를 갖는 것을 특징으로 하는 프로세스 키트.
  12. 제 1 항에 있어서,
    상기 전도성 칼라는 적어도 1.8mm 두께를 갖는 것을 특징으로 하는 프로세스 키트.
  13. 제 1 항에 있어서,
    상기 전도성 칼라는 RF 전류 흐름에 상당한 임피던스를 부과하기에 충분히 큰 두께를 갖는 것을 특징으로 하는 프로세스 키트.
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
  31. 삭제
  32. 삭제
  33. 삭제
  34. 삭제
  35. 삭제
  36. 삭제
  37. 삭제
  38. 삭제
  39. 삭제
  40. 삭제
  41. 삭제
  42. 삭제
  43. 삭제
  44. 삭제
  45. 삭제
  46. 삭제
  47. 삭제
KR1020037010675A 2001-04-03 2002-02-08 플라즈마 챔버의 반도체 가공물을 둘러싸는 전도성 칼라 KR100813823B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/827,297 2001-04-03
US09/827,297 US6554954B2 (en) 2001-04-03 2001-04-03 Conductive collar surrounding semiconductor workpiece in plasma chamber
PCT/US2002/003818 WO2002082499A2 (en) 2001-04-03 2002-02-08 Conductive collar surrounding semiconductor workpiece in plasma chamber

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR20-2003-0026140U Division KR200333176Y1 (ko) 2001-04-03 2003-08-13 플라즈마 챔버의 반도체 가공물을 둘러싸는 전도성 칼라

Publications (2)

Publication Number Publication Date
KR20030083714A KR20030083714A (ko) 2003-10-30
KR100813823B1 true KR100813823B1 (ko) 2008-03-17

Family

ID=25248851

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020037010675A KR100813823B1 (ko) 2001-04-03 2002-02-08 플라즈마 챔버의 반도체 가공물을 둘러싸는 전도성 칼라
KR20-2003-0026140U KR200333176Y1 (ko) 2001-04-03 2003-08-13 플라즈마 챔버의 반도체 가공물을 둘러싸는 전도성 칼라

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR20-2003-0026140U KR200333176Y1 (ko) 2001-04-03 2003-08-13 플라즈마 챔버의 반도체 가공물을 둘러싸는 전도성 칼라

Country Status (7)

Country Link
US (1) US6554954B2 (ko)
EP (1) EP1378000B1 (ko)
JP (4) JP2004531059A (ko)
KR (2) KR100813823B1 (ko)
CN (1) CN1303638C (ko)
DE (1) DE60237195D1 (ko)
WO (1) WO2002082499A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102124766B1 (ko) * 2019-12-31 2020-06-19 (주)삼양컴텍 플라즈마 처리 장치 및 그 제조방법

Families Citing this family (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI234417B (en) * 2001-07-10 2005-06-11 Tokyo Electron Ltd Plasma procesor and plasma processing method
JP2003100713A (ja) * 2001-09-26 2003-04-04 Kawasaki Microelectronics Kk プラズマ電極用カバー
US6960263B2 (en) * 2002-04-25 2005-11-01 Applied Materials, Inc. Shadow frame with cross beam for semiconductor equipment
US20070051471A1 (en) * 2002-10-04 2007-03-08 Applied Materials, Inc. Methods and apparatus for stripping
US6907841B2 (en) * 2002-12-27 2005-06-21 Korea Institute Of Science And Technology Apparatus and method for synthesizing spherical diamond powder by using chemical vapor deposition method
US7850174B2 (en) * 2003-01-07 2010-12-14 Tokyo Electron Limited Plasma processing apparatus and focus ring
JP4472372B2 (ja) * 2003-02-03 2010-06-02 株式会社オクテック プラズマ処理装置及びプラズマ処理装置用の電極板
JP4286025B2 (ja) * 2003-03-03 2009-06-24 川崎マイクロエレクトロニクス株式会社 石英治具の再生方法、再生使用方法および半導体装置の製造方法
KR101141488B1 (ko) * 2003-03-21 2012-05-03 도쿄엘렉트론가부시키가이샤 처리중의 기판이면(裏面) 증착 감소방법 및 장치
US6944006B2 (en) * 2003-04-03 2005-09-13 Applied Materials, Inc. Guard for electrostatic chuck
CN1310285C (zh) * 2003-05-12 2007-04-11 东京毅力科创株式会社 处理装置
JP2005039004A (ja) * 2003-07-18 2005-02-10 Hitachi High-Technologies Corp プラズマエッチング装置およびプラズマエッチング方法
TWI488236B (zh) * 2003-09-05 2015-06-11 Tokyo Electron Ltd Focusing ring and plasma processing device
US7658816B2 (en) * 2003-09-05 2010-02-09 Tokyo Electron Limited Focus ring and plasma processing apparatus
JP4640922B2 (ja) * 2003-09-05 2011-03-02 東京エレクトロン株式会社 プラズマ処理装置
US7244336B2 (en) * 2003-12-17 2007-07-17 Lam Research Corporation Temperature controlled hot edge ring assembly for reducing plasma reactor etch rate drift
US7713380B2 (en) * 2004-01-27 2010-05-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for backside polymer reduction in dry-etch process
JP2005303099A (ja) * 2004-04-14 2005-10-27 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法
US7501161B2 (en) * 2004-06-01 2009-03-10 Applied Materials, Inc. Methods and apparatus for reducing arcing during plasma processing
US20060118041A1 (en) * 2004-12-08 2006-06-08 Chien-Hsin Lai Guard ring
US20060151116A1 (en) * 2005-01-12 2006-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Focus rings, apparatus in chamber, contact hole and method of forming contact hole
US8038837B2 (en) * 2005-09-02 2011-10-18 Tokyo Electron Limited Ring-shaped component for use in a plasma processing, plasma processing apparatus and outer ring-shaped member
US20070113783A1 (en) * 2005-11-19 2007-05-24 Applied Materials, Inc. Band shield for substrate processing chamber
JP2007250967A (ja) * 2006-03-17 2007-09-27 Tokyo Electron Ltd プラズマ処理装置および方法とフォーカスリング
US7988814B2 (en) * 2006-03-17 2011-08-02 Tokyo Electron Limited Plasma processing apparatus, plasma processing method, focus ring, and focus ring component
US20080066868A1 (en) * 2006-09-19 2008-03-20 Tokyo Electron Limited Focus ring and plasma processing apparatus
JP2008103403A (ja) * 2006-10-17 2008-05-01 Tokyo Electron Ltd 基板載置台及びプラズマ処理装置
KR100849179B1 (ko) * 2007-01-10 2008-07-30 삼성전자주식회사 갭 발생방지구조 및 이를 갖는 플라즈마 처리설비
US20080296261A1 (en) * 2007-06-01 2008-12-04 Nordson Corporation Apparatus and methods for improving treatment uniformity in a plasma process
US7837827B2 (en) * 2007-06-28 2010-11-23 Lam Research Corporation Edge ring arrangements for substrate processing
US8900405B2 (en) * 2007-11-14 2014-12-02 Applied Materials, Inc. Plasma immersion ion implantation reactor with extended cathode process ring
US8336891B2 (en) * 2008-03-11 2012-12-25 Ngk Insulators, Ltd. Electrostatic chuck
US20090236214A1 (en) * 2008-03-20 2009-09-24 Karthik Janakiraman Tunable ground planes in plasma chambers
US7884925B2 (en) * 2008-05-23 2011-02-08 Lam Research Corporation Electrical and optical system and methods for monitoring erosion of electrostatic chuck edge bead materials
US8849585B2 (en) * 2008-06-26 2014-09-30 Lam Research Corporation Methods for automatically characterizing a plasma
CN104320899A (zh) 2008-07-07 2015-01-28 朗姆研究公司 用于检测等离子处理室中激发步骤的电容耦合静电(cce)探针装置及其方法
TWI494030B (zh) * 2008-07-07 2015-07-21 Lam Res Corp 供使用於電漿處理腔室中之含真空間隙的面向電漿之探針裝置
WO2010005933A2 (en) 2008-07-07 2010-01-14 Lam Research Corporation Passive capacitively-coupled electrostatic (cce) probe arrangement for detecting plasma instabilities in a plasma processing chamber
CN102084474B (zh) * 2008-07-07 2012-11-14 朗姆研究公司 在等离子体处理室中检测去夹紧的电容耦合静电(cce)探针装置及其方法
KR101606734B1 (ko) 2008-07-07 2016-03-28 램 리써치 코포레이션 플라즈마 프로세싱 챔버에서 인시츄 아킹 이벤트들을 검출하기 위한 패시브 용량성-커플링된 정전식 (cce) 프로브 장치
US8164353B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation RF-biased capacitively-coupled electrostatic (RFB-CCE) probe arrangement for characterizing a film in a plasma processing chamber
KR100995700B1 (ko) 2008-07-14 2010-11-22 한국전기연구원 3차원 표면형상을 갖는 원통형 가공물을 위한 유도 결합형플라즈마 공정 챔버 및 방법
KR101624123B1 (ko) 2008-10-31 2016-05-25 램 리써치 코포레이션 플라즈마 프로세싱 챔버의 하부 전극 어셈블리
DE202010015933U1 (de) * 2009-12-01 2011-03-31 Lam Research Corp.(N.D.Ges.D.Staates Delaware), Fremont Eine Randringanordnung für Plasmaätzkammern
KR101141577B1 (ko) * 2010-07-07 2012-06-08 (주)세미머티리얼즈 태양전지의 플라즈마 텍스처링 장치 및 방법
US9443753B2 (en) * 2010-07-30 2016-09-13 Applied Materials, Inc. Apparatus for controlling the flow of a gas in a process chamber
JP5741124B2 (ja) * 2011-03-29 2015-07-01 東京エレクトロン株式会社 プラズマ処理装置
CN102800547B (zh) * 2011-05-27 2015-07-08 中微半导体设备(上海)有限公司 可调制的聚焦环和利用该聚焦环调节等离子处理器的方法
CN102280342B (zh) * 2011-08-19 2013-08-21 中微半导体设备(上海)有限公司 等离子体处理装置
US9869392B2 (en) 2011-10-20 2018-01-16 Lam Research Corporation Edge seal for lower electrode assembly
US9859142B2 (en) 2011-10-20 2018-01-02 Lam Research Corporation Edge seal for lower electrode assembly
US20130107415A1 (en) * 2011-10-28 2013-05-02 Applied Materials, Inc. Electrostatic chuck
US8988848B2 (en) 2011-12-15 2015-03-24 Applied Materials, Inc. Extended and independent RF powered cathode substrate for extreme edge tunability
US9997381B2 (en) * 2013-02-18 2018-06-12 Lam Research Corporation Hybrid edge ring for plasma wafer processing
US10090211B2 (en) 2013-12-26 2018-10-02 Lam Research Corporation Edge seal for lower electrode assembly
US20160056059A1 (en) * 2014-08-22 2016-02-25 Applied Materials, Inc. Component for semiconductor process chamber having surface treatment to reduce particle emission
KR101600265B1 (ko) * 2014-09-01 2016-03-08 엘지디스플레이 주식회사 화학기상증착장치
KR101598465B1 (ko) * 2014-09-30 2016-03-02 세메스 주식회사 기판 처리 장치 및 방법
KR101722382B1 (ko) * 2016-01-08 2017-04-03 주식회사 윈텔 플라즈마 처리 장치
JP3210105U (ja) 2016-03-04 2017-04-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ユニバーサルプロセスキット
CN106128986B (zh) * 2016-09-06 2019-10-18 凌嘉科技股份有限公司 用于生产线的可移动式载盘装置
US10600623B2 (en) * 2018-05-28 2020-03-24 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
JP7471810B2 (ja) 2019-12-13 2024-04-22 東京エレクトロン株式会社 リングアセンブリ、基板支持体及び基板処理装置
US11566324B2 (en) * 2020-02-27 2023-01-31 Applied Materials, Inc. Conditioning treatment for ALD productivity
JP7418248B2 (ja) 2020-03-05 2024-01-19 株式会社堀場エステック 真空計
CN115249606A (zh) * 2021-04-28 2022-10-28 中微半导体设备(上海)股份有限公司 等离子体处理装置、下电极组件及其形成方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1116891A (ja) 1997-06-20 1999-01-22 Nec Kyushu Ltd プラズマ処理装置
KR19990075169A (ko) * 1998-03-18 1999-10-15 윤종용 섀도우 링을 갖춘 반도체 소자 제조용 건식 식각 장치

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6131636U (ja) * 1984-07-31 1986-02-26 株式会社 徳田製作所 静電チヤツク
US5215640A (en) * 1987-02-03 1993-06-01 Balzers Ag Method and arrangement for stabilizing an arc between an anode and a cathode particularly for vacuum coating devices
US5292399A (en) * 1990-04-19 1994-03-08 Applied Materials, Inc. Plasma etching apparatus with conductive means for inhibiting arcing
WO1992007377A1 (en) 1990-10-23 1992-04-30 Genus, Inc. Sacrificial metal etchback system
US6036877A (en) * 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6095083A (en) 1991-06-27 2000-08-01 Applied Materiels, Inc. Vacuum processing chamber having multi-mode access
US6238588B1 (en) 1991-06-27 2001-05-29 Applied Materials, Inc. High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
US6024826A (en) * 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6165311A (en) 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US5411624A (en) * 1991-07-23 1995-05-02 Tokyo Electron Limited Magnetron plasma processing apparatus
KR100297358B1 (ko) 1991-07-23 2001-11-30 히가시 데쓰로 플라즈마에칭장치
JPH05218656A (ja) * 1992-02-03 1993-08-27 Fujitsu Ltd セラミック多層基板の製造方法
US5865896A (en) * 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
US5535507A (en) * 1993-12-20 1996-07-16 International Business Machines Corporation Method of making electrostatic chuck with oxide insulator
US5467249A (en) * 1993-12-20 1995-11-14 International Business Machines Corporation Electrostatic chuck with reference electrode
JP3257741B2 (ja) * 1994-03-03 2002-02-18 東京エレクトロン株式会社 プラズマエッチング装置及び方法
US5573596A (en) 1994-01-28 1996-11-12 Applied Materials, Inc. Arc suppression in a plasma processing system
US5716534A (en) * 1994-12-05 1998-02-10 Tokyo Electron Limited Plasma processing method and plasma etching method
TW323387B (ko) * 1995-06-07 1997-12-21 Tokyo Electron Co Ltd
US5835333A (en) * 1995-10-30 1998-11-10 Lam Research Corporation Negative offset bipolar electrostatic chucks
US5891348A (en) * 1996-01-26 1999-04-06 Applied Materials, Inc. Process gas focusing apparatus and method
US5748434A (en) * 1996-06-14 1998-05-05 Applied Materials, Inc. Shield for an electrostatic chuck
US6284093B1 (en) 1996-11-29 2001-09-04 Applied Materials, Inc. Shield or ring surrounding semiconductor workpiece in plasma chamber
US5740009A (en) * 1996-11-29 1998-04-14 Applied Materials, Inc. Apparatus for improving wafer and chuck edge protection
JP3582287B2 (ja) 1997-03-26 2004-10-27 株式会社日立製作所 エッチング装置
US5942039A (en) * 1997-05-01 1999-08-24 Applied Materials, Inc. Self-cleaning focus ring
JPH1136076A (ja) * 1997-07-16 1999-02-09 Tokyo Electron Ltd Cvd成膜装置およびcvd成膜方法
US6186092B1 (en) * 1997-08-19 2001-02-13 Applied Materials, Inc. Apparatus and method for aligning and controlling edge deposition on a substrate
US6074488A (en) 1997-09-16 2000-06-13 Applied Materials, Inc Plasma chamber support having an electrically coupled collar ring
JP4602545B2 (ja) 1997-09-16 2010-12-22 アプライド マテリアルズ インコーポレイテッド プラズマチャンバの半導体ワークピース用シュラウド
US6364957B1 (en) * 1997-10-09 2002-04-02 Applied Materials, Inc. Support assembly with thermal expansion compensation
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6039836A (en) * 1997-12-19 2000-03-21 Lam Research Corporation Focus rings
US6170429B1 (en) * 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
US6516436B1 (en) * 1999-03-04 2003-02-04 Lucent Technologies Inc. Error control coding for transmission equipment protection
US6344105B1 (en) * 1999-06-30 2002-02-05 Lam Research Corporation Techniques for improving etch rate uniformity
US6143144A (en) * 1999-07-30 2000-11-07 Tokyo Electronlimited Method for etch rate enhancement by background oxygen control in a soft etch system
JP2000082844A (ja) * 1999-10-04 2000-03-21 Sharp Corp 発光ダイオ―ド
JP4592916B2 (ja) * 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1116891A (ja) 1997-06-20 1999-01-22 Nec Kyushu Ltd プラズマ処理装置
KR19990075169A (ko) * 1998-03-18 1999-10-15 윤종용 섀도우 링을 갖춘 반도체 소자 제조용 건식 식각 장치

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102124766B1 (ko) * 2019-12-31 2020-06-19 (주)삼양컴텍 플라즈마 처리 장치 및 그 제조방법

Also Published As

Publication number Publication date
DE60237195D1 (de) 2010-09-16
JP2004531059A (ja) 2004-10-07
JP6306861B2 (ja) 2018-04-04
JP2010177671A (ja) 2010-08-12
WO2002082499A2 (en) 2002-10-17
US6554954B2 (en) 2003-04-29
CN1531739A (zh) 2004-09-22
CN1303638C (zh) 2007-03-07
WO2002082499A3 (en) 2003-03-13
KR200333176Y1 (ko) 2003-11-12
EP1378000B1 (en) 2010-08-04
JP2014090177A (ja) 2014-05-15
JP3100986U (ja) 2004-06-03
US20020139478A1 (en) 2002-10-03
KR20030083714A (ko) 2003-10-30
EP1378000A2 (en) 2004-01-07

Similar Documents

Publication Publication Date Title
KR100813823B1 (ko) 플라즈마 챔버의 반도체 가공물을 둘러싸는 전도성 칼라
US6689249B2 (en) Shield or ring surrounding semiconductor workpiece in plasma chamber
US20060043067A1 (en) Yttria insulator ring for use inside a plasma chamber
KR100517474B1 (ko) 플라즈마리액터에서의전기적플로팅실드
US8677590B2 (en) Plasma confinement structures in plasma processing systems and methods thereof
US7837827B2 (en) Edge ring arrangements for substrate processing
US8382942B2 (en) Method and apparatus for reducing substrate backside deposition during processing
US5688358A (en) R.F. plasma reactor with larger-than-wafer pedestal conductor
JP4602545B2 (ja) プラズマチャンバの半導体ワークピース用シュラウド
CN107154335B (zh) 通用处理套件
US7338578B2 (en) Step edge insert ring for etch chamber
KR102253990B1 (ko) Icp 플라즈마 프로세싱 챔버에서의 기판 최외곽 엣지 결함 감소, 높은 수율을 위한 단일 링 디자인
WO2008134446A1 (en) Annular baffle
US20040112294A1 (en) Magnetic mirror for protection of consumable parts during plasma processing
US20070066062A1 (en) Landing uniformity ring for etch chamber
US7381293B2 (en) Convex insert ring for etch chamber
US20010049196A1 (en) Apparatus for improving etch uniformity and methods therefor
WO2023183571A1 (en) Process chamber and process kits for advanced packaging
KR20040096129A (ko) 반도체 식각장치의 쉴드 링

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130227

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20140227

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20150227

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20151230

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20161229

Year of fee payment: 10