CN103918065A - 具有视需要氧化钇覆盖层的经AlON涂布的基质 - Google Patents

具有视需要氧化钇覆盖层的经AlON涂布的基质 Download PDF

Info

Publication number
CN103918065A
CN103918065A CN201280037461.5A CN201280037461A CN103918065A CN 103918065 A CN103918065 A CN 103918065A CN 201280037461 A CN201280037461 A CN 201280037461A CN 103918065 A CN103918065 A CN 103918065A
Authority
CN
China
Prior art keywords
coating
alon
matrix
quartz
fluorine
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201280037461.5A
Other languages
English (en)
Inventor
尼雷须·困达
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Entegris Inc
Original Assignee
Entegris Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Entegris Inc filed Critical Entegris Inc
Priority to CN201810274961.XA priority Critical patent/CN108425090A/zh
Publication of CN103918065A publication Critical patent/CN103918065A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0021Reactive sputtering or evaporation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0676Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/083Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3485Sputtering using pulsed power to the target
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • Y10T428/263Coating layer not in excess of 5 mils thick or equivalent
    • Y10T428/264Up to 3 mils
    • Y10T428/2651 mil or less

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Laminated Bodies (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)

Abstract

本发明公开一种位于陶瓷基质上的抗氟电浆涂层。在一个具体实例中,该组成物包括覆盖基质的约2微米厚的AlON涂层及视需要具有的覆盖该AlON涂层的约3微米厚的氧化钇涂层。

Description

具有视需要氧化钇覆盖层的经AlON涂布的基质
相关申请案
本申请案主张2011年8月10日申请的美国临时申请案第61/521,822号的权益。上述申请案的整个教示内容以引用的方式并入本文中。
发明背景
对石英及其它陶瓷基质上抗氟电浆涂层存在持续需求。此等基质为透明且经常在用于半导体制造的涂布及蚀刻系统中使用。氟电浆会损坏此等基质,产生会污染半导体制程晶圆的微粒。在半导体制造中,铝亦可为半导体晶圆的污染源。
发明内容
本发明关于经氮氧化铝(AlON)涂布的基质,诸如石英、铝合金、钢、氧化铝、金属、合金及会接触氟电浆的其它基质。AlON涂层通过反应性脉冲直流磁控溅镀制程沉积于基质上达到约1微米至约10微米的厚度。
AlON可为基质上唯一的涂层,或其可具有氧化钇覆盖层,从而在基质上形成双层涂层。层厚度将取决于基质与氟电浆源的接近程度及电浆强度。氧化钇层可通过与用于AlON层的沉积方法相同的沉积方法沉积于AlON层上,达到约1微米至约10微米的厚度。
在一个具体实例中,当AlON为基质上唯一的涂层时,涂层厚度为约5微米至约6微米。
在另一个具体实例中,当氧化钇覆盖于AlON涂层上时,氧化钇层与AlON层的组合涂层厚度可为约5微米至约6微米。
涂层纯度高且通过扫描电子显微镜(SEM)所见,其形态光滑、致密且展现均一的微观结构,而无在氟电浆条件下会削弱涂层的柱状结构。涂层能够贴合基质表面。具有视需要氧化钇覆盖层的AlON涂层可增强对氟电浆腐蚀的抗性且减少颗粒污染。
附图说明
为了描绘本发明的某些态样,包括形成本说明书的一部分的附图。通过参照附图中所说明的例示性(且因此非限制性)具体实例将更容易清楚地了解本发明及本发明所提供的系统的组件及操作,其中相同组件符号表示相同组件。请注意,附图中所说明的特征不一定按比例绘制。
图1A为显示形态的AlON表面显微照片。
图1B显示AlON涂层与AlON陶瓷的元素组成,如通过X射线光电子光谱学(XPS)所分析。
图1C显示AlON横截面与形态的SEM影像。
图1D显示氧化钇横截面与形态的SEM影像。
图1E显示氧化钇涂层形态的显微照片。
图1F显示涂层薄片正面的分析,显示铝、氧化钇、氧及氟。
图2显示涂层薄片背面的分析。
图3显示暴露于氟电浆环境的样品的SEM显微照片。
图4显示暴露于氟电浆的具有氧化钇(钇25%,氧75%)涂层及AlON(41%Al,57%氧,2%氮)障壁层的石英样品;AlON涂层覆盖石英。根据EDS,所标识层的组成实质上对应于如下层组成;层1及层2类似于氧化钇,层3类似于AlON,层4类似于石英。请注意,层1中未侦测到铝且层4中未侦测到氟。
图5显示完整的氧化钇涂层。
图6说明石英基质上唯一的氧化钇涂层。
图7显示氧化钇涂层(剥离)背面的显微照片。
图8显示氧化钇涂层(剥离)背面的显微照片。
图9显示氧化钇涂层(剥离)正面的显微照片。
图10显示暴露于氟电浆的氧化钇涂层的扫描电子显微照片(SEM)。底部SEM中显示氧化钇涂层的两个区域:晶粒区域及柱状区域。亦显示此等区域各自的能量色散X-ray光谱学(EDS)。本发明人观察到涂层的「柱状」区域显示的氟含量(11%)似乎大于「晶粒」区域中的氟含量(0%)的问题,且不希望受到理论束缚,似乎柱状区域允许氟电浆中的氟穿透此等区域中的氧化钇涂层,随后损坏下伏石英。
图11显示氧化钇涂层(薄片)的扫描电子显微照片。
图12显示在石英基质的不同部分所拍的扫描电子显微照片,如中心图例所示。
图13显示氟电浆穿过氧化钇涂层的柱状晶粒结构侵蚀石英。
图14显示氟电浆穿过氧化钇涂层的柱状晶粒结构侵蚀石英。
图15显示无氧化钇涂层的石英基质的正面及背面的扫描电子显微照片。
图16显示无氧化钇涂层的石英基质的正面及背面的EDS扫描。
图17显示经氧化钇涂布的石英的正面及背面的扫描电子显微照片。
图18显示经氧化钇涂布的石英基质的正面及背面的EDS扫描。
图19显示经氧化钇涂布的石英样品的边缘的电子扫描显微照片。
图20显示AlON涂层、AlON陶瓷及蓝宝石样品的FT-IR透射光谱(波长2.5μm至8μm)。
具体实施方式
下文描述本发明的例示性具体实例。
尽管描述了各种组成物及方法,但应了解,本发明不限于所描述的特定分子、组成物、设计、方法或方案,因为其可变化。亦应了解,描述所用的术语仅用于描述特定型式或具体实例的目的,而非意欲限制本发明的范畴,本发明的范畴仅由所附申请专利范围限制。
也需注意,如本文中及所附申请专利范围中所用,单数形式「一(a/an)」及「该(the)」包括复数个提及物,除非上下文另有明确指示。因此,举例而言,提及一「AlON」涂层为提及一或多个AlON层及本领域技术人员所知的其等效物,等等。提及一「氧化钇(yttria)」涂层为提及一或多个氧化钇层及本领域技术人员所知的其等效物等等。除非另外定义,否则本文中使用的全部技术及科学术语具有一般本领域技术人员通常所了解的相同含义。可使用类似于或等效于本文中所述的方法及材料实施或测试本发明的具体实例。本文中提及的全部公开案以全文引用的方式并入本文中。不应理解本文中承认本发明无权先于先前发明所作的此类揭示内容。「视需要(optional/optionally)」意谓随后所述事件或情境可能发生或可能不发生,且此描述包括该事件发生的情形及其不发生的情形。本文中的所有数值均可由术语「约(about)」修饰,不论是否明确指明。术语「约」一般指本领域技术人员会视为与所列值等效(亦即,具有相同功能或结果)的数值范围。在一些具体实例中,术语「约」指所述值±10%;在其它具体实例中,术语「约」指所述值±2%。尽管组成物及方法按照「包含(comprising)」多个组件或步骤来描述(解释为意谓「包括但不限于(including,but not limited to)」),但组成物及方法亦可「基本上由多个组件及步骤组成」或「由多个组件及步骤组成」,此术语应解释为定义基本上闭合或闭合的成员群组。
虽然本发明已关于一或多个实施例加以显示及描述,但其它本领域技术人员基于对本说明书及附图的阅读及理解可想到等效变更及修改。本发明包括所有此等修改及变更且仅由以下申请专利范围的范畴限定。另外,虽然本发明的具体特征或态样可能已关于若干实施例中之一加以揭示,但对于任何指定或特定应用可能需要且有利时,此特征或态样可与其它实施例的一或多个其它特征或态样组合。此外,就实施方式或申请专利范围中使用术语「包括(includes)」、「具有(having/with)」或其变化形式而言,此等术语意欲类似于术语「包含」为包涵性的。此外,术语「例示性(exemplary)」仅意谓实例,而非最佳。亦应了解,出于简明易懂起见,本文中所述的特征、层及/或组件以特定尺寸及/或相对于彼此的取向来说明,且实际尺寸及/或取向可能与本文中所说明的尺寸及/或取向实质上不同。
保护石英及其它陶瓷基质以防氟电浆腐蚀、同时最小化铝污染的问题如下解决:在类似陶瓷的石英上沉积约1微米至10微米的氮氧化铝(AlON)涂层,接着在AlON上涂布约1微米至10微米的氧化钇涂层。此等涂层的组合得到透明且可受氟电浆加工的复合物,如根据对经涂布的石英基质的EDS(能量色散X-ray光谱学)分析不存在氟所证明。涂层黏附于下伏石英或陶瓷基质上,如通过胶带(购自3M)测试所测定。氧化钇覆盖涂层不含铝。与石英上唯一的氧化钇涂层相比,石英基质上AlON与氧化钇涂层的组合可增强对氟电浆腐蚀的抗性,且减少颗粒污染。
不希望受理论束缚,本发明人已发现,若石英上具有唯一的氧化钇涂层,则氟电浆中的氟似乎会穿透氧化钇柱状结构且侵蚀下伏石英。此问题的解决方案为石英上存在AlON层,接着在该AlON上视需要存在氧化钇层。
本发明的一个型式为包括覆盖石英基质的约2微米厚的AlON涂层、覆盖该AlON涂层的约3微米厚的氧化钇涂层的组成物。AlON及氧化钇涂层可通过脉冲反应性物理气相沉积法沉积。沉积AlON产生接近于主体AlON的化学计量组成的涂层。氮氧化铝陶瓷可获自于Surmet公司的商标光学陶瓷。
AlON组成及性质提供于表1中。
表1
氧化钇组成及性质提供于表2中。
表2
虽然下文所提供的实例使用石英作为基质,但本发明可使用任何会接触氟电浆的基质。此外,任何需要防止接触氟的含铝基质可在本发明中用作基质。适当基质的实例包括(但不限于)含铝陶瓷、钢、铝、氧化铝、石英及其它金属、合金及陶瓷。本领域技术人员显而易知,待涂布的基质可为半导体制造中所用的会接触氟电浆环境的任何设备,诸如(但不限于)腔室及腔室组件、晶圆基座或卡盘、莲蓬头、衬垫、环、喷嘴、挡板及扣件,及晶圆输送组件。
实施例
实施例1
实施例1说明本发明的一个具体实例,其中在石英基质上涂布通过脉冲反应性物理气相沉积技术所制得的AlON涂层(障壁层,可购自Entegris公司,Billerica,MA)。在AlON上涂布氧化钇层(可购自Entegris公司)。将经涂布的样品暴露于氟电浆环境。化学分析显示,顶层不含铝,但具有类似于氧化钇的组成。此结果显示,覆盖石英的抗氟性涂层可用AlON制得,但其上可用不包括铝的保护性氧化钇涂层覆盖。
经氧化钇涂布的石英样品的详情如下:
·在石英基质上沉积总厚度为5μm的具有障壁层的氧化钇涂层。
·围绕石英的外缘观察到脱层,但根据胶带试验,大部分涂层仍黏附于基质上而未剥落。
·通过SEM及EDS分析三种类型的样品:
-涂层薄片的两面均自石英基质剥落
-先前涂布的暴露的石英基质
-完整的经涂布的石英表面。
·由于氧化钇涂层及石英不导电,因此带电会影响SEM影像的质量。
观察总结
·具有障壁层的氧化钇涂层展现比先前唯一氧化钇涂层增强的抗氟腐蚀性。
·在黏附的氧化钇涂层及自石英基质边缘剥离的氧化钇薄片上观察到不同形态及组成。
-边缘的电浆强度。
参见图1F至图5。通过X光光电子能谱(XPS)分析AlON涂层与AlON陶瓷的元素组成且结果显示于图1B中。
实施例2
实施例2为石英基质上具有唯一氧化钇涂层的比较实施例。
·在石英基质上沉积5μm氧化钇。
·在氧及氟电浆环境中加工之后观察到氧化钇涂层脱层。
·通过SEM及EDS分析三种类型的样品:
-用碳胶带自石英基质剥离涂层
-由碳胶带黏着的涂层薄片
-石英基质。
·由于氧化钇涂层及石英不导电,因此带电会影响SEM影像的质量。
观察总结
·氧化钇展现遍及涂层厚度的柱状结构。
·自氧化钇涂层的正面与背面均侦测到氟(-15at.%),且在涂层背面观察到较高氟浓度(>30at.%)的残余物。
·在氧化钇涂层背面侦测到的Si可忽略不计。
·对氧化钇涂层横截面的分析揭示柱状结构中的高H浓度。
·整个石英基质由具有多晶结构的化学计量性二氧化硅组成。
·沿着SiO2晶粒边界形成氟化物(「晶粒间腐蚀」),但晶粒主体保持完整。
·晶粒边界中亦侦测到Al,其为可能偏析的杂质(氧化物或硅酸盐)且与氟反应而形成氟化铝。
·涂层脱层的可能机制:氟穿透氧化钇涂层的柱状结构边界且侵蚀石英基质,沿着晶粒边界形成氟化物,使顶层的氧化钇涂层开裂。
·参见图6至13。
实施例3
实施例3为石英基质上具有唯一氧化钇涂层的比较实施例及石英基质对照物,其暴露于氟电浆6小时。
·两个石英样品在氟电浆中留置6小时。
-#1:无氧化钇涂层的新石英样品
-#2:经氧化钇涂布(双面)的石英样品
·正面(暴露于氟)与背面(未暴露于氟)均通过SEM及EDS检查。
·样品#2未观察到氧化钇涂层脱层。
·参见图14至19。
实施例4
实施例4为AlON涂层的红外光(IR)透射率。
·AlON涂层的红外光(IR)性质通过用傅立叶转换红外光谱仪(FT-IR)量测涂有3μm AlON的蓝宝石样品在IR光谱范围内的透射率来特性化。
·亦量测作为参照物的AlON陶瓷(0.125吋厚)及蓝宝石(0.02吋厚)样品的IR透射率。
·蓝宝石在波长2.7μm至7.5μm的IR范围内具有100%透射率。经AlON涂布的蓝宝石的IR透明度范围与蓝宝石相同,但透射率减少约20%。AlON陶瓷在波长2.5μm至6μm范围内展现略小于80%的IR透射率。
·参见图20。
实施例5
实施例5为抗氟腐蚀性比较。
·使AlON涂层、主体氧化铝及铝6061合金暴露于氟离子电浆。
·使用屏蔽及轮廓测定法量测腐蚀速率且数据显示于表3中。
表3
材料 腐蚀速率(μm/h)
AlON(薄膜) 0.25
氧化铝(主体) 0.33
铝6061合金 0.66
·使AlON涂层及石英暴露于另一个氟离子电浆。
·使用屏蔽及轮廓测定法量测腐蚀速率且数据显示于表4中。
表4
材料 腐蚀速率(μm/h)
AlON(薄膜) 0.6
石英 10.5
当结合以下描述及附图考虑时,可更好地理解及了解本发明的此等及其它态样。指明本发明的多个具体实例及其许多具体细节的以下描述为了说明而非为了限制而给出。在本发明范畴内可进行许多替换、修改、添加或重排,且本发明包括所有此等替换、修改、添加或重排。

Claims (8)

1.一种基质,其特征在于,其包含覆盖该基质的AlON层及视需要存在的覆盖该AlON的氧化钇层。
2.如权利要求1的基质,其特征在于,该AlON层的厚度为约1微米至约10微米。
3.如权利要求2的基质,其特征在于,该AlON层的厚度为约2微米至约3微米。
4.如权利要求1的基质,其特征在于,该氧化钇层的厚度为约1微米至约10微米。
5.如权利要求4的基质,其特征在于,该氧化钇层的厚度为约2微米至约3微米。
6.如权利要求1的基质,其特征在于,该AlON层、该氧化钇层或两者通过脉冲反应性物理气相沉积法沉积于该石英基质上。
7.如权利要求1至6中任一权利要求所述的基质,其特征在于,该基质为石英、氧化铝、铝、钢、金属、合金或陶瓷。
8.如权利要求7的基质,其特征在于,该石英为具有多晶结构的化学计量性二氧化硅。
CN201280037461.5A 2011-08-10 2012-08-09 具有视需要氧化钇覆盖层的经AlON涂布的基质 Pending CN103918065A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201810274961.XA CN108425090A (zh) 2011-08-10 2012-08-09 具有视需要氧化钇覆盖层的经AlON涂布的基质

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201161521822P 2011-08-10 2011-08-10
US61/521,822 2011-08-10
PCT/US2012/050093 WO2013023029A2 (en) 2011-08-10 2012-08-09 Aion coated substrate with optional yttria overlayer

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201810274961.XA Division CN108425090A (zh) 2011-08-10 2012-08-09 具有视需要氧化钇覆盖层的经AlON涂布的基质

Publications (1)

Publication Number Publication Date
CN103918065A true CN103918065A (zh) 2014-07-09

Family

ID=47669223

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201810274961.XA Pending CN108425090A (zh) 2011-08-10 2012-08-09 具有视需要氧化钇覆盖层的经AlON涂布的基质
CN201280037461.5A Pending CN103918065A (zh) 2011-08-10 2012-08-09 具有视需要氧化钇覆盖层的经AlON涂布的基质

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201810274961.XA Pending CN108425090A (zh) 2011-08-10 2012-08-09 具有视需要氧化钇覆盖层的经AlON涂布的基质

Country Status (8)

Country Link
US (2) US9761417B2 (zh)
EP (1) EP2742525A4 (zh)
JP (2) JP2014522916A (zh)
KR (1) KR101998440B1 (zh)
CN (2) CN108425090A (zh)
SG (1) SG2014008239A (zh)
TW (1) TWI584421B (zh)
WO (1) WO2013023029A2 (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9637415B2 (en) 2013-10-24 2017-05-02 Surmet Corporation Method of making high purity polycrystalline aluminum oxynitride bodies useful in semiconductor process chambers
CN115094377A (zh) 2013-11-21 2022-09-23 恩特格里斯公司 用于在等离子体系统中使用的室组件的表面涂层
WO2016148739A1 (en) 2015-03-18 2016-09-22 Entegris, Inc. Articles coated with fluoro-annealed films
US11566322B2 (en) 2016-05-24 2023-01-31 Applied Materials, Inc. Shadow mask with plasma resistant coating
WO2019044850A1 (ja) * 2017-09-01 2019-03-07 学校法人 芝浦工業大学 部品および半導体製造装置
CN116529415A (zh) 2020-12-02 2023-08-01 欧瑞康表面解决方案股份公司,普费菲孔 用于静电卡盘的改进的抗等离子体涂层
KR20230170465A (ko) 2022-06-10 2023-12-19 태영에스티 주식회사 내식성 코팅제품 및 그 코팅제품의 제작방법
KR20230170463A (ko) 2022-06-10 2023-12-19 태영에스티 주식회사 내식성 코팅제품 및 그 코팅제품의 제작방법

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4950558A (en) * 1987-10-01 1990-08-21 Gte Laboratories Incorporated Oxidation resistant high temperature thermal cycling resistant coatings on silicon-based substrates and process for the production thereof
US20020125822A1 (en) * 1998-12-16 2002-09-12 Graff Gordon L. Environmental barrier material for organic light emitting device and method of making
CN101197429A (zh) * 2006-12-06 2008-06-11 周星工程股份有限公司 有机电致发光元件和其制造方法

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU2211488A (en) * 1987-10-01 1989-04-06 Gte Laboratories Incorporated Oxidation resistant, high temperature thermal cyling resistant coatings on silicon-based substrates and process for the production thereof
JP2757221B2 (ja) * 1990-01-09 1998-05-25 アルプス電気株式会社 酸窒化アルミニウムの合成方法
JPH0661335A (ja) 1992-08-04 1994-03-04 Ulvac Japan Ltd 半導体製造装置用の基板保持プレート
JP3351614B2 (ja) 1994-03-29 2002-12-03 株式会社メガチップス ビーム照射装置およびビーム照射方法
JPH07153370A (ja) 1993-11-30 1995-06-16 Kyocera Corp 放電管
JP4925681B2 (ja) 1995-12-28 2012-05-09 京セラ株式会社 耐食性部材
JPH11214194A (ja) * 1998-01-30 1999-08-06 Kyocera Corp プラズマ処理装置用窓部材
JP3698382B2 (ja) 1997-03-04 2005-09-21 パイオニア株式会社 電子放出素子及びこれを用いた表示装置
JP3311308B2 (ja) 1998-03-03 2002-08-05 株式会社オハラ 垂直磁気記録媒体用ガラスセラミックス基板
JP3550306B2 (ja) * 1998-11-27 2004-08-04 京セラ株式会社 耐プラズマ性部材及びその製造方法
JP4732599B2 (ja) * 2001-01-26 2011-07-27 株式会社日立製作所 薄膜トランジスタ装置
EP1422754A1 (en) * 2001-08-10 2004-05-26 Ibiden Co., Ltd. Ceramic joint body
JP4137460B2 (ja) * 2002-02-08 2008-08-20 株式会社半導体エネルギー研究所 半導体装置の作製方法
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US7311797B2 (en) 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
KR100961279B1 (ko) * 2002-08-14 2010-06-03 주식회사 코미코 도포법을 이용한 플라즈마처리 용기 내부재의 제조방법과그 방법으로 제조된 내부재
US7220497B2 (en) * 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
WO2006005067A2 (en) * 2004-07-07 2006-01-12 General Electric Company Protective coating on a substrate and method of making thereof
US7608335B2 (en) 2004-11-30 2009-10-27 Los Alamos National Security, Llc Near single-crystalline, high-carrier-mobility silicon thin film on a polycrystalline/amorphous substrate
KR20060072680A (ko) * 2004-12-23 2006-06-28 주식회사 하이닉스반도체 반도체 장치의 커패시터 및 그 제조방법
JP2007016272A (ja) * 2005-07-06 2007-01-25 Ge Speciality Materials Japan Kk 基板上に被覆形成される保護膜及びその製造方法
JP5031259B2 (ja) * 2006-04-27 2012-09-19 京セラ株式会社 耐食性部材とその製造方法およびこれを用いた半導体・液晶製造装置
US20080006204A1 (en) * 2006-07-06 2008-01-10 General Electric Company Corrosion resistant wafer processing apparatus and method for making thereof
JP2008080712A (ja) * 2006-09-28 2008-04-10 Toyoda Gosei Co Ltd 光輝性及び不連続構造の金属皮膜を有する樹脂製品
US8071211B2 (en) * 2006-10-02 2011-12-06 Sumitomo Electric Industries, Ltd. Surface-coated cutting tool
JP5527956B2 (ja) * 2007-10-10 2014-06-25 株式会社半導体エネルギー研究所 半導体基板の製造方法
JP2009287058A (ja) * 2008-05-27 2009-12-10 Hakumaku Process:Kk 直流反応性対向ターゲット方式スパッタリング成膜方法、その成膜方法によって形成される純イットリア耐食膜、及び耐食性石英構成体
US7929269B2 (en) * 2008-09-04 2011-04-19 Momentive Performance Materials Inc. Wafer processing apparatus having a tunable electrical resistivity
JP5307671B2 (ja) * 2008-10-23 2013-10-02 日本碍子株式会社 窒化アルミニウム基複合材料、その製造方法及び半導体製造装置用部材
US9017765B2 (en) 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
US7947582B2 (en) * 2009-02-27 2011-05-24 Tel Epion Inc. Material infusion in a trap layer structure using gas cluster ion beam processing
JP5589310B2 (ja) * 2009-06-03 2014-09-17 株式会社ニコン 被膜形成物の製造方法
JP5413137B2 (ja) 2009-11-09 2014-02-12 日亜化学工業株式会社 発光装置および発光装置の製造方法
WO2011066314A1 (en) * 2009-11-25 2011-06-03 Green, Tweed Of Delaware, Inc. Methods of coating substrate with plasma resistant coatings and related coated substrates
KR101857693B1 (ko) * 2009-12-04 2018-05-14 가부시키가이샤 한도오따이 에네루기 켄큐쇼 표시 장치
WO2012018970A1 (en) * 2010-08-06 2012-02-09 Greene, Tweed Of Delaware, Inc. Process gas conduits having increased usage lifetime and related methods
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US20140099491A1 (en) 2012-10-09 2014-04-10 Mohammed Ameen Plasma Etch Resistant Films, Articles Bearing Plasma Etch Resistant Films and Related Methods
CN115094377A (zh) 2013-11-21 2022-09-23 恩特格里斯公司 用于在等离子体系统中使用的室组件的表面涂层

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4950558A (en) * 1987-10-01 1990-08-21 Gte Laboratories Incorporated Oxidation resistant high temperature thermal cycling resistant coatings on silicon-based substrates and process for the production thereof
US20020125822A1 (en) * 1998-12-16 2002-09-12 Graff Gordon L. Environmental barrier material for organic light emitting device and method of making
CN101197429A (zh) * 2006-12-06 2008-06-11 周星工程股份有限公司 有机电致发光元件和其制造方法

Also Published As

Publication number Publication date
CN108425090A (zh) 2018-08-21
KR20140052011A (ko) 2014-05-02
KR101998440B1 (ko) 2019-07-09
US10840067B2 (en) 2020-11-17
WO2013023029A2 (en) 2013-02-14
US20140178679A1 (en) 2014-06-26
TWI584421B (zh) 2017-05-21
EP2742525A4 (en) 2015-04-01
EP2742525A2 (en) 2014-06-18
US9761417B2 (en) 2017-09-12
WO2013023029A8 (en) 2013-06-27
WO2013023029A3 (en) 2013-05-02
JP2017128811A (ja) 2017-07-27
JP2014522916A (ja) 2014-09-08
US20170338082A1 (en) 2017-11-23
SG2014008239A (en) 2014-03-28
JP6404381B2 (ja) 2018-10-10
TW201312709A (zh) 2013-03-16

Similar Documents

Publication Publication Date Title
CN103918065A (zh) 具有视需要氧化钇覆盖层的经AlON涂布的基质
JP6956774B2 (ja) 希土類酸化物のイオンアシスト蒸着トップコート
US20200096675A1 (en) Optical filter including a high refractive index material
Müller et al. Efficiency of α-alumina as diffusion barrier between bond coat and bulk material of gas turbine blades
Go et al. Cold spray deposition of Cr2AlC MAX phase for coatings and bond-coat layers
TW533494B (en) Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
Grosso et al. Titanium and titanium nitride thin films grown by dc reactive magnetron sputtering Physical Vapor Deposition in a continuous mode on stainless steel wires: Chemical, morphological and structural investigations
US20110086178A1 (en) Ceramic coatings and methods of making the same
Diechle et al. Combinatorial approach to the growth of α-(Al1− x, Crx) 2O3 solid solution strengthened thin films by reactive rf magnetron sputtering
TW201940455A (zh) 耐電漿陶瓷塗層的漿料電漿噴塗
Floristán et al. Development of electrically conductive plasma sprayed coatings on glass ceramic substrates
US20170291856A1 (en) Solution precursor plasma spray of ceramic coating for semiconductor chamber applications
US20090101070A1 (en) Member for a Plasma Processing Apparatus and Method of Manufacturing the Same
Dong et al. Conductive transparent TiNx/TiO2 hybrid films deposited on plastics in air using atmospheric plasma processing
JP2009287058A (ja) 直流反応性対向ターゲット方式スパッタリング成膜方法、その成膜方法によって形成される純イットリア耐食膜、及び耐食性石英構成体
Huang et al. Phase transition and mechanical properties of ZrNxOy thin films on AISI 304 stainless steel
Jeong et al. Characterization of interfacial layers grown between magnesium substrates and SiOx films deposited by plasma-enhanced CVD
Zhao et al. Phase composition, structural, and plasma erosion properties of ceramic coating prepared by suspension plasma spraying
Kim et al. Effect of oxygen content and deposition temperature on the characteristics of thin silver films deposited by magnetron sputtering
Niska et al. Chemical vapor deposition of alpha aluminum oxide for high-temperature aerospace sensors
Wapner et al. Spectroscopic analysis of the interface chemistry of ultra-thin plasma polymer films on iron
Jin et al. Improving the gas barrier and mechanical properties of a-SiO x films synthesized at low temperature by using high energy and hydrogen flow rate control
WO2019132550A1 (ko) 코팅막의 형성 방법 및 이에 따른 코팅막
Goto Surface coating technology for biomaterials—morphology and nano-structure control
Ghara et al. Adhesion in thermally sprayed coatings: An insight from interfacial residual stress

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
CB02 Change of applicant information
CB02 Change of applicant information

Address after: Massachusetts, USA

Applicant after: Entergris Co.

Address before: Massachusetts, USA

Applicant before: Entegris Inc

RJ01 Rejection of invention patent application after publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20140709